Upload 173 files
Browse filesThis view is limited to 50 files because it contains too many changes.
See raw diff
- Bug/3217.md +25 -0
- Bug/3314.md +138 -0
- Bug/3362.md +60 -0
- Bug/3589.md +26 -0
- Bug/3597.md +53 -0
- Bug/4163.md +29 -0
- Bug/4845.md +34 -0
- Bug/5333.md +48 -0
- Build/1951.md +25 -0
- Build/2330.md +50 -0
- Build/2342.md +51 -0
- Build/2952.md +104 -0
- Build/3094.md +50 -0
- Build/3124.md +43 -0
- Build/3148.md +51 -0
- Build/3489.md +26 -0
- Build/3513.md +33 -0
- Build/3779.md +19 -0
- Build/4137.md +1565 -0
- Build/4269.md +52 -0
- Build/4956.md +67 -0
- Build/5101.md +31 -0
- Configuration/2442.md +20 -0
- Configuration/3350.md +41 -0
- Configuration/3943.md +35 -0
- Configuration/4289.md +31 -0
- Configuration/4515.md +23 -0
- Configuration/5065.md +155 -0
- Configuration/5199.md +24 -0
- Configuration/5213.md +66 -0
- Documentation/4495.md +27 -0
- Documentation/5312.md +34 -0
- FeatureRequest/1871.md +23 -0
- FeatureRequest/2784.md +108 -0
- FeatureRequest/3331.md +37 -0
- FeatureRequest/3359.md +53 -0
- FeatureRequest/3531.md +17 -0
- FeatureRequest/3619.md +110 -0
- FeatureRequest/3788.md +434 -0
- FeatureRequest/3812.md +34 -0
- FeatureRequest/3856.md +32 -0
- FeatureRequest/3939.md +33 -0
- FeatureRequest/4420.md +65 -0
- FeatureRequest/4422.md +22 -0
- FeatureRequest/4819.md +19 -0
- FeatureRequest/5041.md +23 -0
- FeatureRequest/5420.md +24 -0
- Installation/2679.md +37 -0
- Installation/2971.md +43 -0
- Installation/2972.md +102 -0
Bug/3217.md
ADDED
@@ -0,0 +1,25 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# DRC error in detailed routing - Short
|
2 |
+
|
3 |
+
Tool: Detailed Routing
|
4 |
+
|
5 |
+
Subcategory: Routing violation
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
What is detailed routing trying to do here? The grey area is a macro.
|
11 |
+
|
12 |
+
Is the detailed route simply spilling onto the macro because it can't find a valid place to put the wire?
|
13 |
+
|
14 |
+
![image](https://user-images.githubusercontent.com/2798822/233313803-be73a31c-255b-4857-acb3-d304cb37db11.png)
|
15 |
+
|
16 |
+
|
17 |
+
![image](https://user-images.githubusercontent.com/2798822/233314427-9f2f4378-db70-4f2f-bc54-c23118c5e2b9.png)
|
18 |
+
|
19 |
+
|
20 |
+
### oharboe
|
21 |
+
Since no-one answers, I'm thinking I guessed right :-)
|
22 |
+
|
23 |
+
### maliberty
|
24 |
+
Most likely (assuming the layers are blocked and this isn't just available resource).
|
25 |
+
|
Bug/3314.md
ADDED
@@ -0,0 +1,138 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# [WARNING STA-0139] ... 63.3687 is not a float.
|
2 |
+
|
3 |
+
Tool: OpenSTA
|
4 |
+
|
5 |
+
Subcategory: Locale issue
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
I get a lot of these warnings when I run `make gui_final`
|
11 |
+
|
12 |
+
This is with the latest ORFS.
|
13 |
+
|
14 |
+
What are they?
|
15 |
+
|
16 |
+
```
|
17 |
+
$ make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk place
|
18 |
+
```
|
19 |
+
|
20 |
+
|
21 |
+
```
|
22 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 397, 63.3687 is not a float.
|
23 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 397, 79.0805 is not a float.
|
24 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 397, 106.935 is not a float.
|
25 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 397, 156.622 is not a float.
|
26 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 397, 248.596 is not a float.
|
27 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 397, 432.982 is not a float.
|
28 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 406, 0.36 is not a float.
|
29 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 406, 0.72 is not a float.
|
30 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 406, 1.44 is not a float.
|
31 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 406, 2.88 is not a float.
|
32 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 406, 5.76 is not a float.
|
33 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 406, 11.52 is not a float.
|
34 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 406, 23.04 is not a float.
|
35 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 415, 12.8576 is not a float.
|
36 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 415, 15.7364 is not a float.
|
37 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 415, 21.3969 is not a float.
|
38 |
+
[WARNING STA-0139] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz line 415, 32.5931 is not a float.
|
39 |
+
```
|
40 |
+
|
41 |
+
### vijayank88
|
42 |
+
@oharboe
|
43 |
+
I ran with latest commit and not able to get similar logs.
|
44 |
+
|
45 |
+
### maliberty
|
46 |
+
The report says 'make place' and 'make gui_final' which are not a compatible pair. I can't reproduce this either even running all the way through.
|
47 |
+
|
48 |
+
### oharboe
|
49 |
+
```
|
50 |
+
rm -rf results/
|
51 |
+
make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk place
|
52 |
+
make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk gui_place
|
53 |
+
```
|
54 |
+
|
55 |
+
```
|
56 |
+
$ make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk gui_place
|
57 |
+
[INFO][FLOW] Using platform directory ./platforms/asap7
|
58 |
+
[INFO-FLOW] ASU ASAP7 - version 2
|
59 |
+
Default PVT selection: BC
|
60 |
+
ODB_FILE=./results/asap7/mock-array-big_Element/base/3_place.odb /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -gui ./scripts/gui.tcl
|
61 |
+
Warning: Ignoring XDG_SESSION_TYPE=wayland on Gnome. Use QT_QPA_PLATFORM=wayland to run on Wayland anyway.
|
62 |
+
This plugin does not support propagateSizeHints()
|
63 |
+
OpenROAD v2.0-8114-gaa1f8060d
|
64 |
+
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
65 |
+
Components of this program may be licensed under more restrictive licenses which must be honored.
|
66 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 82, 0.72 is not a float.
|
67 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 82, 1.44 is not a float.
|
68 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 82, 2.88 is not a float.
|
69 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 82, 5.76 is not a float.
|
70 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 82, 11.52 is not a float.
|
71 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 82, 23.04 is not a float.
|
72 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 82, 46.08 is not a float.
|
73 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 96, 0.72 is not a float.
|
74 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 96, 1.44 is not a float.
|
75 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 96, 2.88 is not a float.
|
76 |
+
[WARNING STA-0139] ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz line 96, 5.76 is not a float.
|
77 |
+
```
|
78 |
+
|
79 |
+
|
80 |
+
### oharboe
|
81 |
+
@maliberty Aha! This is a locale issue. In their wisdom a hundred years ago, Norwegians decided to use "," to separate integers from fractions in floating point... So "12.34" is not a valid float in Norwegian locale, rather "12,34" is.
|
82 |
+
|
83 |
+
The evidence that this is the problem is that setting `LC_NUMERIC="en_GB.UTF-8"` fixes the problem.
|
84 |
+
|
85 |
+
In this case, the locale is decided by the format that is being parsed, it is not a human readable/writeable number.
|
86 |
+
|
87 |
+
Let's see if this works: https://github.com/Pinata-Consulting/OpenSTA/tree/lock-down-strtof-locale
|
88 |
+
|
89 |
+
Yep :-)
|
90 |
+
|
91 |
+
This also explains why we don't see this when we run from docker, only local install.
|
92 |
+
|
93 |
+
### maliberty
|
94 |
+
Brazil has the same issue. https://github.com/The-OpenROAD-Project/OpenROAD/blob/6920ac530b6959f742e75b7f55062b1642730b14/src/Main.cc#L217 attempts to solve this. Do none of the locales exist on your systems?
|
95 |
+
|
96 |
+
### maliberty
|
97 |
+
Would you try your strtof check along with getlocale after these various points to try to see what's going on. I think this works in Brazil so I'm trying to understand what's different here. @luis201420 any insights?
|
98 |
+
|
99 |
+
|
100 |
+
### maliberty
|
101 |
+
Perhaps it relates to LC_NUMERIC or another. Can you try unsetting all LC_* but LC_ALL in your environment to see if that matters?
|
102 |
+
|
103 |
+
### oharboe
|
104 |
+
@maliberty @luis201420 With this change, I no longer get the warnings.
|
105 |
+
|
106 |
+
This is just a quick hack, I think it would be better if someone who knows what is going on and are aware of other edgecases articulates the pull request :-)
|
107 |
+
|
108 |
+
```
|
109 |
+
diff --git a/src/gui/src/gui.cpp b/src/gui/src/gui.cpp
|
110 |
+
index 355aa6842..7d79d99a3 100644
|
111 |
+
--- a/src/gui/src/gui.cpp
|
112 |
+
+++ b/src/gui/src/gui.cpp
|
113 |
+
@@ -1141,6 +1141,16 @@ int startGui(int& argc,
|
114 |
+
}
|
115 |
+
main_window->show();
|
116 |
+
|
117 |
+
+ // This avoids problems with locale setting dependent
|
118 |
+
+ // C functions like strtod (e.g. 0.5 vs 0,5).
|
119 |
+
+ std::array locales = {"en_US.UTF-8", "C.UTF-8", "C"};
|
120 |
+
+ for (auto locale : locales) {
|
121 |
+
+ if (std::setlocale(LC_ALL, locale) != nullptr) {
|
122 |
+
+ break;
|
123 |
+
+ }
|
124 |
+
+ }
|
125 |
+
+
|
126 |
+
+
|
127 |
+
gui->setLogger(open_road->getLogger());
|
128 |
+
|
129 |
+
main_window->setDatabase(open_road->getDb());
|
130 |
+
```
|
131 |
+
|
132 |
+
|
133 |
+
### maliberty
|
134 |
+
@luis201420 will you look at this since you can reproduce it
|
135 |
+
|
136 |
+
### oharboe
|
137 |
+
I guess this has been identified as a bug in OpenROAD, given that there are frameworks that set the locale after OpenROAD has set the locale.
|
138 |
+
|
Bug/3362.md
ADDED
@@ -0,0 +1,60 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Where does "Error: tdms_place.tcl, 35 cannot create std::vector larger than max_size()"
|
2 |
+
|
3 |
+
Tool: Global Placement
|
4 |
+
|
5 |
+
Subcategory: Memory allocation error
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
I want to debug it, but I searched the code and couldn't find this error message in the source.
|
11 |
+
|
12 |
+
```
|
13 |
+
[INFO GPL-0003] SiteSize: 54 270
|
14 |
+
[INFO GPL-0004] CoreAreaLxLy: 2160 2160
|
15 |
+
[INFO GPL-0005] CoreAreaUxUy: 25922160 25922160
|
16 |
+
Error: tdms_place.tcl, 35 cannot create std::vector larger than max_size()
|
17 |
+
Command exited with non-zero status 1
|
18 |
+
```
|
19 |
+
|
20 |
+
|
21 |
+
### maliberty
|
22 |
+
My guess is this is an STL exception and not in the OR code. In gdb try 'catch throw' to see when it is being thrown.
|
23 |
+
|
24 |
+
### oharboe
|
25 |
+
This is odd... 48000*96000 = 4608000000. Ah. overflow.
|
26 |
+
|
27 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/ca384a53-04da-42fd-9039-014b3bdd89f9)
|
28 |
+
|
29 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/a0156546-48aa-4c6c-84a1-ee9b89647226)
|
30 |
+
|
31 |
+
|
32 |
+
```
|
33 |
+
D$ git diff
|
34 |
+
diff --git a/src/gpl/src/placerBase.cpp b/src/gpl/src/placerBase.cpp
|
35 |
+
index 30ff82771..4f2c10b4e 100644
|
36 |
+
--- a/src/gpl/src/placerBase.cpp
|
37 |
+
+++ b/src/gpl/src/placerBase.cpp
|
38 |
+
@@ -1002,8 +1002,8 @@ void PlacerBase::initInstsForUnusableSites()
|
39 |
+
{
|
40 |
+
dbSet<dbRow> rows = db_->getChip()->getBlock()->getRows();
|
41 |
+
|
42 |
+
- int siteCountX = (die_.coreUx() - die_.coreLx()) / siteSizeX_;
|
43 |
+
- int siteCountY = (die_.coreUy() - die_.coreLy()) / siteSizeY_;
|
44 |
+
+ long siteCountX = (die_.coreUx() - die_.coreLx()) / siteSizeX_;
|
45 |
+
+ long siteCountY = (die_.coreUy() - die_.coreLy()) / siteSizeY_;
|
46 |
+
|
47 |
+
enum PlaceInfo
|
48 |
+
{
|
49 |
+
```
|
50 |
+
|
51 |
+
gives me:
|
52 |
+
|
53 |
+
```
|
54 |
+
[INFO GPL-0002] DBU: 1000
|
55 |
+
[INFO GPL-0003] SiteSize: 54 270
|
56 |
+
[INFO GPL-0004] CoreAreaLxLy: 2160 2160
|
57 |
+
[INFO GPL-0005] CoreAreaUxUy: 25922160 25922160
|
58 |
+
Error: out of memory.
|
59 |
+
```
|
60 |
+
|
Bug/3589.md
ADDED
@@ -0,0 +1,26 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# how to fix metal spacing DRCs
|
2 |
+
|
3 |
+
Tool: Detailed Routing
|
4 |
+
|
5 |
+
Subcategory: DRC violation
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### gkamendje
|
10 |
+
After 64 iterations DRT stopped on my design a generated a DRC report. It turns out that most of the DRC are related to METAL1 spacing (with net VSS) and Metal SpacingTableTw. The design is not very congested so I wonder why the tool could not fix these rules. Could it be that I am missing something here (maybe something in my platform definition)? Is there a way to fix these violations?
|
11 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56942214/af73acfe-92fb-4590-baaf-31be8548e95e)
|
12 |
+
|
13 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56942214/4ed7f795-fef7-4d49-9f78-76b85c9cae37)
|
14 |
+
|
15 |
+
|
16 |
+
|
17 |
+
### maliberty
|
18 |
+
Can you provide a test case?
|
19 |
+
|
20 |
+
### maliberty
|
21 |
+
You have very wide METAL1 power stripes:
|
22 |
+
|
23 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/ec146977-bcb3-4725-8140-4bef3c0137ef)
|
24 |
+
|
25 |
+
The pin is less than the min space (0.19 < 0.23) from the stripe so there is always going to be a DRC error here. You need to adjust your PDN definition to be resolve this.
|
26 |
+
|
Bug/3597.md
ADDED
@@ -0,0 +1,53 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Detailed routing loops instead of straight wire...
|
2 |
+
|
3 |
+
Tool: Detailed Routing
|
4 |
+
|
5 |
+
Subcategory: Routing pattern anomaly
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
I've been fixing some problems in mock-array in positioning of macros and pins not being aligned and now things are working well.
|
11 |
+
|
12 |
+
However, I found this curious thing when inspecting, a detailed routing loop :-)
|
13 |
+
|
14 |
+
I don't see any reason why a horizontal wire wouldn't work here...
|
15 |
+
|
16 |
+
Any ideas or insights?
|
17 |
+
|
18 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/381a3158-6635-452c-a270-247df28a6dae)
|
19 |
+
|
20 |
+
|
21 |
+
The above can be found if creating a `flow/settings.mk` file:
|
22 |
+
|
23 |
+
```
|
24 |
+
export DESIGN_CONFIG?=designs/asap7/mock-array/config.mk
|
25 |
+
export MOCK_ARRAY_DATAWIDTH?=8
|
26 |
+
export MOCK_ARRAY_TABLE?=8 8 4 4 5 5
|
27 |
+
export MOCK_ARRAY_SCALE?=80
|
28 |
+
```
|
29 |
+
|
30 |
+
and running `make verilog` and `make`
|
31 |
+
|
32 |
+
|
33 |
+
Various interesting things can be seen in detailed routing:
|
34 |
+
|
35 |
+
- almost all the wires are vertical/horizontal between "Element" macros. The loop above is untypical, usually it's just a slight horizontal/vertical deviation.
|
36 |
+
- there are hold cells in the middle to the right
|
37 |
+
|
38 |
+
|
39 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/e9bca2da-5e41-4ee6-b279-e7ca375bb26a)
|
40 |
+
|
41 |
+
|
42 |
+
|
43 |
+
Hold cells have to be placed *outside* to the right of the array, hence many non-vertical vertical wires between those two elements.
|
44 |
+
|
45 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/3a17be46-8604-498c-901f-ce375cbed5cc)
|
46 |
+
|
47 |
+
|
48 |
+
### maliberty
|
49 |
+
@osamahammad21 any thoughts on the loop?
|
50 |
+
|
51 |
+
### oharboe
|
52 |
+
A feature request filed: https://github.com/The-OpenROAD-Project/OpenROAD/issues/3634
|
53 |
+
|
Bug/4163.md
ADDED
@@ -0,0 +1,29 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# [WARNING GRT-0350] Missing route to pin iregister_read/io_bypass_1_bits_data[0].
|
2 |
+
|
3 |
+
Tool: Global Routing
|
4 |
+
|
5 |
+
Subcategory: Parasitics estimation issue
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
This is for the top level of MegaBoom that I'm playing around with.
|
11 |
+
|
12 |
+
Is this warning telling me that it can't find a route for the buffer tree for the pin in the warning?
|
13 |
+
|
14 |
+
It looks like this buffer tree is going from the iregister_read macro to the ALUExeUnit, which traverses Rob(reorder buffer), rename stage and the memory issue unit. The mpl2 macro placement is unfortunate here...
|
15 |
+
|
16 |
+
|
17 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/e3c6b965-bf83-403c-9dd5-70413735a8ca)
|
18 |
+
|
19 |
+
|
20 |
+
|
21 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/c2a76f06-f286-4b5a-8bc7-2fc0219bc9f8)
|
22 |
+
|
23 |
+
|
24 |
+
### maliberty
|
25 |
+
@eder-matheus please respond
|
26 |
+
|
27 |
+
### eder-matheus
|
28 |
+
@oharboe @maliberty This warning is related to the estimate_parasitics using global route parasitics. It usually happens when a routing topology is not correctly translated to the parasitics estimation structures. I will look into the test case and fix the cause of this bug.
|
29 |
+
|
Bug/4845.md
ADDED
@@ -0,0 +1,34 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Is [read_def -floorplan_initialize ] expected to unset [set_max_transition] and [set_max_capacitance] from sdc file?
|
2 |
+
|
3 |
+
Tool: Initialize Floorplan
|
4 |
+
|
5 |
+
Subcategory: Unexpected behavior
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### b62833
|
10 |
+
Before I file a bug, I want to make sure this is unexpected behavior.
|
11 |
+
|
12 |
+
In my sdc file I do a
|
13 |
+
```
|
14 |
+
|
15 |
+
set_max_transition [exp $clk_period * 0.20] [current_design]
|
16 |
+
set_max_capacitance 30 [current_design]
|
17 |
+
```
|
18 |
+
|
19 |
+
and then read a floorplan by setting FLOORPLAN_DEF.
|
20 |
+
|
21 |
+
When I run through floorplanning, 2_floorplan.sdc doesn't have those commands. I've narrowed it down to floorplan.tcl's `read_def -floorplan_initialize` that's removing these. Max transition and max capacitance can't be set in DEF if I remember correctly, so this is unexpected. Is this the desired tool behavior?
|
22 |
+
|
23 |
+
|
24 |
+
|
25 |
+
### maliberty
|
26 |
+
I would consider it unexpected so go ahead and open an issue with a test case
|
27 |
+
|
28 |
+
### b62833
|
29 |
+
Over the weekend I upgraded to OpenROAD version 2.0-13286-gebcc5196e and it works now.
|
30 |
+
|
31 |
+
It was broken in the version v2.0-11493-gaddecc2bd that I'd been using before.
|
32 |
+
|
33 |
+
We're good now.
|
34 |
+
|
Bug/5333.md
ADDED
@@ -0,0 +1,48 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# pdgen remove stripes with [WARNING PDN-0200] Removing floating shape:
|
2 |
+
|
3 |
+
Tool: Power Distribution Network Generator
|
4 |
+
|
5 |
+
Subcategory: Power distribution network issue
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### titan73
|
10 |
+
Based on the example at the bottom of https://openroad.readthedocs.io/en/latest/main/src/pdn/README.html.
|
11 |
+
|
12 |
+
I did the following floorplan with the .def containing the power pins:
|
13 |
+
|
14 |
+
read_def -floorplan_initialize floor.def
|
15 |
+
set fp_area [ord::get_die_area]
|
16 |
+
initialize_floorplan -die_area $fp_area -core_area $fp_area -site CORECUBRICK
|
17 |
+
|
18 |
+
add_global_connection -net VDDD -pin_pattern {^VDDD$} -power
|
19 |
+
add_global_connection -net GNDD -pin_pattern {^GNDD$} -ground
|
20 |
+
|
21 |
+
set_voltage_domain -power VDDD -ground GNDD
|
22 |
+
|
23 |
+
define_pdn_grid -name "Core"
|
24 |
+
add_pdn_ring -grid "Core" -layers {metal4B alucap} -widths 5.0 -spacings 2.0 -core_offsets 4.5 -connect_to_pads
|
25 |
+
|
26 |
+
add_pdn_stripe -followpins -layer metal1 -extend_to_core_ring
|
27 |
+
|
28 |
+
add_pdn_stripe -layer metal4B -width 0.48 -pitch 56.0 -offset 2.0 -extend_to_core_ring
|
29 |
+
add_pdn_stripe -layer alucap -width 1.40 -pitch 40.0 -offset 2.0 -extend_to_core_ring
|
30 |
+
|
31 |
+
add_pdn_connect -layers {metal4B alucap}
|
32 |
+
add_pdn_connect -layers {alucap metal4B}
|
33 |
+
|
34 |
+
pdngen
|
35 |
+
|
36 |
+
The sroutes and the ring are created but not the stripes and I get the the warnings:
|
37 |
+
|
38 |
+
[WARNING PDN-0200] Removing floating shape: GNDD (1.7600, -9.5000) - (2.2400, 821.3000) on metal4B
|
39 |
+
[WARNING PDN-0200] Removing floating shape: VDDD (29.7600, -16.5000) - (30.2400, 828.3000) on metal4B
|
40 |
+
|
41 |
+
Is there something more to do to connect these shapes?
|
42 |
+
|
43 |
+
### titan73
|
44 |
+
Also note that the related power pins imported the from .def are removed.
|
45 |
+
|
46 |
+
### maliberty
|
47 |
+
Can you provide a test case? Its hard to say much from just the output
|
48 |
+
|
Build/1951.md
ADDED
@@ -0,0 +1,25 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Is it possible to generate a static binary of OpenROAD?
|
2 |
+
|
3 |
+
Subcategory: Static binary generation
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### TiagoAFontana
|
8 |
+
Hi all, I am trying to execute the OpenROAD in a cluster machine. However, in this machine, I am not able to install the dependencies to compile the OpenROAD or even use the docker image to run the OpenROAD.
|
9 |
+
|
10 |
+
I was wondering if it is possible to set a cmake flag to generate a static binary (without any dependencies) for the OpenROAD project. With this, I will be able to run this binary on every machine that I need.
|
11 |
+
|
12 |
+
Does someone know how to do this?
|
13 |
+
|
14 |
+
### vijayank88
|
15 |
+
@vvbandeira Any suggestion?
|
16 |
+
|
17 |
+
### QuantamHD
|
18 |
+
Bazel rules hdl supports fully static builds of openroad.
|
19 |
+
|
20 |
+
Just download bazel, git clone https://github.com/hdl/bazel_rules_hdl
|
21 |
+
|
22 |
+
And run bazel build @org_theopenroadproject//:openroad in the git repo.
|
23 |
+
|
24 |
+
It also statically builds the entire dependency chain of openroad from source.
|
25 |
+
|
Build/2330.md
ADDED
@@ -0,0 +1,50 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Compilation Error
|
2 |
+
|
3 |
+
Subcategory: Compilation error
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### gudeh
|
8 |
+
Hey guys! I am getting the following compilation error:
|
9 |
+
|
10 |
+
> [100%] Linking CXX executable openroad
|
11 |
+
> cd /home/gudeh/Documents/OpenROAD-flow-scripts/tools/OpenROAD/build/src && /usr/local/bin/cmake -E cmake_link_script CMakeFiles/openroad.dir/link.txt --verbose=1
|
12 |
+
> /usr/bin/c++ -O3 -DNDEBUG -Wl,--export-dynamic -rdynamic CMakeFiles/openroad.dir/Design.cc.o CMakeFiles/openroad.dir/Tech.cc.o CMakeFiles/openroad.dir/OpenRoad.cc.o CMakeFiles/openroad.dir/Main.cc.o -o openroad ifp/src/ifp.a openroad_swig.a gpl/gpl.a dpl/dpl.a dpo/dpo.a fin/fin.a rsz/src/rsz.a ppl/ppl.a stt/stt.a dbSta/src/dbSta.a ../../src/sta/app/libOpenSTA.a odb/src/db/libodb.a odb/src/swig/tcl/odbtcl.a rcx/src/rcx.a rmp/src/rmp.a cts/src/cts.a grt/grt.a tap/src/tap.a gui/gui.a drt/drt.a dst/dst.a mpl/mpl.a mpl2/mpl2.a psm/src/psm.a ant/src/ant.a par/par.a utl/utl.a pdn/src/pdn.a ../third-party/abc/libabc.a /usr/lib/x86_64-linux-gnu/libtcl.so -lpthread /usr/lib/x86_64-linux-gnu/libtclreadline.so /usr/lib/x86_64-linux-gnu/libz.so _openroad_swig_py.a odb/src/swig/python/_odbpy.a ifp/src/_ifp_py.a /usr/lib/x86_64-linux-gnu/librt.so ppl/src/munkres/libMunkres.a -lm -ldl -lrt rsz/src/rsz.a grt/grt.a ant/src/ant.a grt/grt.a ant/src/ant.a dpl/dpl.a grt/src/fastroute/libFastRoute4.1.a stt/stt.a sta/sta_swig.a /usr/local/lib/libboost_serialization.a /usr/local/lib/libboost_system.a /usr/local/lib/libboost_thread.a /usr/lib/gcc/x86_64-linux-gnu/9/libgomp.so /usr/lib/x86_64-linux-gnu/libpthread.so /usr/local/lib/libboost_serialization.a mpl/src/ParquetFP/libParquetFP.a par/src/MLPart/libMLPart.a dbSta/src/dbSta.a gui/gui.a /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.12.8 ../../src/sta/app/libOpenSTA.a odb/src/db/libodb.a odb/src/defout/libdefout.a odb/src/lefout/liblefout.a odb/src/tm/libtm.a /usr/lib/x86_64-linux-gnu/libtcl.so odb/src/cdl/libcdl.a odb/src/swig/common/libodb_swig_common.a odb/src/defin/libdefin.a odb/src/def/libdef.a odb/src/def/libdefzlib.a odb/src/lefin/liblefin.a odb/src/zutil/libzutil.a utl/utl.a /usr/local/lib/libspdlog.a -lpthread odb/src/lef/liblef.a odb/src/lef/liblefzlib.a /usr/lib/x86_64-linux-gnu/libz.so /usr/lib/x86_64-linux-gnu/libpython3.8.so
|
13 |
+
> /usr/bin/ld: drt/drt.a(TritonRoute.cpp.o): in function \`boost::archive::basic_binary_iarchive<fr::frIArchive>::init()':
|
14 |
+
> TritonRoute.cpp:(.text._ZN5boost7archive21basic_binary_iarchiveIN2fr10frIArchiveEE4initEv[_ZN5boost7archive21basic_binary_iarchiveIN2fr10frIArchiveEE4initEv]+0xc4): undefined reference to `boost::archive::detail::basic_iarchive::set_library_version(boost::archive::library_version_type)'
|
15 |
+
> collect2: error: ld returned 1 exit status
|
16 |
+
> make[2]: *** [src/CMakeFiles/openroad.dir/build.make:210: src/openroad] Error 1
|
17 |
+
> make[2]: Leaving directory '/home/gudeh/Documents/OpenROAD-flow-scripts/tools/OpenROAD/build'
|
18 |
+
> make[1]: *** [CMakeFiles/Makefile2:449: src/CMakeFiles/openroad.dir/all] Error 2
|
19 |
+
> make[1]: *** Waiting for unfinished jobs....
|
20 |
+
> [100%] Linking CXX executable trTest
|
21 |
+
> cd /home/gudeh/Documents/OpenROAD-flow-scripts/tools/OpenROAD/build/src/drt && /usr/local/bin/cmake -E cmake_link_script CMakeFiles/trTest.dir/link.txt --verbose=1
|
22 |
+
> /usr/bin/c++ -O3 -DNDEBUG CMakeFiles/trTest.dir/test/gcTest.cpp.o CMakeFiles/trTest.dir/test/fixture.cpp.o CMakeFiles/trTest.dir/test/stubs.cpp.o CMakeFiles/trTest.dir/__/gui/src/stub.cpp.o -o trTest drt.a ../odb/src/db/libodb.a ../stt/stt.a ../dst/dst.a ../sta/sta_swig.a /usr/local/lib/libboost_serialization.a /usr/local/lib/libboost_system.a /usr/local/lib/libboost_thread.a ../dbSta/src/dbSta.a ../gui/gui.a ../odb/src/db/libodb.a ../odb/src/cdl/libcdl.a ../odb/src/defin/libdefin.a ../odb/src/def/libdef.a ../odb/src/def/libdefzlib.a ../odb/src/defout/libdefout.a ../odb/src/lefin/liblefin.a ../odb/src/lef/liblef.a ../odb/src/lef/liblefzlib.a ../odb/src/lefout/liblefout.a ../odb/src/tm/libtm.a ../odb/src/zutil/libzutil.a /usr/lib/x86_64-linux-gnu/libtcl.so /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.12.8 ../../../src/sta/app/libOpenSTA.a ../utl/utl.a /usr/local/lib/libspdlog.a -lpthread /usr/lib/gcc/x86_64-linux-gnu/9/libgomp.so /usr/lib/x86_64-linux-gnu/libpthread.so /usr/local/lib/libboost_serialization.a /usr/lib/x86_64-linux-gnu/libz.so
|
23 |
+
> make[2]: Leaving directory '/home/gudeh/Documents/OpenROAD-flow-scripts/tools/OpenROAD/build'
|
24 |
+
> [100%] Built target trTest
|
25 |
+
> make[1]: Leaving directory '/home/gudeh/Documents/OpenROAD-flow-scripts/tools/OpenROAD/build'
|
26 |
+
> make: *** [Makefile:133: all] Error 2
|
27 |
+
|
28 |
+
The error I pasted here is from a clean clone from the flow repository. I did the following commands to get to this error:
|
29 |
+
|
30 |
+
> git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts
|
31 |
+
> cd OpenROAD-flow-scripts
|
32 |
+
> sudo ./tools/OpenROAD/etc/DependencyInstaller.sh -dev
|
33 |
+
> ./build_openroad.sh --local
|
34 |
+
|
35 |
+
I noticed that if I run DepdencyInstaller multiple times it actually updates and compile Boost everytime. Not sure how to proceed, any help is welcome.
|
36 |
+
|
37 |
+
### maliberty
|
38 |
+
What OS and what compiler?
|
39 |
+
|
40 |
+
### maliberty
|
41 |
+
The log unfortunately doesn't have the boost version so I just added print that in the OR master branch. What version of boost do you have installed?
|
42 |
+
|
43 |
+
### gudeh
|
44 |
+
Hi everyone, I was able to solve the issue by manually updating Boost. I used the links from [this stackoverflow page](https://stackoverflow.com/questions/2829523/upgrading-boost-version) and set the "-prefix=" with the same location my Boost was already installed. Also, I had to clean my previous build from OR.
|
45 |
+
|
46 |
+
It seems that for some reason the DependencyInstaller didn't fetch the correct Boost version to upgrade to.
|
47 |
+
|
48 |
+
### maliberty
|
49 |
+
@vvbandeira any idea about " for some reason the DependencyInstaller didn't fetch the correct Boost version to upgrade to."?
|
50 |
+
|
Build/2342.md
ADDED
@@ -0,0 +1,51 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Apple clang 14.0.0 (Xcode 14.0.1) M1 coredumps compiling abc .c files
|
2 |
+
|
3 |
+
Tool: ABC
|
4 |
+
|
5 |
+
Subcategory: Compiler crash
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### stefanottili
|
10 |
+
Hi Folks,
|
11 |
+
|
12 |
+
just a heads up for anybody using an Apple M1 with the most recent Xcode 14.0.1
|
13 |
+
|
14 |
+
Apple clang 14.0.0 randomly coredumps compiling abc .c files. But not deterministically, restarting ./build_openroad.sh will eventually compile some of them til it finally always coredumps compiling extraUtilMisc.c.
|
15 |
+
|
16 |
+
I was able to compile OpenROAD on this machine before, but not with the latest and greatest Xcode.
|
17 |
+
|
18 |
+
I'm curious whether there are other M1/Xcode users out there with similar experience.
|
19 |
+
|
20 |
+
Stefan
|
21 |
+
|
22 |
+
gmake[2]: Entering directory '/Users/user/OpenROAD-flow-scripts/tools/OpenROAD/build'
|
23 |
+
[ 0%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/misc/extra/extraUtilMisc.c.o
|
24 |
+
cd /Users/user/OpenROAD-flow-scripts/tools/OpenROAD/build/third-party/abc && /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/c++ -I/Users/user/OpenROAD-flow-scripts/tools/OpenROAD/third-party/abc/src -O3 -DNDEBUG -arch arm64 -isysroot /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX12.3.sdk -Wall -Wno-array-bounds -Wno-nonnull -Wno-unused-variable -Wno-unused-function -Wno-write-strings -Wno-sign-compare -Wno-deprecated -Wno-c++11-narrowing -Wno-register -Wno-format -Wno-reserved-user-defined-literal -DABC_USE_STDINT_H=1 -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -DABC_USE_CUDD=1 -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -MD -MT third-party/abc/CMakeFiles/libabc.dir/src/misc/extra/extraUtilMisc.c.o -MF CMakeFiles/libabc.dir/src/misc/extra/extraUtilMisc.c.o.d -o CMakeFiles/libabc.dir/src/misc/extra/extraUtilMisc.c.o -c /Users/user/OpenROAD-flow-scripts/tools/OpenROAD/third-party/abc/src/misc/extra/extraUtilMisc.c
|
25 |
+
clang: error: unable to execute command: Segmentation fault: 11
|
26 |
+
clang: error: clang frontend command failed due to signal (use -v to see invocation)
|
27 |
+
Apple clang version 14.0.0 (clang-1400.0.29.102)
|
28 |
+
Target: arm64-apple-darwin21.6.0
|
29 |
+
Thread model: posix
|
30 |
+
InstalledDir: /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin
|
31 |
+
|
32 |
+
|
33 |
+
### stefanottili
|
34 |
+
downgrading the command line tools to 13.4 allows to build the project
|
35 |
+
|
36 |
+
### stefanottili
|
37 |
+
Here's some feedback from https://developer.apple.com/forums/thread/717259
|
38 |
+
|
39 |
+
> It only happens with optimized code. An alternative workaround for building openroad is to patch the abc cmake file to include -g
|
40 |
+
|
41 |
+
third-party/CMakeLists.txt @@ -46,6 +46,10 @@ if (NOT USE_SYSTEM_ABC)
|
42 |
+
readline is not needed since we call abc from c++
|
43 |
+
set(READLINE_FOUND FALSE)
|
44 |
+
+# apple clang 14.0.0 seg faults on abc without -g +add_compile_options(
|
45 |
+
$<$<CXX_COMPILER_ID:AppleClang>:-g>
|
46 |
+
+) add_subdirectory(abc)
|
47 |
+
endif()
|
48 |
+
|
49 |
+
### stefanottili
|
50 |
+
clang-1400.0.29.202 as part of Xcode 14.1.0 compiles OpenRoad without issues
|
51 |
+
|
Build/2952.md
ADDED
@@ -0,0 +1,104 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Cannot build with CUDA
|
2 |
+
|
3 |
+
Tool: Verilog to DB
|
4 |
+
|
5 |
+
Subcategory: Compiler version mismatch
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### yathAg
|
10 |
+
I am trying to build Openroad with cmake .. -DGPU=true and I get the following output
|
11 |
+
|
12 |
+
```
|
13 |
+
-- GPU is enabled
|
14 |
+
-- CUDA is found
|
15 |
+
-- The CUDA compiler identification is NVIDIA 10.1.243
|
16 |
+
-- Detecting CUDA compiler ABI info
|
17 |
+
-- Detecting CUDA compiler ABI info - done
|
18 |
+
-- Check for working CUDA compiler: /usr/bin/nvcc - skipped
|
19 |
+
-- Detecting CUDA compile features
|
20 |
+
-- Detecting CUDA compile features - done
|
21 |
+
-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version "9.0.0")
|
22 |
+
-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version "1.17.7")
|
23 |
+
-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version "2.10.7")
|
24 |
+
-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version "3.4.0")
|
25 |
+
-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version "8.0.1")
|
26 |
+
-- GUI is enabled
|
27 |
+
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version "1.80.0") found components: serialization
|
28 |
+
-- Found OpenMP_CXX: -fopenmp (found version "4.5")
|
29 |
+
-- Found OpenMP: TRUE (found version "4.5")
|
30 |
+
-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)
|
31 |
+
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version "1.80.0", minimum required is "1.78")
|
32 |
+
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version "1.80.0") found components: serialization system thread
|
33 |
+
-- TCL readline enabled
|
34 |
+
-- Tcl Extended disabled
|
35 |
+
-- Python3 enabled
|
36 |
+
-- Configuring done
|
37 |
+
CMake Warning (dev) in src/gpl/CMakeLists.txt:
|
38 |
+
Policy CMP0104 is not set: CMAKE_CUDA_ARCHITECTURES now detected for NVCC,
|
39 |
+
empty CUDA_ARCHITECTURES not allowed. Run "cmake --help-policy CMP0104"
|
40 |
+
for policy details. Use the cmake_policy command to set the policy and
|
41 |
+
suppress this warning.
|
42 |
+
|
43 |
+
CUDA_ARCHITECTURES is empty for target "gpl".
|
44 |
+
This warning is for project developers. Use -Wno-dev to suppress it.
|
45 |
+
|
46 |
+
CMake Error in src/gpl/CMakeLists.txt:
|
47 |
+
Target "gpl" requires the language dialect "CUDA17" . But the current
|
48 |
+
compiler "NVIDIA" does not support this, or CMake does not know the flags
|
49 |
+
to enable it.
|
50 |
+
|
51 |
+
|
52 |
+
-- Generating done
|
53 |
+
CMake Generate step failed. Build files cannot be regenerated correctly.
|
54 |
+
```
|
55 |
+
|
56 |
+
I have Cuda installed and on `nvidia-smi` I get
|
57 |
+
|
58 |
+
```
|
59 |
+
+-----------------------------------------------------------------------------+
|
60 |
+
| NVIDIA-SMI 525.85.12 Driver Version: 525.85.12 CUDA Version: 12.0 |
|
61 |
+
|-------------------------------+----------------------+----------------------+
|
62 |
+
| GPU Name Persistence-M| Bus-Id Disp.A | Volatile Uncorr. ECC |
|
63 |
+
| Fan Temp Perf Pwr:Usage/Cap| Memory-Usage | GPU-Util Compute M. |
|
64 |
+
| | | MIG M. |
|
65 |
+
|===============================+======================+======================|
|
66 |
+
| 0 NVIDIA GeForce ... On | 00000000:01:00.0 On | N/A |
|
67 |
+
| N/A 51C P5 18W / 115W | 187MiB / 6144MiB | 19% Default |
|
68 |
+
| | | N/A |
|
69 |
+
+-------------------------------+----------------------+----------------------+
|
70 |
+
|
71 |
+
+-----------------------------------------------------------------------------+
|
72 |
+
| Processes: |
|
73 |
+
| GPU GI CI PID Type Process name GPU Memory |
|
74 |
+
| ID ID Usage |
|
75 |
+
|=============================================================================|
|
76 |
+
| 0 N/A N/A 1211 G /usr/lib/xorg/Xorg 52MiB |
|
77 |
+
| 0 N/A N/A 1875 G /usr/lib/xorg/Xorg 133MiB |
|
78 |
+
+-----------------------------------------------------------------------------+
|
79 |
+
```
|
80 |
+
|
81 |
+
and on `nvcc -V`
|
82 |
+
|
83 |
+
```
|
84 |
+
nvcc: NVIDIA (R) Cuda compiler driver
|
85 |
+
Copyright (c) 2005-2019 NVIDIA Corporation
|
86 |
+
Built on Sun_Jul_28_19:07:16_PDT_2019
|
87 |
+
Cuda compilation tools, release 10.1, V10.1.243
|
88 |
+
```
|
89 |
+
Any help on how to get the setup working is really appreciated and thanks in advanced.
|
90 |
+
|
91 |
+
|
92 |
+
|
93 |
+
|
94 |
+
|
95 |
+
|
96 |
+
|
97 |
+
### vvbandeira
|
98 |
+
Please install a newer version of `nvcc` and try again. As per NVIDIA docs, you will require at least v11; see more [here](https://docs.nvidia.com/cuda/cuda-c-programming-guide/index.html#c-17-language-features).
|
99 |
+
|
100 |
+
### maliberty
|
101 |
+
If we bump to cmake 3.10 then https://cmake.org/cmake/help/latest/module/FindCUDA.html suggests we can use the usual VERSION keyword.
|
102 |
+
|
103 |
+
FYI - the use of CUDA is quite minimal and probably not worth the bother at this point.
|
104 |
+
|
Build/3094.md
ADDED
@@ -0,0 +1,50 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Problems with etc/DependencyInstaller.sh and md5 on boost
|
2 |
+
|
3 |
+
Subcategory: Dependency installation
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### oharboe
|
8 |
+
What's going on here?
|
9 |
+
|
10 |
+
```bash
|
11 |
+
$ etc/DependencyInstaller.sh -prefix=~/openroad-tools/ -common
|
12 |
+
[deleted]
|
13 |
+
Length: 136670223 (130M) [application/x-gzip]
|
14 |
+
Saving to: ‘boost_1_80_0.tar.gz.1’
|
15 |
+
|
16 |
+
boost_1_80_0.tar.gz 100%[===================>] 130,34M 2,37MB/s in 65s
|
17 |
+
|
18 |
+
2023-03-27 16:56:06 (2,02 MB/s) - ‘boost_1_80_0.tar.gz.1’ saved [136670223/136670223]
|
19 |
+
|
20 |
+
+ md5sum -c /dev/fd/63
|
21 |
+
++ echo '077f074743ea7b0cb49c6ed43953ae95 boost_1_80_0.tar.gz'
|
22 |
+
boost_1_80_0.tar.gz: FAILED
|
23 |
+
md5sum: WARNING: 1 computed checksum did NOT match
|
24 |
+
+ exit 1
|
25 |
+
```
|
26 |
+
|
27 |
+
### oharboe
|
28 |
+
Hmm.... I suspect a manifestation of https://github.com/The-OpenROAD-Project/OpenROAD/issues/3096
|
29 |
+
|
30 |
+
### vvbandeira
|
31 |
+
The problem is that the first download failed, and the file was not correctly deleted.
|
32 |
+
|
33 |
+
New download:
|
34 |
+
```
|
35 |
+
boost_1_80_0.tar.gz 100%[===================>] 130,34M 2,37MB/s in 65s
|
36 |
+
```
|
37 |
+
|
38 |
+
Saving with the `.1` suffix:
|
39 |
+
```
|
40 |
+
2023-03-27 16:56:06 (2,02 MB/s) - ‘boost_1_80_0.tar.gz.1’ saved [136670223/136670223]
|
41 |
+
```
|
42 |
+
|
43 |
+
Checking against the file without the suffix:
|
44 |
+
```
|
45 |
+
+ md5sum -c /dev/fd/63
|
46 |
+
++ echo '077f074743ea7b0cb49c6ed43953ae95 boost_1_80_0.tar.gz'
|
47 |
+
```
|
48 |
+
|
49 |
+
I will propose a PR that uses `mktemp` that should avoid this and the #3096 issues. You can manually delete the folder/offending file for now -- apologies for the inconvenience.
|
50 |
+
|
Build/3124.md
ADDED
@@ -0,0 +1,43 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Problems building - undefined reference to `ord::getLogger()'
|
2 |
+
|
3 |
+
Subcategory: Compilation error
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### oharboe
|
8 |
+
Any idea what is going on here?
|
9 |
+
|
10 |
+
```
|
11 |
+
./build_openroad.sh --local --openroad-args "-D CMAKE_BUILD_TYPE=RELEASE"
|
12 |
+
[deleted]
|
13 |
+
TIMEOUT=5 -D TEST_XML_OUTPUT_DIR= -P /home/oyvind/ascenium/OpenROAD-flow-scripts/dependencies/share/cmake-3.24/Modules/GoogleTestAddTests.cmake
|
14 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::report(char const*)':
|
15 |
+
LoggerCommon.cpp:(.text+0x18f): undefined reference to `ord::getLogger()'
|
16 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::open_metrics(char const*)':
|
17 |
+
LoggerCommon.cpp:(.text+0x2e9): undefined reference to `ord::getLogger()'
|
18 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::close_metrics(char const*)':
|
19 |
+
LoggerCommon.cpp:(.text+0x309): undefined reference to `ord::getLogger()'
|
20 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::set_metrics_stage(char const*)':
|
21 |
+
LoggerCommon.cpp:(.text+0x32e): undefined reference to `ord::getLogger()'
|
22 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::clear_metrics_stage()':
|
23 |
+
LoggerCommon.cpp:(.text+0x369): undefined reference to `ord::getLogger()'
|
24 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o):LoggerCommon.cpp:(.text+0x38e): more undefined references to `ord::getLogger()' follow
|
25 |
+
collect2: error: ld returned 1 exit status
|
26 |
+
```
|
27 |
+
|
28 |
+
### vijayank88
|
29 |
+
@oharboe
|
30 |
+
Have you removed `OpenROAD-flow-scripts/tools` directory before building again.
|
31 |
+
Sometimes it may cause the issue.
|
32 |
+
@vvbandeira Can please check this?
|
33 |
+
|
34 |
+
### maliberty
|
35 |
+
which binary is it building when the error happens?
|
36 |
+
|
37 |
+
### oharboe
|
38 |
+
Rejoice! I finally got it to compile. I deleted the *entire* ORFS folder, recloned, reran sudo ./setup.sh and then the build worked.
|
39 |
+
|
40 |
+
So, somewhere inside the ORFS folder there was an out of date file that wasn't being built...
|
41 |
+
|
42 |
+
It would be nice to know what exactly is going wrong, but I guess that will have to wait until next time it breaks and I do some more investigations?
|
43 |
+
|
Build/3148.md
ADDED
@@ -0,0 +1,51 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# LoggerCommon.cpp:(.text+0x18f): undefined reference to `ord::getLogger()'
|
2 |
+
|
3 |
+
Subcategory: Linking error
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### oharboe
|
8 |
+
I'm getting this problem again while building OpenROAD locally. I've tried recloning and deleting the entire ORFS folder, still no luck.
|
9 |
+
|
10 |
+
Any ideas?
|
11 |
+
|
12 |
+
```
|
13 |
+
$ lsb_release -a
|
14 |
+
No LSB modules are available.
|
15 |
+
Distributor ID: Ubuntu
|
16 |
+
Description: Ubuntu 22.10
|
17 |
+
Release: 22.10
|
18 |
+
Codename: kinetic
|
19 |
+
|
20 |
+
```
|
21 |
+
```
|
22 |
+
./build_openroad.sh --local --openroad-args "-D CMAKE_BUILD_TYPE=RELEASE"
|
23 |
+
```
|
24 |
+
|
25 |
+
```
|
26 |
+
[deleted]
|
27 |
+
FAILED: src/dpl/test/dpl_test src/dpl/test/dpl_test[1]_tests.cmake /home/oyvind/ascenium/blah/tools/OpenROAD/build/src/dpl/test/dpl_test[1]_tests.cmake
|
28 |
+
: && /usr/bin/c++ -O3 -DNDEBUG src/dpl/test/CMakeFiles/dpl_test.dir/dpl_test.cc.o -o src/dpl/test/dpl_test -lgtest -lgtest_main src/dpl/libdpl_lib.a src/odb/src/cdl/libcdl.a src/odb/src/defin/libdefin.a src/odb/src/def/libdef.a src/odb/src/def/libdefzlib.a src/odb/src/lefin/liblefin.a src/odb/src/lef/liblef.a src/odb/src/lef/liblefzlib.a /usr/lib/x86_64-linux-gnu/libz.so src/odb/src/db/libdb.a src/odb/src/defout/libdefout.a src/odb/src/lefout/liblefout.a src/odb/src/zutil/libzutil.a src/odb/src/db/libdb.a src/odb/src/defout/libdefout.a src/odb/src/lefout/liblefout.a src/odb/src/zutil/libzutil.a src/odb/src/tm/libtm.a /home/oyvind/ascenium/blah/tools/OpenROAD/src/sta/app/libOpenSTA.a /usr/lib/x86_64-linux-gnu/libtcl.so /usr/lib/x86_64-linux-gnu/libtclreadline.so /usr/lib/x86_64-linux-gnu/libz.so -ltcl src/utl/utl.a src/utl/libutl_lib.a /home/oyvind/ascenium/blah/dependencies/lib/libspdlog.a && cd /home/oyvind/ascenium/blah/tools/OpenROAD/build/src/dpl/test && /home/oyvind/ascenium/blah/dependencies/bin/cmake -D TEST_TARGET=dpl_test -D TEST_EXECUTABLE=/home/oyvind/ascenium/blah/tools/OpenROAD/build/src/dpl/test/dpl_test -D TEST_EXECUTOR= -D TEST_WORKING_DIR=/home/oyvind/ascenium/blah/tools/OpenROAD/src/dpl/test -D TEST_EXTRA_ARGS= -D TEST_PROPERTIES= -D TEST_PREFIX= -D TEST_SUFFIX= -D TEST_FILTER= -D NO_PRETTY_TYPES=FALSE -D NO_PRETTY_VALUES=FALSE -D TEST_LIST=dpl_test_TESTS -D CTEST_FILE=/home/oyvind/ascenium/blah/tools/OpenROAD/build/src/dpl/test/dpl_test[1]_tests.cmake -D TEST_DISCOVERY_TIMEOUT=5 -D TEST_XML_OUTPUT_DIR= -P /home/oyvind/ascenium/blah/dependencies/share/cmake-3.24/Modules/GoogleTestAddTests.cmake
|
29 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::report(char const*)':
|
30 |
+
LoggerCommon.cpp:(.text+0x18f): undefined reference to `ord::getLogger()'
|
31 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::open_metrics(char const*)':
|
32 |
+
LoggerCommon.cpp:(.text+0x2e9): undefined reference to `ord::getLogger()'
|
33 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::close_metrics(char const*)':
|
34 |
+
LoggerCommon.cpp:(.text+0x309): undefined reference to `ord::getLogger()'
|
35 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::set_metrics_stage(char const*)':
|
36 |
+
LoggerCommon.cpp:(.text+0x32e): undefined reference to `ord::getLogger()'
|
37 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o): in function `utl::clear_metrics_stage()':
|
38 |
+
LoggerCommon.cpp:(.text+0x369): undefined reference to `ord::getLogger()'
|
39 |
+
/usr/bin/ld: src/utl/utl.a(LoggerCommon.cpp.o):LoggerCommon.cpp:(.text+0x38e): more undefined references to `ord::getLogger()' follow
|
40 |
+
collect2: error: ld returned 1 exit status
|
41 |
+
[3/39] Automatic MOC and UIC for target gui
|
42 |
+
ninja: build stopped: subcommand failed.
|
43 |
+
```
|
44 |
+
|
45 |
+
|
46 |
+
### maliberty
|
47 |
+
I can't reproduce it but can you try changing https://github.com/The-OpenROAD-Project/OpenROAD/blob/8a49dff86645352d30780951b1146b31ef41b0f4/src/dpl/CMakeLists.txt#L58 to utl_lib and see if that helps.
|
48 |
+
|
49 |
+
### maliberty
|
50 |
+
PR coming. I'm not sure why it varies - perhaps different compilers?
|
51 |
+
|
Build/3489.md
ADDED
@@ -0,0 +1,26 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# ABC contains local modifications!
|
2 |
+
|
3 |
+
Tool: Verilog to DB
|
4 |
+
|
5 |
+
Subcategory: Compilation error
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### Simonliudan
|
10 |
+
[ 12%] Building abc/abc-bafd2a7
|
11 |
+
Debug: ABCREV=bafd2a7
|
12 |
+
[ 22%] Building passes/techmap/filterlib.o
|
13 |
+
[ 22%] Building yosys-smtbmc
|
14 |
+
ERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!
|
15 |
+
make: *** [Makefile:749: abc/abc-bafd2a7] Error 1
|
16 |
+
|
17 |
+
in Centos, I have set ABCREV = default, but the debug info is bafd2a7
|
18 |
+
|
19 |
+
|
20 |
+
### vijayank88
|
21 |
+
Is this installation issue?
|
22 |
+
Can you provide steps to reproduce the same?
|
23 |
+
|
24 |
+
### vvbandeira
|
25 |
+
@Simonliudan, this looks more like an issue than a discussion. Please file an Issue and follow the Issue template, the template give us important information to help you.
|
26 |
+
|
Build/3513.md
ADDED
@@ -0,0 +1,33 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Build problems. Missing gmock.h
|
2 |
+
|
3 |
+
Subcategory: Missing dependency
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### oharboe
|
8 |
+
There has been a lot of activity concerning build errors, but I tried with latest master merged with #3512
|
9 |
+
|
10 |
+
Is it enough to update to latest master or do I need to purge something or other locally?
|
11 |
+
|
12 |
+
```
|
13 |
+
./build_openroad.sh --local --openroad-args "-DCMAKE_BUILD_TYPE=RELEASE -DCMAKE_CXX_FLAGS=-g"
|
14 |
+
```
|
15 |
+
|
16 |
+
```
|
17 |
+
[ 25%] Building CXX object src/odb/test/cpp/CMakeFiles/TestGuide.dir/TestGuide.cpp.o
|
18 |
+
/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp/TestAbstractLef.cc:13:10: fatal error: gmock/gmock.h: No such file or directory
|
19 |
+
13 | #include "gmock/gmock.h"
|
20 |
+
| ^~~~~~~~~~~~~~~
|
21 |
+
compilation terminated.
|
22 |
+
gmake[2]: *** [src/odb/test/cpp/CMakeFiles/OdbGTests.dir/build.make:90: src/odb/test/cpp/CMakeFiles/OdbGTests.dir/TestAbstractLef.cc.o] Error 1
|
23 |
+
gmake[1]: *** [CMakeFiles/Makefile2:2331: src/odb/test/cpp/CMakeFiles/OdbGTests.dir/all] Error 2
|
24 |
+
gmake[1]: *** Waiting for unfinished jobs...
|
25 |
+
```
|
26 |
+
|
27 |
+
|
28 |
+
### QuantamHD
|
29 |
+
This ones my bad. It seems that some installations of libgtest-dev don't include google mock. If you uninstall your system gtest it will fix this error, but I'll see if there's something else we could do to fix this.
|
30 |
+
|
31 |
+
### vvbandeira
|
32 |
+
Fixed on #3514
|
33 |
+
|
Build/3779.md
ADDED
@@ -0,0 +1,19 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# arm64 release for Ubuntu
|
2 |
+
|
3 |
+
Subcategory: Cross-platform compilation
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### ilyaext
|
8 |
+
I'm looking for an arm64 OpenROAD architecture release to install on Linux AWS EC2 arm64.
|
9 |
+
Can anyone give me a link or suggest how to compile?
|
10 |
+
|
11 |
+
### maliberty
|
12 |
+
We don't test or release on arm64 but you can probably build from source.
|
13 |
+
|
14 |
+
### maliberty
|
15 |
+
https://openroad.readthedocs.io/en/latest/user/Build.html
|
16 |
+
|
17 |
+
### maliberty
|
18 |
+
https://openroad-flow-scripts.readthedocs.io/en/latest/user/BuildLocally.html for ORFS
|
19 |
+
|
Build/4137.md
ADDED
@@ -0,0 +1,1565 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# OpenROAD build issue
|
2 |
+
|
3 |
+
Subcategory: Compilation error
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### idokoike
|
8 |
+
|
9 |
+
I've been trying to fully install OpenROAD using the` ./build_openroad.sh --local --threads 1` command but I keep getting the error
|
10 |
+
```
|
11 |
+
[INFO FLW-0027] Saving logs to build_openroad.log
|
12 |
+
[INFO FLW-0028] ./build_openroad.sh --local --threads 1
|
13 |
+
[INFO FLW-0002] Updating git submodules.
|
14 |
+
[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.
|
15 |
+
[INFO FLW-0017] Compiling Yosys.
|
16 |
+
make: Entering directory '/home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/yosys'
|
17 |
+
mkdir -p /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin
|
18 |
+
cp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin
|
19 |
+
strip -S /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys
|
20 |
+
strip /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-abc
|
21 |
+
strip /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-filterlib
|
22 |
+
mkdir -p /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/share/yosys
|
23 |
+
cp -r share/. /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/share/yosys/.
|
24 |
+
make: Leaving directory '/home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/yosys'
|
25 |
+
[INFO FLW-0018] Compiling OpenROAD.
|
26 |
+
-- OpenROAD version: v2.0-10669-g436c7801a
|
27 |
+
-- System name: Linux
|
28 |
+
-- Compiler: GNU 11.4.0
|
29 |
+
-- Build type: RELEASE
|
30 |
+
-- Install prefix: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/OpenROAD
|
31 |
+
-- C++ Standard: 17
|
32 |
+
-- C++ Standard Required: ON
|
33 |
+
-- C++ Extensions: OFF
|
34 |
+
-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so
|
35 |
+
-- TCL header: /usr/include/tcl/tcl.h
|
36 |
+
-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so
|
37 |
+
-- TCL readline header: /usr/include/x86_64-linux-gnu
|
38 |
+
-- boost: 1.74.0
|
39 |
+
-- spdlog: 1.9.2
|
40 |
+
CMake Warning at src/CMakeLists.txt:242 (message):
|
41 |
+
spdlog: SPDLOG_FMT_EXTERNAL=ON
|
42 |
+
|
43 |
+
|
44 |
+
-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)
|
45 |
+
-- STA version: 2.4.0
|
46 |
+
-- STA git sha: 44f06c521560e803859218732255b3259de048e1
|
47 |
+
-- System name: Linux
|
48 |
+
-- Compiler: GNU 11.4.0
|
49 |
+
-- Build type: RELEASE
|
50 |
+
-- Build CXX_FLAGS: -O3 -DNDEBUG
|
51 |
+
-- Install prefix: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/OpenROAD
|
52 |
+
-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so
|
53 |
+
-- TCL header: /usr/include/tcl/tcl.h
|
54 |
+
-- SSTA: 0
|
55 |
+
-- STA executable: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta
|
56 |
+
CMake Error at src/gpl/CMakeLists.txt:44 (find_package):
|
57 |
+
By not providing "Findortools.cmake" in CMAKE_MODULE_PATH this project has
|
58 |
+
asked CMake to find a package configuration file provided by "ortools", but
|
59 |
+
CMake did not find one.
|
60 |
+
|
61 |
+
Could not find a package configuration file provided by "ortools" with any
|
62 |
+
of the following names:
|
63 |
+
|
64 |
+
ortoolsConfig.cmake
|
65 |
+
ortools-config.cmake
|
66 |
+
|
67 |
+
Add the installation prefix of "ortools" to CMAKE_PREFIX_PATH or set
|
68 |
+
"ortools_DIR" to a directory containing one of the above files. If
|
69 |
+
"ortools" provides a separate development package or SDK, be sure it has
|
70 |
+
been installed.
|
71 |
+
|
72 |
+
|
73 |
+
-- Configuring incomplete, errors occurred!
|
74 |
+
|
75 |
+
```
|
76 |
+
I've installed or-tools using `git clone https://github.com/google/or-tools.git` and I'm still getting the error
|
77 |
+
|
78 |
+
### rovinski
|
79 |
+
This is better to submit as an issue in order to get a better handle on your environment.
|
80 |
+
|
81 |
+
That being said, where are you installing ortools? The default location that CMake looks for is the default paths searched by CMake's `find_package` and also `/opt/or-tools/lib64/` and `/opt/or-tools/lib/`. If it's not in one of those locations, you should either install it there, or add `--openroad-args "ortools_DIR=path/to/your/ortools/cmake/file"` to your script invocation.
|
82 |
+
|
83 |
+
### idokoike
|
84 |
+
Thanks. I've added `--openroad-args "ortools_DIR=path/to/your/ortools/cmake/file"` to my script, and it works kind of but I'm getting another error
|
85 |
+
|
86 |
+
```
|
87 |
+
[INFO FLW-0027] Saving logs to build_openroad.log
|
88 |
+
[INFO FLW-0028] ./build_openroad.sh --local threads 2 --openroad-args /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build
|
89 |
+
[INFO FLW-0002] Updating git submodules.
|
90 |
+
[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.
|
91 |
+
[INFO FLW-0017] Compiling Yosys.
|
92 |
+
make: Entering directory '/home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/yosys'
|
93 |
+
mkdir -p /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin
|
94 |
+
cp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin
|
95 |
+
strip -S /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys
|
96 |
+
strip /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-abc
|
97 |
+
strip /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-filterlib
|
98 |
+
mkdir -p /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/share/yosys
|
99 |
+
cp -r share/. /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/yosys/share/yosys/.
|
100 |
+
make: Leaving directory '/home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/yosys'
|
101 |
+
[INFO FLW-0018] Compiling OpenROAD.
|
102 |
+
-- ortools version: 9.7.3002
|
103 |
+
-- Build type: Release
|
104 |
+
-- Build C++ library: ON
|
105 |
+
-- Build Python: OFF
|
106 |
+
-- Build Java: OFF
|
107 |
+
-- Build .Net: OFF
|
108 |
+
-- Build Flatzinc: ON
|
109 |
+
-- Build LP Parser: ON
|
110 |
+
-- Build standalone Glop: OFF
|
111 |
+
-- Build samples: ON
|
112 |
+
-- Build C++ samples: ON
|
113 |
+
-- Build Python samples: OFF
|
114 |
+
-- Build Java samples: OFF
|
115 |
+
-- Build .Net samples: OFF
|
116 |
+
-- Build examples: ON
|
117 |
+
-- Build C++ examples: ON
|
118 |
+
-- Build Python examples: OFF
|
119 |
+
-- Build Java examples: OFF
|
120 |
+
-- Build .Net examples: OFF
|
121 |
+
-- Build documentation: OFF
|
122 |
+
-- Install doc: OFF
|
123 |
+
-- Build all dependencies: ON
|
124 |
+
-- Build ZLIB: ON
|
125 |
+
-- Build abseil-cpp: ON
|
126 |
+
-- Build protobuf: ON
|
127 |
+
-- Build re2: ON
|
128 |
+
-- Build googletest: ON
|
129 |
+
-- COIN-OR support: OFF
|
130 |
+
-- GLPK support: OFF
|
131 |
+
-- HiGHS support: OFF
|
132 |
+
-- PDLP support: ON
|
133 |
+
-- Build PDLP: ON
|
134 |
+
-- Build eigen3: ON
|
135 |
+
-- SCIP support: OFF
|
136 |
+
-- CPLEX support: OFF
|
137 |
+
-- XPRESS support: OFF
|
138 |
+
-- C++: Build doc: OFF
|
139 |
+
-- Fetching ZLIB
|
140 |
+
-- Populating zlib
|
141 |
+
-- Configuring done
|
142 |
+
-- Generating done
|
143 |
+
-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build/_deps/zlib-subbuild
|
144 |
+
[100%] Built target zlib-populate
|
145 |
+
-- Fetching ZLIB - fetched
|
146 |
+
-- Fetching Abseil-cpp
|
147 |
+
-- Populating absl
|
148 |
+
-- Configuring done
|
149 |
+
-- Generating done
|
150 |
+
-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build/_deps/absl-subbuild
|
151 |
+
[100%] Built target absl-populate
|
152 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:605 (message):
|
153 |
+
ABSL_CXX_STANDARD:
|
154 |
+
Call Stack (most recent call first):
|
155 |
+
build/_deps/absl-src/CMakeLists.txt:86 (include)
|
156 |
+
|
157 |
+
|
158 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:606 (message):
|
159 |
+
CMAKE_CXX_STANDARD: 17
|
160 |
+
Call Stack (most recent call first):
|
161 |
+
build/_deps/absl-src/CMakeLists.txt:86 (include)
|
162 |
+
|
163 |
+
|
164 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:607 (message):
|
165 |
+
CMAKE_CXX_STANDARD_REQUIRED: ON
|
166 |
+
Call Stack (most recent call first):
|
167 |
+
build/_deps/absl-src/CMakeLists.txt:86 (include)
|
168 |
+
|
169 |
+
|
170 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:608 (message):
|
171 |
+
CMAKE_CXX_FLAGS:
|
172 |
+
Call Stack (most recent call first):
|
173 |
+
build/_deps/absl-src/CMakeLists.txt:86 (include)
|
174 |
+
|
175 |
+
|
176 |
+
CMake Warning at build/_deps/absl-src/absl/copts/AbseilConfigureCopts.cmake:97 (message):
|
177 |
+
ABSL_CXX_STANDARD: 17.
|
178 |
+
Call Stack (most recent call first):
|
179 |
+
build/_deps/absl-src/CMake/AbseilHelpers.cmake:18 (include)
|
180 |
+
build/_deps/absl-src/CMakeLists.txt:87 (include)
|
181 |
+
|
182 |
+
|
183 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:605 (message):
|
184 |
+
ABSL_CXX_STANDARD: 17
|
185 |
+
Call Stack (most recent call first):
|
186 |
+
build/_deps/absl-src/CMake/AbseilHelpers.cmake:19 (include)
|
187 |
+
build/_deps/absl-src/CMakeLists.txt:87 (include)
|
188 |
+
|
189 |
+
|
190 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:606 (message):
|
191 |
+
CMAKE_CXX_STANDARD: 17
|
192 |
+
Call Stack (most recent call first):
|
193 |
+
build/_deps/absl-src/CMake/AbseilHelpers.cmake:19 (include)
|
194 |
+
build/_deps/absl-src/CMakeLists.txt:87 (include)
|
195 |
+
|
196 |
+
|
197 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:607 (message):
|
198 |
+
CMAKE_CXX_STANDARD_REQUIRED: ON
|
199 |
+
Call Stack (most recent call first):
|
200 |
+
build/_deps/absl-src/CMake/AbseilHelpers.cmake:19 (include)
|
201 |
+
build/_deps/absl-src/CMakeLists.txt:87 (include)
|
202 |
+
|
203 |
+
|
204 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilDll.cmake:608 (message):
|
205 |
+
CMAKE_CXX_FLAGS:
|
206 |
+
Call Stack (most recent call first):
|
207 |
+
build/_deps/absl-src/CMake/AbseilHelpers.cmake:19 (include)
|
208 |
+
build/_deps/absl-src/CMakeLists.txt:87 (include)
|
209 |
+
|
210 |
+
|
211 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
212 |
+
Force CXX_FLAGS flags to cxx_std_17
|
213 |
+
Call Stack (most recent call first):
|
214 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:20 (absl_cc_library)
|
215 |
+
|
216 |
+
|
217 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
218 |
+
Force CXX_FLAGS flags to cxx_std_17
|
219 |
+
Call Stack (most recent call first):
|
220 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:33 (absl_cc_library)
|
221 |
+
|
222 |
+
|
223 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
224 |
+
Force CXX_FLAGS flags to cxx_std_17
|
225 |
+
Call Stack (most recent call first):
|
226 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:44 (absl_cc_library)
|
227 |
+
|
228 |
+
|
229 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
230 |
+
Force CXX_FLAGS flags to cxx_std_17
|
231 |
+
Call Stack (most recent call first):
|
232 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:58 (absl_cc_library)
|
233 |
+
|
234 |
+
|
235 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
236 |
+
Force CXX_FLAGS flags to cxx_std_17
|
237 |
+
Call Stack (most recent call first):
|
238 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:76 (absl_cc_library)
|
239 |
+
|
240 |
+
|
241 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
242 |
+
Force CXX_FLAGS flags to cxx_std_17
|
243 |
+
Call Stack (most recent call first):
|
244 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:95 (absl_cc_library)
|
245 |
+
|
246 |
+
|
247 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
248 |
+
Force CXX_FLAGS flags to cxx_std_17
|
249 |
+
Call Stack (most recent call first):
|
250 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:107 (absl_cc_library)
|
251 |
+
|
252 |
+
|
253 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
254 |
+
Force CXX_FLAGS flags to cxx_std_17
|
255 |
+
Call Stack (most recent call first):
|
256 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:121 (absl_cc_library)
|
257 |
+
|
258 |
+
|
259 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
260 |
+
Force CXX_FLAGS flags to cxx_std_17
|
261 |
+
Call Stack (most recent call first):
|
262 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:140 (absl_cc_library)
|
263 |
+
|
264 |
+
|
265 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
266 |
+
Force CXX_FLAGS flags to cxx_std_17
|
267 |
+
Call Stack (most recent call first):
|
268 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:161 (absl_cc_library)
|
269 |
+
|
270 |
+
|
271 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
272 |
+
Force CXX_FLAGS flags to cxx_std_17
|
273 |
+
Call Stack (most recent call first):
|
274 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:177 (absl_cc_library)
|
275 |
+
|
276 |
+
|
277 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
278 |
+
Force CXX_FLAGS flags to cxx_std_17
|
279 |
+
Call Stack (most recent call first):
|
280 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:220 (absl_cc_library)
|
281 |
+
|
282 |
+
|
283 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
284 |
+
Force CXX_FLAGS flags to cxx_std_17
|
285 |
+
Call Stack (most recent call first):
|
286 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:249 (absl_cc_library)
|
287 |
+
|
288 |
+
|
289 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
290 |
+
Force CXX_FLAGS flags to cxx_std_17
|
291 |
+
Call Stack (most recent call first):
|
292 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:428 (absl_cc_library)
|
293 |
+
|
294 |
+
|
295 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
296 |
+
Force CXX_FLAGS flags to cxx_std_17
|
297 |
+
Call Stack (most recent call first):
|
298 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:539 (absl_cc_library)
|
299 |
+
|
300 |
+
|
301 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
302 |
+
Force CXX_FLAGS flags to cxx_std_17
|
303 |
+
Call Stack (most recent call first):
|
304 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:591 (absl_cc_library)
|
305 |
+
|
306 |
+
|
307 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
308 |
+
Force CXX_FLAGS flags to cxx_std_17
|
309 |
+
Call Stack (most recent call first):
|
310 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:623 (absl_cc_library)
|
311 |
+
|
312 |
+
|
313 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
314 |
+
Force CXX_FLAGS flags to cxx_std_17
|
315 |
+
Call Stack (most recent call first):
|
316 |
+
build/_deps/absl-src/absl/base/CMakeLists.txt:649 (absl_cc_library)
|
317 |
+
|
318 |
+
|
319 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
320 |
+
Force CXX_FLAGS flags to cxx_std_17
|
321 |
+
Call Stack (most recent call first):
|
322 |
+
build/_deps/absl-src/absl/algorithm/CMakeLists.txt:17 (absl_cc_library)
|
323 |
+
|
324 |
+
|
325 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
326 |
+
Force CXX_FLAGS flags to cxx_std_17
|
327 |
+
Call Stack (most recent call first):
|
328 |
+
build/_deps/absl-src/absl/algorithm/CMakeLists.txt:42 (absl_cc_library)
|
329 |
+
|
330 |
+
|
331 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
332 |
+
Force CXX_FLAGS flags to cxx_std_17
|
333 |
+
Call Stack (most recent call first):
|
334 |
+
build/_deps/absl-src/absl/cleanup/CMakeLists.txt:16 (absl_cc_library)
|
335 |
+
|
336 |
+
|
337 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
338 |
+
Force CXX_FLAGS flags to cxx_std_17
|
339 |
+
Call Stack (most recent call first):
|
340 |
+
build/_deps/absl-src/absl/cleanup/CMakeLists.txt:30 (absl_cc_library)
|
341 |
+
|
342 |
+
|
343 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
344 |
+
Force CXX_FLAGS flags to cxx_std_17
|
345 |
+
Call Stack (most recent call first):
|
346 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:17 (absl_cc_library)
|
347 |
+
|
348 |
+
|
349 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
350 |
+
Force CXX_FLAGS flags to cxx_std_17
|
351 |
+
Call Stack (most recent call first):
|
352 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:91 (absl_cc_library)
|
353 |
+
|
354 |
+
|
355 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
356 |
+
Force CXX_FLAGS flags to cxx_std_17
|
357 |
+
Call Stack (most recent call first):
|
358 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:120 (absl_cc_library)
|
359 |
+
|
360 |
+
|
361 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
362 |
+
Force CXX_FLAGS flags to cxx_std_17
|
363 |
+
Call Stack (most recent call first):
|
364 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:170 (absl_cc_library)
|
365 |
+
|
366 |
+
|
367 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
368 |
+
Force CXX_FLAGS flags to cxx_std_17
|
369 |
+
Call Stack (most recent call first):
|
370 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:186 (absl_cc_library)
|
371 |
+
|
372 |
+
|
373 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
374 |
+
Force CXX_FLAGS flags to cxx_std_17
|
375 |
+
Call Stack (most recent call first):
|
376 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:204 (absl_cc_library)
|
377 |
+
|
378 |
+
|
379 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
380 |
+
Force CXX_FLAGS flags to cxx_std_17
|
381 |
+
Call Stack (most recent call first):
|
382 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:277 (absl_cc_library)
|
383 |
+
|
384 |
+
|
385 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
386 |
+
Force CXX_FLAGS flags to cxx_std_17
|
387 |
+
Call Stack (most recent call first):
|
388 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:313 (absl_cc_library)
|
389 |
+
|
390 |
+
|
391 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
392 |
+
Force CXX_FLAGS flags to cxx_std_17
|
393 |
+
Call Stack (most recent call first):
|
394 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:351 (absl_cc_library)
|
395 |
+
|
396 |
+
|
397 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
398 |
+
Force CXX_FLAGS flags to cxx_std_17
|
399 |
+
Call Stack (most recent call first):
|
400 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:387 (absl_cc_library)
|
401 |
+
|
402 |
+
|
403 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
404 |
+
Force CXX_FLAGS flags to cxx_std_17
|
405 |
+
Call Stack (most recent call first):
|
406 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:423 (absl_cc_library)
|
407 |
+
|
408 |
+
|
409 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
410 |
+
Force CXX_FLAGS flags to cxx_std_17
|
411 |
+
Call Stack (most recent call first):
|
412 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:453 (absl_cc_library)
|
413 |
+
|
414 |
+
|
415 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
416 |
+
Force CXX_FLAGS flags to cxx_std_17
|
417 |
+
Call Stack (most recent call first):
|
418 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:530 (absl_cc_library)
|
419 |
+
|
420 |
+
|
421 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
422 |
+
Force CXX_FLAGS flags to cxx_std_17
|
423 |
+
Call Stack (most recent call first):
|
424 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:556 (absl_cc_library)
|
425 |
+
|
426 |
+
|
427 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
428 |
+
Force CXX_FLAGS flags to cxx_std_17
|
429 |
+
Call Stack (most recent call first):
|
430 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:581 (absl_cc_library)
|
431 |
+
|
432 |
+
|
433 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
434 |
+
Force CXX_FLAGS flags to cxx_std_17
|
435 |
+
Call Stack (most recent call first):
|
436 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:613 (absl_cc_library)
|
437 |
+
|
438 |
+
|
439 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
440 |
+
Force CXX_FLAGS flags to cxx_std_17
|
441 |
+
Call Stack (most recent call first):
|
442 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:625 (absl_cc_library)
|
443 |
+
|
444 |
+
|
445 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
446 |
+
Force CXX_FLAGS flags to cxx_std_17
|
447 |
+
Call Stack (most recent call first):
|
448 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:638 (absl_cc_library)
|
449 |
+
|
450 |
+
|
451 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
452 |
+
Force CXX_FLAGS flags to cxx_std_17
|
453 |
+
Call Stack (most recent call first):
|
454 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:664 (absl_cc_library)
|
455 |
+
|
456 |
+
|
457 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
458 |
+
Force CXX_FLAGS flags to cxx_std_17
|
459 |
+
Call Stack (most recent call first):
|
460 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:679 (absl_cc_library)
|
461 |
+
|
462 |
+
|
463 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
464 |
+
Force CXX_FLAGS flags to cxx_std_17
|
465 |
+
Call Stack (most recent call first):
|
466 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:691 (absl_cc_library)
|
467 |
+
|
468 |
+
|
469 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
470 |
+
Force CXX_FLAGS flags to cxx_std_17
|
471 |
+
Call Stack (most recent call first):
|
472 |
+
build/_deps/absl-src/absl/container/CMakeLists.txt:760 (absl_cc_library)
|
473 |
+
|
474 |
+
|
475 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
476 |
+
Force CXX_FLAGS flags to cxx_std_17
|
477 |
+
Call Stack (most recent call first):
|
478 |
+
build/_deps/absl-src/absl/crc/CMakeLists.txt:16 (absl_cc_library)
|
479 |
+
|
480 |
+
|
481 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
482 |
+
Force CXX_FLAGS flags to cxx_std_17
|
483 |
+
Call Stack (most recent call first):
|
484 |
+
build/_deps/absl-src/absl/crc/CMakeLists.txt:31 (absl_cc_library)
|
485 |
+
|
486 |
+
|
487 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
488 |
+
Force CXX_FLAGS flags to cxx_std_17
|
489 |
+
Call Stack (most recent call first):
|
490 |
+
build/_deps/absl-src/absl/crc/CMakeLists.txt:56 (absl_cc_library)
|
491 |
+
|
492 |
+
|
493 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
494 |
+
Force CXX_FLAGS flags to cxx_std_17
|
495 |
+
Call Stack (most recent call first):
|
496 |
+
build/_deps/absl-src/absl/crc/CMakeLists.txt:97 (absl_cc_library)
|
497 |
+
|
498 |
+
|
499 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
500 |
+
Force CXX_FLAGS flags to cxx_std_17
|
501 |
+
Call Stack (most recent call first):
|
502 |
+
build/_deps/absl-src/absl/crc/CMakeLists.txt:109 (absl_cc_library)
|
503 |
+
|
504 |
+
|
505 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
506 |
+
Force CXX_FLAGS flags to cxx_std_17
|
507 |
+
Call Stack (most recent call first):
|
508 |
+
build/_deps/absl-src/absl/crc/CMakeLists.txt:150 (absl_cc_library)
|
509 |
+
|
510 |
+
|
511 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
512 |
+
Force CXX_FLAGS flags to cxx_std_17
|
513 |
+
Call Stack (most recent call first):
|
514 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:19 (absl_cc_library)
|
515 |
+
|
516 |
+
|
517 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
518 |
+
Force CXX_FLAGS flags to cxx_std_17
|
519 |
+
Call Stack (most recent call first):
|
520 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:61 (absl_cc_library)
|
521 |
+
|
522 |
+
|
523 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
524 |
+
Force CXX_FLAGS flags to cxx_std_17
|
525 |
+
Call Stack (most recent call first):
|
526 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:115 (absl_cc_library)
|
527 |
+
|
528 |
+
|
529 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
530 |
+
Force CXX_FLAGS flags to cxx_std_17
|
531 |
+
Call Stack (most recent call first):
|
532 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:132 (absl_cc_library)
|
533 |
+
|
534 |
+
|
535 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
536 |
+
Force CXX_FLAGS flags to cxx_std_17
|
537 |
+
Call Stack (most recent call first):
|
538 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:169 (absl_cc_library)
|
539 |
+
|
540 |
+
|
541 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
542 |
+
Force CXX_FLAGS flags to cxx_std_17
|
543 |
+
Call Stack (most recent call first):
|
544 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:191 (absl_cc_library)
|
545 |
+
|
546 |
+
|
547 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
548 |
+
Force CXX_FLAGS flags to cxx_std_17
|
549 |
+
Call Stack (most recent call first):
|
550 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:223 (absl_cc_library)
|
551 |
+
|
552 |
+
|
553 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
554 |
+
Force CXX_FLAGS flags to cxx_std_17
|
555 |
+
Call Stack (most recent call first):
|
556 |
+
build/_deps/absl-src/absl/debugging/CMakeLists.txt:285 (absl_cc_library)
|
557 |
+
|
558 |
+
|
559 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
560 |
+
Force CXX_FLAGS flags to cxx_std_17
|
561 |
+
Call Stack (most recent call first):
|
562 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:18 (absl_cc_library)
|
563 |
+
|
564 |
+
|
565 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
566 |
+
Force CXX_FLAGS flags to cxx_std_17
|
567 |
+
Call Stack (most recent call first):
|
568 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:34 (absl_cc_library)
|
569 |
+
|
570 |
+
|
571 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
572 |
+
Force CXX_FLAGS flags to cxx_std_17
|
573 |
+
Call Stack (most recent call first):
|
574 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:54 (absl_cc_library)
|
575 |
+
|
576 |
+
|
577 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
578 |
+
Force CXX_FLAGS flags to cxx_std_17
|
579 |
+
Call Stack (most recent call first):
|
580 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:75 (absl_cc_library)
|
581 |
+
|
582 |
+
|
583 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
584 |
+
Force CXX_FLAGS flags to cxx_std_17
|
585 |
+
Call Stack (most recent call first):
|
586 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:96 (absl_cc_library)
|
587 |
+
|
588 |
+
|
589 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
590 |
+
Force CXX_FLAGS flags to cxx_std_17
|
591 |
+
Call Stack (most recent call first):
|
592 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:113 (absl_cc_library)
|
593 |
+
|
594 |
+
|
595 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
596 |
+
Force CXX_FLAGS flags to cxx_std_17
|
597 |
+
Call Stack (most recent call first):
|
598 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:133 (absl_cc_library)
|
599 |
+
|
600 |
+
|
601 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
602 |
+
Force CXX_FLAGS flags to cxx_std_17
|
603 |
+
Call Stack (most recent call first):
|
604 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:151 (absl_cc_library)
|
605 |
+
|
606 |
+
|
607 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
608 |
+
Force CXX_FLAGS flags to cxx_std_17
|
609 |
+
Call Stack (most recent call first):
|
610 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:174 (absl_cc_library)
|
611 |
+
|
612 |
+
|
613 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
614 |
+
Force CXX_FLAGS flags to cxx_std_17
|
615 |
+
Call Stack (most recent call first):
|
616 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:199 (absl_cc_library)
|
617 |
+
|
618 |
+
|
619 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
620 |
+
Force CXX_FLAGS flags to cxx_std_17
|
621 |
+
Call Stack (most recent call first):
|
622 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:224 (absl_cc_library)
|
623 |
+
|
624 |
+
|
625 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
626 |
+
Force CXX_FLAGS flags to cxx_std_17
|
627 |
+
Call Stack (most recent call first):
|
628 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:250 (absl_cc_library)
|
629 |
+
|
630 |
+
|
631 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
632 |
+
Force CXX_FLAGS flags to cxx_std_17
|
633 |
+
Call Stack (most recent call first):
|
634 |
+
build/_deps/absl-src/absl/flags/CMakeLists.txt:269 (absl_cc_library)
|
635 |
+
|
636 |
+
|
637 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
638 |
+
Force CXX_FLAGS flags to cxx_std_17
|
639 |
+
Call Stack (most recent call first):
|
640 |
+
build/_deps/absl-src/absl/functional/CMakeLists.txt:17 (absl_cc_library)
|
641 |
+
|
642 |
+
|
643 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
644 |
+
Force CXX_FLAGS flags to cxx_std_17
|
645 |
+
Call Stack (most recent call first):
|
646 |
+
build/_deps/absl-src/absl/functional/CMakeLists.txt:53 (absl_cc_library)
|
647 |
+
|
648 |
+
|
649 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
650 |
+
Force CXX_FLAGS flags to cxx_std_17
|
651 |
+
Call Stack (most recent call first):
|
652 |
+
build/_deps/absl-src/absl/functional/CMakeLists.txt:81 (absl_cc_library)
|
653 |
+
|
654 |
+
|
655 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
656 |
+
Force CXX_FLAGS flags to cxx_std_17
|
657 |
+
Call Stack (most recent call first):
|
658 |
+
build/_deps/absl-src/absl/hash/CMakeLists.txt:17 (absl_cc_library)
|
659 |
+
|
660 |
+
|
661 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
662 |
+
Force CXX_FLAGS flags to cxx_std_17
|
663 |
+
Call Stack (most recent call first):
|
664 |
+
build/_deps/absl-src/absl/hash/CMakeLists.txt:106 (absl_cc_library)
|
665 |
+
|
666 |
+
|
667 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
668 |
+
Force CXX_FLAGS flags to cxx_std_17
|
669 |
+
Call Stack (most recent call first):
|
670 |
+
build/_deps/absl-src/absl/hash/CMakeLists.txt:134 (absl_cc_library)
|
671 |
+
|
672 |
+
|
673 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
674 |
+
Force CXX_FLAGS flags to cxx_std_17
|
675 |
+
Call Stack (most recent call first):
|
676 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:18 (absl_cc_library)
|
677 |
+
|
678 |
+
|
679 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
680 |
+
Force CXX_FLAGS flags to cxx_std_17
|
681 |
+
Call Stack (most recent call first):
|
682 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:36 (absl_cc_library)
|
683 |
+
|
684 |
+
|
685 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
686 |
+
Force CXX_FLAGS flags to cxx_std_17
|
687 |
+
Call Stack (most recent call first):
|
688 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:56 (absl_cc_library)
|
689 |
+
|
690 |
+
|
691 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
692 |
+
Force CXX_FLAGS flags to cxx_std_17
|
693 |
+
Call Stack (most recent call first):
|
694 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:74 (absl_cc_library)
|
695 |
+
|
696 |
+
|
697 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
698 |
+
Force CXX_FLAGS flags to cxx_std_17
|
699 |
+
Call Stack (most recent call first):
|
700 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:89 (absl_cc_library)
|
701 |
+
|
702 |
+
|
703 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
704 |
+
Force CXX_FLAGS flags to cxx_std_17
|
705 |
+
Call Stack (most recent call first):
|
706 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:103 (absl_cc_library)
|
707 |
+
|
708 |
+
|
709 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
710 |
+
Force CXX_FLAGS flags to cxx_std_17
|
711 |
+
Call Stack (most recent call first):
|
712 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:127 (absl_cc_library)
|
713 |
+
|
714 |
+
|
715 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
716 |
+
Force CXX_FLAGS flags to cxx_std_17
|
717 |
+
Call Stack (most recent call first):
|
718 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:147 (absl_cc_library)
|
719 |
+
|
720 |
+
|
721 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
722 |
+
Force CXX_FLAGS flags to cxx_std_17
|
723 |
+
Call Stack (most recent call first):
|
724 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:163 (absl_cc_library)
|
725 |
+
|
726 |
+
|
727 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
728 |
+
Force CXX_FLAGS flags to cxx_std_17
|
729 |
+
Call Stack (most recent call first):
|
730 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:182 (absl_cc_library)
|
731 |
+
|
732 |
+
|
733 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
734 |
+
Force CXX_FLAGS flags to cxx_std_17
|
735 |
+
Call Stack (most recent call first):
|
736 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:219 (absl_cc_library)
|
737 |
+
|
738 |
+
|
739 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
740 |
+
Force CXX_FLAGS flags to cxx_std_17
|
741 |
+
Call Stack (most recent call first):
|
742 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:248 (absl_cc_library)
|
743 |
+
|
744 |
+
|
745 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
746 |
+
Force CXX_FLAGS flags to cxx_std_17
|
747 |
+
Call Stack (most recent call first):
|
748 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:264 (absl_cc_library)
|
749 |
+
|
750 |
+
|
751 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
752 |
+
Force CXX_FLAGS flags to cxx_std_17
|
753 |
+
Call Stack (most recent call first):
|
754 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:281 (absl_cc_library)
|
755 |
+
|
756 |
+
|
757 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
758 |
+
Force CXX_FLAGS flags to cxx_std_17
|
759 |
+
Call Stack (most recent call first):
|
760 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:363 (absl_cc_library)
|
761 |
+
|
762 |
+
|
763 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
764 |
+
Force CXX_FLAGS flags to cxx_std_17
|
765 |
+
Call Stack (most recent call first):
|
766 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:377 (absl_cc_library)
|
767 |
+
|
768 |
+
|
769 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
770 |
+
Force CXX_FLAGS flags to cxx_std_17
|
771 |
+
Call Stack (most recent call first):
|
772 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:394 (absl_cc_library)
|
773 |
+
|
774 |
+
|
775 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
776 |
+
Force CXX_FLAGS flags to cxx_std_17
|
777 |
+
Call Stack (most recent call first):
|
778 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:409 (absl_cc_library)
|
779 |
+
|
780 |
+
|
781 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
782 |
+
Force CXX_FLAGS flags to cxx_std_17
|
783 |
+
Call Stack (most recent call first):
|
784 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:424 (absl_cc_library)
|
785 |
+
|
786 |
+
|
787 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
788 |
+
Force CXX_FLAGS flags to cxx_std_17
|
789 |
+
Call Stack (most recent call first):
|
790 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:444 (absl_cc_library)
|
791 |
+
|
792 |
+
|
793 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
794 |
+
Force CXX_FLAGS flags to cxx_std_17
|
795 |
+
Call Stack (most recent call first):
|
796 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:463 (absl_cc_library)
|
797 |
+
|
798 |
+
|
799 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
800 |
+
Force CXX_FLAGS flags to cxx_std_17
|
801 |
+
Call Stack (most recent call first):
|
802 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:487 (absl_cc_library)
|
803 |
+
|
804 |
+
|
805 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
806 |
+
Force CXX_FLAGS flags to cxx_std_17
|
807 |
+
Call Stack (most recent call first):
|
808 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:507 (absl_cc_library)
|
809 |
+
|
810 |
+
|
811 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
812 |
+
Force CXX_FLAGS flags to cxx_std_17
|
813 |
+
Call Stack (most recent call first):
|
814 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:526 (absl_cc_library)
|
815 |
+
|
816 |
+
|
817 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
818 |
+
Force CXX_FLAGS flags to cxx_std_17
|
819 |
+
Call Stack (most recent call first):
|
820 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:541 (absl_cc_library)
|
821 |
+
|
822 |
+
|
823 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
824 |
+
Force CXX_FLAGS flags to cxx_std_17
|
825 |
+
Call Stack (most recent call first):
|
826 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:563 (absl_cc_library)
|
827 |
+
|
828 |
+
|
829 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
830 |
+
Force CXX_FLAGS flags to cxx_std_17
|
831 |
+
Call Stack (most recent call first):
|
832 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:580 (absl_cc_library)
|
833 |
+
|
834 |
+
|
835 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
836 |
+
Force CXX_FLAGS flags to cxx_std_17
|
837 |
+
Call Stack (most recent call first):
|
838 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:597 (absl_cc_library)
|
839 |
+
|
840 |
+
|
841 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
842 |
+
Force CXX_FLAGS flags to cxx_std_17
|
843 |
+
Call Stack (most recent call first):
|
844 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:643 (absl_cc_library)
|
845 |
+
|
846 |
+
|
847 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
848 |
+
Force CXX_FLAGS flags to cxx_std_17
|
849 |
+
Call Stack (most recent call first):
|
850 |
+
build/_deps/absl-src/absl/log/CMakeLists.txt:658 (absl_cc_library)
|
851 |
+
|
852 |
+
|
853 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
854 |
+
Force CXX_FLAGS flags to cxx_std_17
|
855 |
+
Call Stack (most recent call first):
|
856 |
+
build/_deps/absl-src/absl/memory/CMakeLists.txt:17 (absl_cc_library)
|
857 |
+
|
858 |
+
|
859 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
860 |
+
Force CXX_FLAGS flags to cxx_std_17
|
861 |
+
Call Stack (most recent call first):
|
862 |
+
build/_deps/absl-src/absl/meta/CMakeLists.txt:17 (absl_cc_library)
|
863 |
+
|
864 |
+
|
865 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
866 |
+
Force CXX_FLAGS flags to cxx_std_17
|
867 |
+
Call Stack (most recent call first):
|
868 |
+
build/_deps/absl-src/absl/meta/CMakeLists.txt:45 (absl_cc_library)
|
869 |
+
|
870 |
+
|
871 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
872 |
+
Force CXX_FLAGS flags to cxx_std_17
|
873 |
+
Call Stack (most recent call first):
|
874 |
+
build/_deps/absl-src/absl/numeric/CMakeLists.txt:17 (absl_cc_library)
|
875 |
+
|
876 |
+
|
877 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
878 |
+
Force CXX_FLAGS flags to cxx_std_17
|
879 |
+
Call Stack (most recent call first):
|
880 |
+
build/_deps/absl-src/absl/numeric/CMakeLists.txt:44 (absl_cc_library)
|
881 |
+
|
882 |
+
|
883 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
884 |
+
Force CXX_FLAGS flags to cxx_std_17
|
885 |
+
Call Stack (most recent call first):
|
886 |
+
build/_deps/absl-src/absl/numeric/CMakeLists.txt:79 (absl_cc_library)
|
887 |
+
|
888 |
+
|
889 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
890 |
+
Force CXX_FLAGS flags to cxx_std_17
|
891 |
+
Call Stack (most recent call first):
|
892 |
+
build/_deps/absl-src/absl/numeric/CMakeLists.txt:89 (absl_cc_library)
|
893 |
+
|
894 |
+
|
895 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
896 |
+
Force CXX_FLAGS flags to cxx_std_17
|
897 |
+
Call Stack (most recent call first):
|
898 |
+
build/_deps/absl-src/absl/profiling/CMakeLists.txt:15 (absl_cc_library)
|
899 |
+
|
900 |
+
|
901 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
902 |
+
Force CXX_FLAGS flags to cxx_std_17
|
903 |
+
Call Stack (most recent call first):
|
904 |
+
build/_deps/absl-src/absl/profiling/CMakeLists.txt:40 (absl_cc_library)
|
905 |
+
|
906 |
+
|
907 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
908 |
+
Force CXX_FLAGS flags to cxx_std_17
|
909 |
+
Call Stack (most recent call first):
|
910 |
+
build/_deps/absl-src/absl/profiling/CMakeLists.txt:67 (absl_cc_library)
|
911 |
+
|
912 |
+
|
913 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
914 |
+
Force CXX_FLAGS flags to cxx_std_17
|
915 |
+
Call Stack (most recent call first):
|
916 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:17 (absl_cc_library)
|
917 |
+
|
918 |
+
|
919 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
920 |
+
Force CXX_FLAGS flags to cxx_std_17
|
921 |
+
Call Stack (most recent call first):
|
922 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:35 (absl_cc_library)
|
923 |
+
|
924 |
+
|
925 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
926 |
+
Force CXX_FLAGS flags to cxx_std_17
|
927 |
+
Call Stack (most recent call first):
|
928 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:70 (absl_cc_library)
|
929 |
+
|
930 |
+
|
931 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
932 |
+
Force CXX_FLAGS flags to cxx_std_17
|
933 |
+
Call Stack (most recent call first):
|
934 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:161 (absl_cc_library)
|
935 |
+
|
936 |
+
|
937 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
938 |
+
Force CXX_FLAGS flags to cxx_std_17
|
939 |
+
Call Stack (most recent call first):
|
940 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:199 (absl_cc_library)
|
941 |
+
|
942 |
+
|
943 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
944 |
+
Force CXX_FLAGS flags to cxx_std_17
|
945 |
+
Call Stack (most recent call first):
|
946 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:214 (absl_cc_library)
|
947 |
+
|
948 |
+
|
949 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
950 |
+
Force CXX_FLAGS flags to cxx_std_17
|
951 |
+
Call Stack (most recent call first):
|
952 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:517 (absl_cc_library)
|
953 |
+
|
954 |
+
|
955 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
956 |
+
Force CXX_FLAGS flags to cxx_std_17
|
957 |
+
Call Stack (most recent call first):
|
958 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:531 (absl_cc_library)
|
959 |
+
|
960 |
+
|
961 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
962 |
+
Force CXX_FLAGS flags to cxx_std_17
|
963 |
+
Call Stack (most recent call first):
|
964 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:547 (absl_cc_library)
|
965 |
+
|
966 |
+
|
967 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
968 |
+
Force CXX_FLAGS flags to cxx_std_17
|
969 |
+
Call Stack (most recent call first):
|
970 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:561 (absl_cc_library)
|
971 |
+
|
972 |
+
|
973 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
974 |
+
Force CXX_FLAGS flags to cxx_std_17
|
975 |
+
Call Stack (most recent call first):
|
976 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:583 (absl_cc_library)
|
977 |
+
|
978 |
+
|
979 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
980 |
+
Force CXX_FLAGS flags to cxx_std_17
|
981 |
+
Call Stack (most recent call first):
|
982 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:639 (absl_cc_library)
|
983 |
+
|
984 |
+
|
985 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
986 |
+
Force CXX_FLAGS flags to cxx_std_17
|
987 |
+
Call Stack (most recent call first):
|
988 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:657 (absl_cc_library)
|
989 |
+
|
990 |
+
|
991 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
992 |
+
Force CXX_FLAGS flags to cxx_std_17
|
993 |
+
Call Stack (most recent call first):
|
994 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:672 (absl_cc_library)
|
995 |
+
|
996 |
+
|
997 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
998 |
+
Force CXX_FLAGS flags to cxx_std_17
|
999 |
+
Call Stack (most recent call first):
|
1000 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:689 (absl_cc_library)
|
1001 |
+
|
1002 |
+
|
1003 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1004 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1005 |
+
Call Stack (most recent call first):
|
1006 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:705 (absl_cc_library)
|
1007 |
+
|
1008 |
+
|
1009 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1010 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1011 |
+
Call Stack (most recent call first):
|
1012 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:719 (absl_cc_library)
|
1013 |
+
|
1014 |
+
|
1015 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1016 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1017 |
+
Call Stack (most recent call first):
|
1018 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:739 (absl_cc_library)
|
1019 |
+
|
1020 |
+
|
1021 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1022 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1023 |
+
Call Stack (most recent call first):
|
1024 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:757 (absl_cc_library)
|
1025 |
+
|
1026 |
+
|
1027 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1028 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1029 |
+
Call Stack (most recent call first):
|
1030 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:775 (absl_cc_library)
|
1031 |
+
|
1032 |
+
|
1033 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1034 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1035 |
+
Call Stack (most recent call first):
|
1036 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:792 (absl_cc_library)
|
1037 |
+
|
1038 |
+
|
1039 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1040 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1041 |
+
Call Stack (most recent call first):
|
1042 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:810 (absl_cc_library)
|
1043 |
+
|
1044 |
+
|
1045 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1046 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1047 |
+
Call Stack (most recent call first):
|
1048 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:827 (absl_cc_library)
|
1049 |
+
|
1050 |
+
|
1051 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1052 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1053 |
+
Call Stack (most recent call first):
|
1054 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:847 (absl_cc_library)
|
1055 |
+
|
1056 |
+
|
1057 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1058 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1059 |
+
Call Stack (most recent call first):
|
1060 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:864 (absl_cc_library)
|
1061 |
+
|
1062 |
+
|
1063 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1064 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1065 |
+
Call Stack (most recent call first):
|
1066 |
+
build/_deps/absl-src/absl/random/CMakeLists.txt:1155 (absl_cc_library)
|
1067 |
+
|
1068 |
+
|
1069 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1070 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1071 |
+
Call Stack (most recent call first):
|
1072 |
+
build/_deps/absl-src/absl/status/CMakeLists.txt:16 (absl_cc_library)
|
1073 |
+
|
1074 |
+
|
1075 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1076 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1077 |
+
Call Stack (most recent call first):
|
1078 |
+
build/_deps/absl-src/absl/status/CMakeLists.txt:58 (absl_cc_library)
|
1079 |
+
|
1080 |
+
|
1081 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1082 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1083 |
+
Call Stack (most recent call first):
|
1084 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:17 (absl_cc_library)
|
1085 |
+
|
1086 |
+
|
1087 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1088 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1089 |
+
Call Stack (most recent call first):
|
1090 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:77 (absl_cc_library)
|
1091 |
+
|
1092 |
+
|
1093 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1094 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1095 |
+
Call Stack (most recent call first):
|
1096 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:396 (absl_cc_library)
|
1097 |
+
|
1098 |
+
|
1099 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1100 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1101 |
+
Call Stack (most recent call first):
|
1102 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:409 (absl_cc_library)
|
1103 |
+
|
1104 |
+
|
1105 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1106 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1107 |
+
Call Stack (most recent call first):
|
1108 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:579 (absl_cc_library)
|
1109 |
+
|
1110 |
+
|
1111 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1112 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1113 |
+
Call Stack (most recent call first):
|
1114 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:620 (absl_cc_library)
|
1115 |
+
|
1116 |
+
|
1117 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1118 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1119 |
+
Call Stack (most recent call first):
|
1120 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:647 (absl_cc_library)
|
1121 |
+
|
1122 |
+
|
1123 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1124 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1125 |
+
Call Stack (most recent call first):
|
1126 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:676 (absl_cc_library)
|
1127 |
+
|
1128 |
+
|
1129 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1130 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1131 |
+
Call Stack (most recent call first):
|
1132 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:691 (absl_cc_library)
|
1133 |
+
|
1134 |
+
|
1135 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1136 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1137 |
+
Call Stack (most recent call first):
|
1138 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:725 (absl_cc_library)
|
1139 |
+
|
1140 |
+
|
1141 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1142 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1143 |
+
Call Stack (most recent call first):
|
1144 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:794 (absl_cc_library)
|
1145 |
+
|
1146 |
+
|
1147 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1148 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1149 |
+
Call Stack (most recent call first):
|
1150 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:833 (absl_cc_library)
|
1151 |
+
|
1152 |
+
|
1153 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1154 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1155 |
+
Call Stack (most recent call first):
|
1156 |
+
build/_deps/absl-src/absl/strings/CMakeLists.txt:866 (absl_cc_library)
|
1157 |
+
|
1158 |
+
|
1159 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1160 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1161 |
+
Call Stack (most recent call first):
|
1162 |
+
build/_deps/absl-src/absl/synchronization/CMakeLists.txt:18 (absl_cc_library)
|
1163 |
+
|
1164 |
+
|
1165 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1166 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1167 |
+
Call Stack (most recent call first):
|
1168 |
+
build/_deps/absl-src/absl/synchronization/CMakeLists.txt:37 (absl_cc_library)
|
1169 |
+
|
1170 |
+
|
1171 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1172 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1173 |
+
Call Stack (most recent call first):
|
1174 |
+
build/_deps/absl-src/absl/synchronization/CMakeLists.txt:50 (absl_cc_library)
|
1175 |
+
|
1176 |
+
|
1177 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1178 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1179 |
+
Call Stack (most recent call first):
|
1180 |
+
build/_deps/absl-src/absl/time/CMakeLists.txt:17 (absl_cc_library)
|
1181 |
+
|
1182 |
+
|
1183 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1184 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1185 |
+
Call Stack (most recent call first):
|
1186 |
+
build/_deps/absl-src/absl/time/CMakeLists.txt:45 (absl_cc_library)
|
1187 |
+
|
1188 |
+
|
1189 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1190 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1191 |
+
Call Stack (most recent call first):
|
1192 |
+
build/_deps/absl-src/absl/time/CMakeLists.txt:61 (absl_cc_library)
|
1193 |
+
|
1194 |
+
|
1195 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1196 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1197 |
+
Call Stack (most recent call first):
|
1198 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:16 (absl_cc_library)
|
1199 |
+
|
1200 |
+
|
1201 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1202 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1203 |
+
Call Stack (most recent call first):
|
1204 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:33 (absl_cc_library)
|
1205 |
+
|
1206 |
+
|
1207 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1208 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1209 |
+
Call Stack (most recent call first):
|
1210 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:47 (absl_cc_library)
|
1211 |
+
|
1212 |
+
|
1213 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1214 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1215 |
+
Call Stack (most recent call first):
|
1216 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:106 (absl_cc_library)
|
1217 |
+
|
1218 |
+
|
1219 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1220 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1221 |
+
Call Stack (most recent call first):
|
1222 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:163 (absl_cc_library)
|
1223 |
+
|
1224 |
+
|
1225 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1226 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1227 |
+
Call Stack (most recent call first):
|
1228 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:183 (absl_cc_library)
|
1229 |
+
|
1230 |
+
|
1231 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:293 (message):
|
1232 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1233 |
+
Call Stack (most recent call first):
|
1234 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:198 (absl_cc_library)
|
1235 |
+
|
1236 |
+
|
1237 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1238 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1239 |
+
Call Stack (most recent call first):
|
1240 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:296 (absl_cc_library)
|
1241 |
+
|
1242 |
+
|
1243 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1244 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1245 |
+
Call Stack (most recent call first):
|
1246 |
+
build/_deps/absl-src/absl/types/CMakeLists.txt:332 (absl_cc_library)
|
1247 |
+
|
1248 |
+
|
1249 |
+
CMake Warning at build/_deps/absl-src/CMake/AbseilHelpers.cmake:342 (message):
|
1250 |
+
Force CXX_FLAGS flags to cxx_std_17
|
1251 |
+
Call Stack (most recent call first):
|
1252 |
+
build/_deps/absl-src/absl/utility/CMakeLists.txt:17 (absl_cc_library)
|
1253 |
+
|
1254 |
+
|
1255 |
+
-- Fetching Abseil-cpp - fetched
|
1256 |
+
-- Fetching Protobuf
|
1257 |
+
-- Populating protobuf
|
1258 |
+
-- Configuring done
|
1259 |
+
-- Generating done
|
1260 |
+
-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build/_deps/protobuf-subbuild
|
1261 |
+
[100%] Built target protobuf-populate
|
1262 |
+
--
|
1263 |
+
-- 23.3.0
|
1264 |
+
-- Fetching Protobuf - fetched
|
1265 |
+
-- Fetching re2
|
1266 |
+
-- Populating re2
|
1267 |
+
-- Configuring done
|
1268 |
+
-- Generating done
|
1269 |
+
-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build/_deps/re2-subbuild
|
1270 |
+
[100%] Built target re2-populate
|
1271 |
+
-- Fetching re2 - fetched
|
1272 |
+
-- Fetching Eigen3
|
1273 |
+
-- Populating eigen3
|
1274 |
+
-- Configuring done
|
1275 |
+
-- Generating done
|
1276 |
+
-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build/_deps/eigen3-subbuild
|
1277 |
+
[100%] Built target eigen3-populate
|
1278 |
+
-- Performing Test COMPILER_SUPPORT_std=cpp03
|
1279 |
+
-- Performing Test COMPILER_SUPPORT_std=cpp03 - Success
|
1280 |
+
-- Standard libraries to link to explicitly: none
|
1281 |
+
-- Found unsuitable Qt version "5.15.3" from /usr/bin/qmake
|
1282 |
+
-- Qt4 not found, so disabling the mandelbrot and opengl demos
|
1283 |
+
-- Could NOT find CHOLMOD (missing: CHOLMOD_INCLUDES CHOLMOD_LIBRARIES)
|
1284 |
+
-- Could NOT find UMFPACK (missing: UMFPACK_INCLUDES UMFPACK_LIBRARIES)
|
1285 |
+
-- Could NOT find KLU (missing: KLU_INCLUDES KLU_LIBRARIES)
|
1286 |
+
-- Could NOT find SuperLU (missing: SUPERLU_INCLUDES SUPERLU_LIBRARIES SUPERLU_VERSION_OK) (Required is at least version "4.0")
|
1287 |
+
-- A version of Pastix has been found but pastix_nompi.h does not exist in the include directory. Because Eigen tests require a version without MPI, we disable the Pastix backend.
|
1288 |
+
--
|
1289 |
+
-- Configured Eigen 3.4.0
|
1290 |
+
--
|
1291 |
+
-- Available targets (use: make TARGET):
|
1292 |
+
-- ---------+--------------------------------------------------------------
|
1293 |
+
-- Target | Description
|
1294 |
+
-- ---------+--------------------------------------------------------------
|
1295 |
+
-- install | Install Eigen. Headers will be installed to:
|
1296 |
+
-- | <CMAKE_INSTALL_PREFIX>/<INCLUDE_INSTALL_DIR>
|
1297 |
+
-- | Using the following values:
|
1298 |
+
-- | CMAKE_INSTALL_PREFIX: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/install/OpenROAD
|
1299 |
+
-- | INCLUDE_INSTALL_DIR: include/eigen3
|
1300 |
+
-- | Change the install location of Eigen headers using:
|
1301 |
+
-- | cmake . -DCMAKE_INSTALL_PREFIX=yourprefix
|
1302 |
+
-- | Or:
|
1303 |
+
-- | cmake . -DINCLUDE_INSTALL_DIR=yourdir
|
1304 |
+
-- doc | Generate the API documentation, requires Doxygen & LaTeX
|
1305 |
+
-- blas | Build BLAS library (not the same thing as Eigen)
|
1306 |
+
-- uninstall| Remove files installed by the install target
|
1307 |
+
-- ---------+--------------------------------------------------------------
|
1308 |
+
--
|
1309 |
+
-- Fetching Eigen3 - fetched
|
1310 |
+
-- Fetching googletest
|
1311 |
+
-- Populating googletest
|
1312 |
+
-- Configuring done
|
1313 |
+
-- Generating done
|
1314 |
+
-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build/_deps/googletest-subbuild
|
1315 |
+
[100%] Built target googletest-populate
|
1316 |
+
-- Fetching googletest - fetched
|
1317 |
+
-- Found long size: 8
|
1318 |
+
-- Found long long size: 8
|
1319 |
+
-- Found int64_t size: 8
|
1320 |
+
-- Found unsigned long size: 8
|
1321 |
+
-- Found unsigned long long size: 8
|
1322 |
+
-- Found uint64_t size: 8
|
1323 |
+
-- Found int * size: 8
|
1324 |
+
-- Adding proto path: $<BUILD_INTERFACE:/home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build/_deps/protobuf-src/src>
|
1325 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/algorithms/samples/knapsack.cc: ...
|
1326 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/algorithms/samples/knapsack.cc: ...DONE
|
1327 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/algorithms/samples/simple_knapsack_program.cc: ...
|
1328 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/algorithms/samples/simple_knapsack_program.cc: ...DONE
|
1329 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/assignment_linear_sum_assignment.cc: ...
|
1330 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/assignment_linear_sum_assignment.cc: ...DONE
|
1331 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/assignment_min_flow.cc: ...
|
1332 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/assignment_min_flow.cc: ...DONE
|
1333 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/balance_min_flow.cc: ...
|
1334 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/balance_min_flow.cc: ...DONE
|
1335 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/simple_max_flow_program.cc: ...
|
1336 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/simple_max_flow_program.cc: ...DONE
|
1337 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/simple_min_cost_flow_program.cc: ...
|
1338 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/graph/samples/simple_min_cost_flow_program.cc: ...DONE
|
1339 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/glop/samples/simple_glop_program.cc: ...
|
1340 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/glop/samples/simple_glop_program.cc: ...DONE
|
1341 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/cp_is_fun_cp.cc: ...
|
1342 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/cp_is_fun_cp.cc: ...DONE
|
1343 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/minimal_jobshop_cp.cc: ...
|
1344 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/minimal_jobshop_cp.cc: ...DONE
|
1345 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/nqueens_cp.cc: ...
|
1346 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/nqueens_cp.cc: ...DONE
|
1347 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/nurses_cp.cc: ...
|
1348 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/nurses_cp.cc: ...DONE
|
1349 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/rabbits_and_pheasants_cp.cc: ...
|
1350 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/rabbits_and_pheasants_cp.cc: ...DONE
|
1351 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/simple_cp_program.cc: ...
|
1352 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/simple_cp_program.cc: ...DONE
|
1353 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/simple_ls_program.cc: ...
|
1354 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/simple_ls_program.cc: ...DONE
|
1355 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/simple_routing_program.cc: ...
|
1356 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/simple_routing_program.cc: ...DONE
|
1357 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp.cc: ...
|
1358 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp.cc: ...DONE
|
1359 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_circuit_board.cc: ...
|
1360 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_circuit_board.cc: ...DONE
|
1361 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_cities.cc: ...
|
1362 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_cities.cc: ...DONE
|
1363 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_cities_routes.cc: ...
|
1364 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_cities_routes.cc: ...DONE
|
1365 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_distance_matrix.cc: ...
|
1366 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/tsp_distance_matrix.cc: ...DONE
|
1367 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp.cc: ...
|
1368 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp.cc: ...DONE
|
1369 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_breaks.cc: ...
|
1370 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_breaks.cc: ...DONE
|
1371 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_capacity.cc: ...
|
1372 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_capacity.cc: ...DONE
|
1373 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_drop_nodes.cc: ...
|
1374 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_drop_nodes.cc: ...DONE
|
1375 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_global_span.cc: ...
|
1376 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_global_span.cc: ...DONE
|
1377 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_initial_routes.cc: ...
|
1378 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_initial_routes.cc: ...DONE
|
1379 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_pickup_delivery.cc: ...
|
1380 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_pickup_delivery.cc: ...DONE
|
1381 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_pickup_delivery_fifo.cc: ...
|
1382 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_pickup_delivery_fifo.cc: ...DONE
|
1383 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_pickup_delivery_lifo.cc: ...
|
1384 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_pickup_delivery_lifo.cc: ...DONE
|
1385 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_resources.cc: ...
|
1386 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_resources.cc: ...DONE
|
1387 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_routes.cc: ...
|
1388 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_routes.cc: ...DONE
|
1389 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_solution_callback.cc: ...
|
1390 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_solution_callback.cc: ...DONE
|
1391 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_starts_ends.cc: ...
|
1392 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_starts_ends.cc: ...DONE
|
1393 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_time_windows.cc: ...
|
1394 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_time_windows.cc: ...DONE
|
1395 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_with_time_limit.cc: ...
|
1396 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrp_with_time_limit.cc: ...DONE
|
1397 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrptw_store_solution_data.cc: ...
|
1398 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/constraint_solver/samples/vrptw_store_solution_data.cc: ...DONE
|
1399 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_groups_mip.cc: ...
|
1400 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_groups_mip.cc: ...DONE
|
1401 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_mip.cc: ...
|
1402 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_mip.cc: ...DONE
|
1403 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_task_sizes_mip.cc: ...
|
1404 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_task_sizes_mip.cc: ...DONE
|
1405 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_teams_mip.cc: ...
|
1406 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/assignment_teams_mip.cc: ...DONE
|
1407 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/basic_example.cc: ...
|
1408 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/basic_example.cc: ...DONE
|
1409 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/bin_packing_mip.cc: ...
|
1410 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/bin_packing_mip.cc: ...DONE
|
1411 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/integer_programming_example.cc: ...
|
1412 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/integer_programming_example.cc: ...DONE
|
1413 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/linear_programming_example.cc: ...
|
1414 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/linear_programming_example.cc: ...DONE
|
1415 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/mip_var_array.cc: ...
|
1416 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/mip_var_array.cc: ...DONE
|
1417 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/multiple_knapsack_mip.cc: ...
|
1418 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/multiple_knapsack_mip.cc: ...DONE
|
1419 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/simple_lp_program.cc: ...
|
1420 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/simple_lp_program.cc: ...DONE
|
1421 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/simple_mip_program.cc: ...
|
1422 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/simple_mip_program.cc: ...DONE
|
1423 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/stigler_diet.cc: ...
|
1424 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/linear_solver/samples/stigler_diet.cc: ...DONE
|
1425 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/pdlp/samples/simple_pdlp_program.cc: ...
|
1426 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/pdlp/samples/simple_pdlp_program.cc: ...DONE
|
1427 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_groups_sat.cc: ...
|
1428 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_groups_sat.cc: ...DONE
|
1429 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_sat.cc: ...
|
1430 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_sat.cc: ...DONE
|
1431 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_task_sizes_sat.cc: ...
|
1432 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_task_sizes_sat.cc: ...DONE
|
1433 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_teams_sat.cc: ...
|
1434 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assignment_teams_sat.cc: ...DONE
|
1435 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assumptions_sample_sat.cc: ...
|
1436 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/assumptions_sample_sat.cc: ...DONE
|
1437 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/binpacking_problem_sat.cc: ...
|
1438 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/binpacking_problem_sat.cc: ...DONE
|
1439 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/bool_or_sample_sat.cc: ...
|
1440 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/bool_or_sample_sat.cc: ...DONE
|
1441 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/channeling_sample_sat.cc: ...
|
1442 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/channeling_sample_sat.cc: ...DONE
|
1443 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/copy_model_sample_sat.cc: ...
|
1444 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/copy_model_sample_sat.cc: ...DONE
|
1445 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/cp_is_fun_sat.cc: ...
|
1446 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/cp_is_fun_sat.cc: ...DONE
|
1447 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/cp_sat_example.cc: ...
|
1448 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/cp_sat_example.cc: ...DONE
|
1449 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/earliness_tardiness_cost_sample_sat.cc: ...
|
1450 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/earliness_tardiness_cost_sample_sat.cc: ...DONE
|
1451 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/interval_sample_sat.cc: ...
|
1452 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/interval_sample_sat.cc: ...DONE
|
1453 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/literal_sample_sat.cc: ...
|
1454 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/literal_sample_sat.cc: ...DONE
|
1455 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/minimal_jobshop_sat.cc: ...
|
1456 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/minimal_jobshop_sat.cc: ...DONE
|
1457 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/multiple_knapsack_sat.cc: ...
|
1458 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/multiple_knapsack_sat.cc: ...DONE
|
1459 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/no_overlap_sample_sat.cc: ...
|
1460 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/no_overlap_sample_sat.cc: ...DONE
|
1461 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/non_linear_sat.cc: ...
|
1462 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/non_linear_sat.cc: ...DONE
|
1463 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/nqueens_sat.cc: ...
|
1464 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/nqueens_sat.cc: ...DONE
|
1465 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/nurses_sat.cc: ...
|
1466 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/nurses_sat.cc: ...DONE
|
1467 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/optional_interval_sample_sat.cc: ...
|
1468 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/optional_interval_sample_sat.cc: ...DONE
|
1469 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/rabbits_and_pheasants_sat.cc: ...
|
1470 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/rabbits_and_pheasants_sat.cc: ...DONE
|
1471 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/ranking_sample_sat.cc: ...
|
1472 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/ranking_sample_sat.cc: ...DONE
|
1473 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/reified_sample_sat.cc: ...
|
1474 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/reified_sample_sat.cc: ...DONE
|
1475 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/schedule_requests_sat.cc: ...
|
1476 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/schedule_requests_sat.cc: ...DONE
|
1477 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/search_for_all_solutions_sample_sat.cc: ...
|
1478 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/search_for_all_solutions_sample_sat.cc: ...DONE
|
1479 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/simple_sat_program.cc: ...
|
1480 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/simple_sat_program.cc: ...DONE
|
1481 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/solution_hinting_sample_sat.cc: ...
|
1482 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/solution_hinting_sample_sat.cc: ...DONE
|
1483 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/solve_and_print_intermediate_solutions_sample_sat.cc: ...
|
1484 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/solve_and_print_intermediate_solutions_sample_sat.cc: ...DONE
|
1485 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/solve_with_time_limit_sample_sat.cc: ...
|
1486 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/solve_with_time_limit_sample_sat.cc: ...DONE
|
1487 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/step_function_sample_sat.cc: ...
|
1488 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/step_function_sample_sat.cc: ...DONE
|
1489 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/stop_after_n_solutions_sample_sat.cc: ...
|
1490 |
+
-- Configuring sample /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/ortools/sat/samples/stop_after_n_solutions_sample_sat.cc: ...DONE
|
1491 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/constraint_programming_cp.cc: ...
|
1492 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/constraint_programming_cp.cc: ...DONE
|
1493 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/costas_array_sat.cc: ...
|
1494 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/costas_array_sat.cc: ...DONE
|
1495 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cryptarithm_sat.cc: ...
|
1496 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cryptarithm_sat.cc: ...DONE
|
1497 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrp_disjoint_tw.cc: ...
|
1498 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrp_disjoint_tw.cc: ...DONE
|
1499 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrptw.cc: ...
|
1500 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrptw.cc: ...DONE
|
1501 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrptw_with_resources.cc: ...
|
1502 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrptw_with_resources.cc: ...DONE
|
1503 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrptw_with_stop_times_and_resources.cc: ...
|
1504 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/cvrptw_with_stop_times_and_resources.cc: ...DONE
|
1505 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/flow_api.cc: ...
|
1506 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/flow_api.cc: ...DONE
|
1507 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/golomb_sat.cc: ...
|
1508 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/golomb_sat.cc: ...DONE
|
1509 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/integer_programming.cc: ...
|
1510 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/integer_programming.cc: ...DONE
|
1511 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/linear_assignment_api.cc: ...
|
1512 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/linear_assignment_api.cc: ...DONE
|
1513 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/linear_programming.cc: ...
|
1514 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/linear_programming.cc: ...DONE
|
1515 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/linear_solver_protocol_buffers.cc: ...
|
1516 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/linear_solver_protocol_buffers.cc: ...DONE
|
1517 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/magic_sequence_sat.cc: ...
|
1518 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/magic_sequence_sat.cc: ...DONE
|
1519 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/magic_square_sat.cc: ...
|
1520 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/magic_square_sat.cc: ...DONE
|
1521 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/max_flow.cc: ...
|
1522 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/max_flow.cc: ...DONE
|
1523 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/min_cost_flow.cc: ...
|
1524 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/min_cost_flow.cc: ...DONE
|
1525 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/nqueens.cc: ...
|
1526 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/nqueens.cc: ...DONE
|
1527 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/qap_sat.cc: ...
|
1528 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/qap_sat.cc: ...DONE
|
1529 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/random_tsp.cc: ...
|
1530 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/random_tsp.cc: ...DONE
|
1531 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/slitherlink_sat.cc: ...
|
1532 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/slitherlink_sat.cc: ...DONE
|
1533 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/uncapacitated_facility_location.cc: ...
|
1534 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/uncapacitated_facility_location.cc: ...DONE
|
1535 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/variable_intervals_sat.cc: ...
|
1536 |
+
-- Configuring example /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/cpp/variable_intervals_sat.cc: ...DONE
|
1537 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/bug_fz1.cc: ...
|
1538 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/bug_fz1.cc: ...DONE
|
1539 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/cpp11_test.cc: ...
|
1540 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/cpp11_test.cc: ...DONE
|
1541 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/forbidden_intervals_test.cc: ...
|
1542 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/forbidden_intervals_test.cc: ...DONE
|
1543 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/init_test.cc: ...
|
1544 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/init_test.cc: ...DONE
|
1545 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/issue1303.cc: ...
|
1546 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/issue1303.cc: ...DONE
|
1547 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/issue173.cc: ...
|
1548 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/issue173.cc: ...DONE
|
1549 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/issue57.cc: ...
|
1550 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/issue57.cc: ...DONE
|
1551 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/lp_test.cc: ...
|
1552 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/lp_test.cc: ...DONE
|
1553 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/min_max_test.cc: ...
|
1554 |
+
-- Configuring test /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/examples/tests/min_max_test.cc: ...DONE
|
1555 |
+
-- Configuring done
|
1556 |
+
-- Generating done
|
1557 |
+
-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build
|
1558 |
+
gmake: Makefile: No such file or directory
|
1559 |
+
gmake: *** No rule to make target 'Makefile'. Stop.
|
1560 |
+
|
1561 |
+
```
|
1562 |
+
|
1563 |
+
### vvbandeira
|
1564 |
+
@idokoike, please file a GitHub Issue and fill out our form which includes you environment and OS, also include which steps did you take, e.g., did you run the DependencyInstaller.sh? If not, any reason why?
|
1565 |
+
|
Build/4269.md
ADDED
@@ -0,0 +1,52 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# How to add new classes/structures to OpenROAD's schema?
|
2 |
+
|
3 |
+
Tool: OpenDB
|
4 |
+
|
5 |
+
Subcategory: Code generation issue
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### fgaray
|
10 |
+
Hello OpenRoad devs,
|
11 |
+
|
12 |
+
I am following https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3619 to implement a structure to share scan chain data between OpenROAD's components but I am blocked at an compile issue.
|
13 |
+
|
14 |
+
See: https://github.com/fgaray/OpenROAD/tree/ctl_db, path src/odb/src/codeGenerator/schema/scan
|
15 |
+
|
16 |
+
I am adding 3 new *.json files: dbScanInst.json dbScanPartition.json dbScanPin.json
|
17 |
+
|
18 |
+
In dbScanPin.json I am defining an "union" of dbBTerm and dbITerm
|
19 |
+
|
20 |
+
In dbScanPartition.json I am trying to use dbScanPin for my "start" and "stop" fields.
|
21 |
+
|
22 |
+
|
23 |
+
I am running the ./generate script in src/odb/src/codeGenerator to generate the C++ code but I am getting the following error:
|
24 |
+
|
25 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/1619948/fc8774d8-b742-4407-86ef-e877d347f5d3)
|
26 |
+
|
27 |
+
Looks like it is trying to use dbScanPin in the private dbScanPartition.h class, but if I change it to _dbScanPin in my dbScanPartition.json, then it fails in the public class definition in db.h.
|
28 |
+
|
29 |
+
Is there an step that I am missing or maybe some config?
|
30 |
+
|
31 |
+
Thanks!
|
32 |
+
|
33 |
+
|
34 |
+
### maliberty
|
35 |
+
Use dbId to store references to other db objects
|
36 |
+
```
|
37 |
+
"name": "start",
|
38 |
+
- "type": "dbScanPin"
|
39 |
+
+ "type": "dbId<dbScanPin>"
|
40 |
+
},
|
41 |
+
{
|
42 |
+
"name": "stop",
|
43 |
+
- "type": "dbScanPin"
|
44 |
+
+ "type": "dbId<dbScanPin>"
|
45 |
+
},
|
46 |
+
```
|
47 |
+
|
48 |
+
### fgaray
|
49 |
+
I forgot to close this issue, but the answer was given by @maliberty .
|
50 |
+
|
51 |
+
Writing the custom setter was the way to go when implementing this.
|
52 |
+
|
Build/4956.md
ADDED
@@ -0,0 +1,67 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# OpenROAD Build From Sources
|
2 |
+
|
3 |
+
Subcategory: Compilation error
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### sebinho
|
8 |
+
Hello Guys,
|
9 |
+
|
10 |
+
I am using ArchLinux and I have been using OpenROAD from an install package from the AUR.
|
11 |
+
This install does not seem to work anymore (it was working on my setup a couple of weeks ago).
|
12 |
+
|
13 |
+
So I am trying to build from sources but I get the same errors as I get with the AUR package:
|
14 |
+
```
|
15 |
+
[ 74%] Building CXX object src/gui/CMakeFiles/gui.dir/src/scriptWidget.cpp.o
|
16 |
+
In file included from /home/zed/repos/OpenROAD/src/gui/src/tclCmdInputWidget.h:50,
|
17 |
+
from /home/zed/repos/OpenROAD/src/gui/src/scriptWidget.cpp:49:
|
18 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h: In function ‘int SWIG_Tcl_GetArgs(Tcl_Interp*, int, Tcl_Obj* const*, const char*, ...)’:
|
19 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h:1623:11: error: ‘Tcl_Size’ was not declared in this scope; did you mean ‘Tcl_Time’?
|
20 |
+
1623 | Tcl_Size *vlptr = (Tcl_Size *) va_arg(ap, void *);
|
21 |
+
| ^~~~~~~~
|
22 |
+
| Tcl_Time
|
23 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h:1623:21: error: ‘vlptr’ was not declared in this scope; did you mean ‘vptr’?
|
24 |
+
1623 | Tcl_Size *vlptr = (Tcl_Size *) va_arg(ap, void *);
|
25 |
+
| ^~~~~
|
26 |
+
| vptr
|
27 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h:1623:40: error: expected primary-expression before ‘)’ token
|
28 |
+
1623 | Tcl_Size *vlptr = (Tcl_Size *) va_arg(ap, void *);
|
29 |
+
| ^
|
30 |
+
make[2]: *** [src/gui/CMakeFiles/gui.dir/build.make:226: src/gui/CMakeFiles/gui.dir/src/scriptWidget.cpp.o] Error 1
|
31 |
+
make[2]: *** Waiting for unfinished jobs....
|
32 |
+
[ 74%] Building CXX object src/rcx/src/CMakeFiles/rcxUnitTest.dir/__/test/ext2dBoxTest.cpp.o
|
33 |
+
In file included from /home/zed/repos/OpenROAD/build/src/gui/gui_autogen/UVLADIE3JM/../../../../../src/gui/src/tclCmdInputWidget.h:50,
|
34 |
+
from /home/zed/repos/OpenROAD/build/src/gui/gui_autogen/UVLADIE3JM/moc_tclCmdInputWidget.cpp:10,
|
35 |
+
from /home/zed/repos/OpenROAD/build/src/gui/gui_autogen/mocs_compilation.cpp:23:
|
36 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h: In function ‘int SWIG_Tcl_GetArgs(Tcl_Interp*, int, Tcl_Obj* const*, const char*, ...)’:
|
37 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h:1623:11: error: ‘Tcl_Size’ was not declared in this scope; did you mean ‘Tcl_Time’?
|
38 |
+
1623 | Tcl_Size *vlptr = (Tcl_Size *) va_arg(ap, void *);
|
39 |
+
| ^~~~~~~~
|
40 |
+
| Tcl_Time
|
41 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h:1623:21: error: ‘vlptr’ was not declared in this scope; did you mean ‘vptr’?
|
42 |
+
1623 | Tcl_Size *vlptr = (Tcl_Size *) va_arg(ap, void *);
|
43 |
+
| ^~~~~
|
44 |
+
| vptr
|
45 |
+
/home/zed/repos/OpenROAD/build/src/gui/tclSwig.h:1623:40: error: expected primary-expression before ‘)’ token
|
46 |
+
1623 | Tcl_Size *vlptr = (Tcl_Size *) va_arg(ap, void *);
|
47 |
+
| ^
|
48 |
+
[ 74%] Linking CXX executable dpl_test
|
49 |
+
```
|
50 |
+
|
51 |
+
Seems to be something related to Swig with TCL. Does anybody know what the problem is?
|
52 |
+
|
53 |
+
Thanks for your help
|
54 |
+
|
55 |
+
|
56 |
+
|
57 |
+
### maliberty
|
58 |
+
What version of TCL and swig do you have installed?
|
59 |
+
|
60 |
+
### maliberty
|
61 |
+
Perhaps something is different in 4.2.1 as with 4.1.0 I don't see any used of Tcl_Size in tclSwig.h. Is it possible for you to downgrade?
|
62 |
+
|
63 |
+
### stefanottili
|
64 |
+
Does anybody know how to downgrade swig on MacOS M1 homebrew ?
|
65 |
+
The current SWIG Version 4.2.1 causes the same error here.
|
66 |
+
Can be worked around by commenting out the two offending lines, they seem to be in an error path ...
|
67 |
+
|
Build/5101.md
ADDED
@@ -0,0 +1,31 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# OpenROAD build with recent versions of FMT and SPDLOG
|
2 |
+
|
3 |
+
Subcategory: Dependency compatibility issues
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### sebinho
|
8 |
+
I am not sure where to put this, but I had lots of issues lately building OpenROAD on ArchLinux and I thought this might help other people.
|
9 |
+
The issues I had seem to be related to more recent versions of spdlog and fmt packages.
|
10 |
+
Dowgrading fmt to 8.1.1-2 and spdlog to 1.13.0 solves the issues (latest versions are 10.2.0-1 and 1.14.1-1).
|
11 |
+
|
12 |
+
The issues were as follows during the build (one example, others are similar):
|
13 |
+
|
14 |
+
```
|
15 |
+
/usr/include/fmt/core.h:1600:63: error: ‘fmt::v10::detail::type_is_unformattable_for<const dpl::TypedCoordinate<dpl::GridYType>, char> _’ has incomplete type
|
16 |
+
1600 | type_is_unformattable_for<T, typename Context::char_type> _;
|
17 |
+
| ^
|
18 |
+
/usr/include/fmt/core.h:1604:7: error: static assertion failed: Cannot format an argument. To make type T formattable provide a formatter<T> specialization: https://fmt.dev/latest/api.html#udt
|
19 |
+
1604 | formattable,
|
20 |
+
| ^~~~~~~~~~~
|
21 |
+
/usr/include/fmt/core.h:1604:7: note: ‘formattable’ evaluates to false
|
22 |
+
```
|
23 |
+
|
24 |
+
The better way for me to solve my issue (instead of downgrading packages) was to build OpenROAD with the following flag during `cmake`: `-DSPDLOG_FMT_EXTERNAL=OFF`
|
25 |
+
|
26 |
+
### rovinski
|
27 |
+
You might want to take a look at the dependency installer because this issue is known. It may not have support for Arch but you can look at comments for other distros for notes like this.
|
28 |
+
|
29 |
+
### maliberty
|
30 |
+
Please see my comment about FMT_DEPRECATED_OSTREAM in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2696
|
31 |
+
|
Configuration/2442.md
ADDED
@@ -0,0 +1,20 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Unable to find image 'openroad/flow-scripts:latest' locally
|
2 |
+
|
3 |
+
Subcategory: Docker setup issue
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### heaton56
|
8 |
+
After install, trying to run the docker I get the following. ny ideas?
|
9 |
+
|
10 |
+
Unable to find image 'openroad/flow-scripts:latest' locally
|
11 |
+
docker: Error response from daemon: pull access denied for openroad/flow-scripts, repository does not exist or may require 'docker login': denied: requested access to the resource is denied.
|
12 |
+
|
13 |
+
### maliberty
|
14 |
+
How did you 'install' it? What command did you run that gave this message?
|
15 |
+
|
16 |
+
### heaton56
|
17 |
+
Thanks for you response, but I decided to do a local install of OpenROAD-flow-scripts instead, since I would have a learning curve with docker.
|
18 |
+
|
19 |
+
|
20 |
+
|
Configuration/3350.md
ADDED
@@ -0,0 +1,41 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Which distros to support
|
2 |
+
|
3 |
+
Subcategory: Environment setup
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### maliberty
|
8 |
+
Currently OR CI is built with
|
9 |
+
* Local centos7 gcc8
|
10 |
+
* Local centos7 gcc8 without GUI
|
11 |
+
* Docker centos7 gcc8
|
12 |
+
* Docker ubuntu20.04 gcc9
|
13 |
+
|
14 |
+
PRs additionally have GH actions that test:
|
15 |
+
* macOS (x86)
|
16 |
+
* c++20 (using clang-16)
|
17 |
+
|
18 |
+
Centos7 is getting quite dated and I think it is time to replace it with a more modern distro. #3344 shows an example problem where qt5charts is not available in this older distro. I think Ubuntu 22 or Debian 11 are good contenders.
|
19 |
+
|
20 |
+
I'd like community input as to what distro others are using with OR to help guide our choice. @antonblanchard @gadfort @QuantamHD @rovinski @msaligane @oharboe @donn @nayiri-k
|
21 |
+
|
22 |
+
The default compiler version would be updated to match the distro default.
|
23 |
+
|
24 |
+
FYI @vvbandeira @tspyrou
|
25 |
+
|
26 |
+
### QuantamHD
|
27 |
+
Google is on Debian test called [Glinux](https://en.wikipedia.org/wiki/GLinux). Ubuntu 22 or Debian 11 seem like reasonable choices to me.
|
28 |
+
|
29 |
+
But we use bazel to build everything from source so the biggest issue for me is if we start requiring very new versions of widely used libraries like Boost, because then I have to upgrade all of Google to use that version of software which can be a big pain.
|
30 |
+
|
31 |
+
Google has a one version policy for any piece of software in the universe, and it's all stored in a monorepo.
|
32 |
+
|
33 |
+
### donn
|
34 |
+
I use Nix as a build system, so as long as the CMakeFiles are generic enough to compile on both macOS, Ubuntu and CentOS I have no issues with the specific versions of the operating system.
|
35 |
+
|
36 |
+
### rovinski
|
37 |
+
CentOS 7 is EOL on 2024-06-30. I know of machines that are still running it, so maybe it would be a good idea to hold off removing support until then.
|
38 |
+
|
39 |
+
### olofk
|
40 |
+
As a data point, I wanted to mention that I just got it running on Gentoo, depending only on system packages except for or-tools
|
41 |
+
|
Configuration/3943.md
ADDED
@@ -0,0 +1,35 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# How to develop the " setRC.tcl " file from a captable for a foreign PDK ?
|
2 |
+
|
3 |
+
Tool: Parasitics Extraction
|
4 |
+
|
5 |
+
Subcategory: Setting up foreign PDK
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### faisaladilquadery
|
10 |
+
I have a foreign PDK which I want to invoke in openroad. But for that I need to develop the setRC.tcl file. How do I accurately input the resistance and capacitance for the setRC.tcl file .
|
11 |
+
|
12 |
+
N.B : I have a captable for the pdk
|
13 |
+
I can also generate a spef file from Innovus for the PDK
|
14 |
+
|
15 |
+
### maliberty
|
16 |
+
@vvbandeira @luarss do we have any documentation for correlateRC ?
|
17 |
+
|
18 |
+
Note that if you LEF res & cap has values you can use those without a setRC to get started.
|
19 |
+
|
20 |
+
### vvbandeira
|
21 |
+
@faisaladilquadery
|
22 |
+
We have a section on the `setRC.tcl` file configuration in our platform bring-up documentation.
|
23 |
+
https://openroad-flow-scripts.readthedocs.io/en/latest/contrib/PlatformBringUp.html#setrc-configuration
|
24 |
+
|
25 |
+
### faisaladilquadery
|
26 |
+
Thankyou! @maliberty @vvbandeira . I have used the lef file in replacement of the setRC.tcl file . But I am getting **net delay** mismatch between the same path with the same cells for Innovus and OpenRoad. Any Idea why ?
|
27 |
+
|
28 |
+
### faisaladilquadery
|
29 |
+
Thankyou @maliberty I have used the the RCX flow you mentioned to generate a rc tech file for my pdk and used the tech file to generate a spef in ORFS to obtain a more accurate RC.
|
30 |
+
|
31 |
+
### b224hisl
|
32 |
+
> set_wire_rc is used to set a default layer to use when doing placement based parasitic estimation. There is no meaning to multiple layers here as there would be no way to divide up the estimate meaningfully.
|
33 |
+
|
34 |
+
So I'm confused why it needs to set jsut one layer, do you mean only placement based parasitic estimation is related to `set_wire_rc` ? If there' s no way to divide up the estimate meaningfully, the layer can be set randomly?
|
35 |
+
|
Configuration/4289.md
ADDED
@@ -0,0 +1,31 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Disable LEF Warning Messages
|
2 |
+
|
3 |
+
Tool: OpenDB
|
4 |
+
|
5 |
+
Subcategory: Warnings and messages
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### abaababa
|
10 |
+
Hi all,
|
11 |
+
|
12 |
+
I am trying to load custom lef/lib files for pnr, and my lef files contain some properties unsupported by openroad. I got too much warning messages from this and the unsupported properties didn't have effect on my pnr results. Is there any way to disable the LEF warning messages temporarily? I checked the documents but failed to find an option that can turn off the warnings. Sorry if I missed it from the documents.
|
13 |
+
|
14 |
+
Thanks in advance!
|
15 |
+
|
16 |
+
|
17 |
+
|
18 |
+
### maliberty
|
19 |
+
There is no method to do that currently. No single message will print more than 1k times.
|
20 |
+
|
21 |
+
### luarss
|
22 |
+
@maliberty Correct me if I am wrong, but doesn't Tcl function `suppress_message` do this?
|
23 |
+
|
24 |
+
Edit: i guess there's no function that achieves full suppression for all warnings, what OP requested
|
25 |
+
|
26 |
+
https://github.com/The-OpenROAD-Project/OpenROAD/blob/28892de3bef1af52f3371d547f752acf0af20021/test/helpers.tcl#L203
|
27 |
+
|
28 |
+
Corresponding source:
|
29 |
+
https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/utl/src/Logger.cpp
|
30 |
+
|
31 |
+
|
Configuration/4515.md
ADDED
@@ -0,0 +1,23 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# How do I avoid cells rotation in placement?
|
2 |
+
|
3 |
+
Tool: Detailed Placement
|
4 |
+
|
5 |
+
Subcategory: Cell placement rules
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### OuDret
|
10 |
+
I need a cell that does not rotate in both global and detailed placement. Is there any way to achieve it? I did not find any parameter.
|
11 |
+
|
12 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/74424277/9d1b472c-dac6-4458-a3f6-f045e42abcfc)
|
13 |
+
|
14 |
+
Thanks
|
15 |
+
|
16 |
+
|
17 |
+
|
18 |
+
### maliberty
|
19 |
+
If it is a standard cell the LEF for the cell gives its symmetry.
|
20 |
+
|
21 |
+
### maliberty
|
22 |
+
As this isn't a usual use case it has been lower priority. The simplest thing might be to just delete the rows that represent Y symmetry if you want to avoid such for your experiments.
|
23 |
+
|
Configuration/5065.md
ADDED
@@ -0,0 +1,155 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# howo to run openroad by reading an existing DEF file
|
2 |
+
|
3 |
+
Tool: OpenDB
|
4 |
+
|
5 |
+
Subcategory: Initialization from existing DEF
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### bittnada
|
10 |
+
Hello
|
11 |
+
I have a DEF file in which row, track, components, and pins are written.
|
12 |
+
The position of the cells are on the step of global placement, obtained by using another method.
|
13 |
+
Now, I want to finish the rest of the procedures by modifying "test/flow.tcl" file.
|
14 |
+
I just skipped the steps of pin placement and global placement and added "read_def [my_def_fiel}'", but it did not work.
|
15 |
+
I will appreciate if anyone let me know how to solve this problem.
|
16 |
+
Thanks,
|
17 |
+
|
18 |
+
|
19 |
+
|
20 |
+
### maliberty
|
21 |
+
What happened when it "did not work"? Its not much to go on.
|
22 |
+
|
23 |
+
### bittnada
|
24 |
+
|
25 |
+
Sorry for my delayed response.
|
26 |
+
|
27 |
+
I've just conducted a test using gcd_nangate45.tcl, and I've created my own DEF file containing rows, tracks, pins, nets, and components. I performed a simple test by modifying a flow.tcl script to skip buffer insertion, IO placement, Macro Placement, tap cell insertion, power distribution, and global placement, and then adding "read_def".
|
28 |
+
|
29 |
+
After running gcd_nangate45.tcl, I received the following output:
|
30 |
+
|
31 |
+
====================================================================================
|
32 |
+
OpenROAD v2.0-13652-g6fc686431
|
33 |
+
Features included (+) or not (-): +Charts +GPU +GUI +Python
|
34 |
+
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
35 |
+
Components of this program may be licensed under more restrictive licenses which must be honored.
|
36 |
+
[INFO ODB-0227] LEF file: Nangate45/Nangate45_tech.lef, created 22 layers, 27 vias
|
37 |
+
[INFO ODB-0227] LEF file: Nangate45/Nangate45_stdcell.lef, created 135 library cells
|
38 |
+
[WARNING STA-0441] set_input_delay relative to a clock defined on the same port/pin not allowed.
|
39 |
+
[INFO IFP-0001] Added 57 rows of 422 site FreePDK45_38x28_10R_NP_162NW_34O.
|
40 |
+
[INFO ORD-0048] Loading an additional DEF.
|
41 |
+
Error: Chip already exists
|
42 |
+
[INFO RSZ-0058] Using max wire length 693um.
|
43 |
+
[INFO RSZ-0039] Resized 41 instances.
|
44 |
+
Placement Analysis
|
45 |
+
---------------------------------
|
46 |
+
total displacement 17739.5 u
|
47 |
+
average displacement 49.0 u
|
48 |
+
max displacement 62.9 u
|
49 |
+
original HPWL 280.4 u
|
50 |
+
legalized HPWL 9839.7 u
|
51 |
+
delta HPWL 3410 %
|
52 |
+
|
53 |
+
worst slack 0.105
|
54 |
+
worst slack -0.011
|
55 |
+
tns -0.011
|
56 |
+
[INFO CTS-0050] Root buffer is BUF_X4.
|
57 |
+
[INFO CTS-0051] Sink buffer is BUF_X4.
|
58 |
+
[INFO CTS-0052] The following clock buffers will be used for CTS:
|
59 |
+
BUF_X4
|
60 |
+
[INFO CTS-0049] Characterization buffer is BUF_X4.
|
61 |
+
[INFO CTS-0007] Net "clk" found for clock "core_clock".
|
62 |
+
[WARNING CTS-0041] Net "clk" has 0 sinks. Skipping...
|
63 |
+
[WARNING CTS-0083] No clock nets have been found.
|
64 |
+
[INFO CTS-0008] TritonCTS found 0 clock nets.
|
65 |
+
[WARNING CTS-0082] No valid clock nets in the design.
|
66 |
+
[INFO RSZ-0058] Using max wire length 693um.
|
67 |
+
Placement Analysis
|
68 |
+
---------------------------------
|
69 |
+
total displacement 0.0 u
|
70 |
+
average displacement 0.0 u
|
71 |
+
max displacement 0.0 u
|
72 |
+
original HPWL 9839.7 u
|
73 |
+
legalized HPWL 9839.7 u
|
74 |
+
delta HPWL 0 %
|
75 |
+
|
76 |
+
[INFO RSZ-0094] Found 1 endpoints with setup violations.
|
77 |
+
[WARNING RSZ-0075] makeBufferedNet failed for driver _393_/ZN
|
78 |
+
[WARNING RSZ-0075] makeBufferedNet failed for driver _393_/ZN
|
79 |
+
[WARNING RSZ-0075] makeBufferedNet failed for driver _393_/ZN
|
80 |
+
[WARNING RSZ-0075] makeBufferedNet failed for driver _393_/ZN
|
81 |
+
[INFO RSZ-0041] Resized 5 instances.
|
82 |
+
[INFO RSZ-0043] Swapped pins on 2 instances.
|
83 |
+
[INFO RSZ-0033] No hold violations found.
|
84 |
+
worst slack 0.106
|
85 |
+
worst slack 0.000
|
86 |
+
tns 0.000
|
87 |
+
Placement Analysis
|
88 |
+
---------------------------------
|
89 |
+
total displacement 91.3 u
|
90 |
+
average displacement 0.3 u
|
91 |
+
max displacement 6.4 u
|
92 |
+
original HPWL 9840.8 u
|
93 |
+
legalized HPWL 9925.0 u
|
94 |
+
delta HPWL 1 %
|
95 |
+
|
96 |
+
[INFO DRT-0149] Reading tech and libs.
|
97 |
+
|
98 |
+
Units: 2000
|
99 |
+
Number of layers: 21
|
100 |
+
Number of macros: 135
|
101 |
+
Number of vias: 27
|
102 |
+
Number of viarulegen: 19
|
103 |
+
|
104 |
+
Signal 11 received
|
105 |
+
Stack trace:
|
106 |
+
0# 0x0000564758181A44 in /mnt/work/OpenROAD/bin/bin/openroad
|
107 |
+
1# 0x00007F4028F54F10 in /lib/x86_64-linux-gnu/libc.so.6
|
108 |
+
2# odb::dbTechVia::getTopLayer() in /mnt/work/OpenROAD/bin/bin/openroad
|
109 |
+
3# odb::dbWireEncoder::addTechVia(odb::dbTechVia*) in /mnt/work/OpenROAD/bin/bin/openroad
|
110 |
+
4# drt::TritonRoute::stackVias(odb::dbBTerm*, int, int, bool) in /mnt/work/OpenROAD/bin/bin/openroad
|
111 |
+
5# drt::TritonRoute::processBTermsAboveTopLayer(bool) in /mnt/work/OpenROAD/bin/bin/openroad
|
112 |
+
6# drt::TritonRoute::initDesign() in /mnt/work/OpenROAD/bin/bin/openroad
|
113 |
+
7# drt::TritonRoute::pinAccess(std::vector<odb::dbInst*, std::allocator<odb::dbInst*> > const&) in /mnt/work/OpenROAD/bin/bin/openroad
|
114 |
+
8# pin_access_cmd(char const*, char const*, char const*, int, int) in /mnt/work/OpenROAD/bin/bin/openroad
|
115 |
+
9# 0x00005647589E491B in /mnt/work/OpenROAD/bin/bin/openroad
|
116 |
+
10# TclNRRunCallbacks in /usr/lib/x86_64-linux-gnu/libtcl8.6.so
|
117 |
+
11# 0x00007F402E79BFBA in /usr/lib/x86_64-linux-gnu/libtcl8.6.so
|
118 |
+
12# Tcl_EvalEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so
|
119 |
+
13# Tcl_Eval in /usr/lib/x86_64-linux-gnu/libtcl8.6.so
|
120 |
+
14# gui::TclCmdInputWidget::executeCommand(QString const&, bool, bool) in /mnt/work/OpenROAD/bin/bin/openroad
|
121 |
+
15# gui::CmdInputWidget::handleEnterKeyPress(QKeyEvent*) in /mnt/work/OpenROAD/bin/bin/openroad
|
122 |
+
16# gui::TclCmdInputWidget::keyPressEvent(QKeyEvent*) in /mnt/work/OpenROAD/bin/bin/openroad
|
123 |
+
17# QWidget::event(QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
124 |
+
18# QFrame::event(QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
125 |
+
19# QAbstractScrollArea::event(QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
126 |
+
20# QPlainTextEdit::event(QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
127 |
+
21# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
128 |
+
22# QApplication::notify(QObject*, QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
129 |
+
23# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Core.so.5
|
130 |
+
24# 0x00007F402B1357B5 in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
131 |
+
25# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
132 |
+
26# QApplication::notify(QObject*, QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5
|
133 |
+
27# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Core.so.5
|
134 |
+
28# QGuiApplicationPrivate::processKeyEvent(QWindowSystemInterfacePrivate::KeyEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5
|
135 |
+
29# QGuiApplicationPrivate::processWindowSystemEvent(QWindowSystemInterfacePrivate::WindowSystemEvent*) in /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5
|
136 |
+
30# QWindowSystemInterface::sendWindowSystemEvents(QFlags<QEventLoop::ProcessEventsFlag>) in /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5
|
137 |
+
31# 0x00007F4022595260 in /usr/lib/x86_64-linux-gnu/libQt5XcbQpa.so.5
|
138 |
+
32# g_main_context_dispatch in /usr/lib/x86_64-linux-gnu/libglib-2.0.so.0
|
139 |
+
33# 0x00007F402783C770 in /usr/lib/x86_64-linux-gnu/libglib-2.0.so.0
|
140 |
+
34# g_main_context_iteration in /usr/lib/x86_64-linux-gnu/libglib-2.0.so.0
|
141 |
+
35# QEventDispatcherGlib::processEvents(QFlags<QEventLoop::ProcessEventsFlag>) in /usr/lib/x86_64-linux-gnu/libQt5Core.so.5
|
142 |
+
36# QEventLoop::exec(QFlags<QEventLoop::ProcessEventsFlag>) in /usr/lib/x86_64-linux-gnu/libQt5Core.so.5
|
143 |
+
37# QCoreApplication::exec() in /usr/lib/x86_64-linux-gnu/libQt5Core.so.5
|
144 |
+
38# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, bool) in /mnt/work/OpenROAD/bin/bin/openroad
|
145 |
+
39# ord::tclAppInit(Tcl_Interp*) in /mnt/work/OpenROAD/bin/bin/openroad
|
146 |
+
40# Tcl_MainEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so
|
147 |
+
41# main in /mnt/work/OpenROAD/bin/bin/openroad
|
148 |
+
42# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6
|
149 |
+
43# _start in /mnt/work/OpenROAD/bin/bin/openroad
|
150 |
+
================================================================================================
|
151 |
+
|
152 |
+
I also examined the source codes and realized that the testing approach I employed did not yield the desired results. However, I am unsure about how to proceed from the step after global placement by reading the DEF file.
|
153 |
+
|
154 |
+
Thanks.
|
155 |
+
|
Configuration/5199.md
ADDED
@@ -0,0 +1,24 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Non-rectilinear core area
|
2 |
+
|
3 |
+
Tool: Initialize Floorplan
|
4 |
+
|
5 |
+
Subcategory: Floorplan customization
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### Blebowski
|
10 |
+
Hello,
|
11 |
+
|
12 |
+
is it possible to somehow specify core-area that is non-rectilinear (e.g. as a group of polygons surrounding concave shape) ?
|
13 |
+
|
14 |
+
I understand that if doing "digital on top" FP where all the analog is a digital macro, this does not make sense. However, it may be usefull in case where "analog-on-top" is done, and digital may have some weird shape and is eventually placed as hard GDSII macro into analog-on-top design.
|
15 |
+
|
16 |
+
|
17 |
+
|
18 |
+
### maliberty
|
19 |
+
The simplest would be to make a rectangular block and add placement and routing blockages for the disallowed area
|
20 |
+
|
21 |
+
### Blebowski
|
22 |
+
Thanks. Can I then do the `add_pdn_ring` around just the cut area (e.g. L-shape pdn-ring) ? Or is there some other trick how
|
23 |
+
to achieve this ?
|
24 |
+
|
Configuration/5213.md
ADDED
@@ -0,0 +1,66 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Running a simple combinatorial design
|
2 |
+
|
3 |
+
Tool: OpenDB
|
4 |
+
|
5 |
+
Subcategory: Module name mismatch
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### Dandy201
|
10 |
+
Hi all, I'm new to using ORFS and tried some tutorials from https://github.com/The-OpenROAD-Project/micro2022tutorial.
|
11 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/172090682/8a6e4ccd-503a-4751-8ead-8c1c5d209890)
|
12 |
+
|
13 |
+
Completing exercise 5 got this simple output:
|
14 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/172090682/63ead36e-c51b-456b-9526-819d76f8a209).
|
15 |
+
|
16 |
+
From this, I'm trying to make a simple combinational circuit. Running make DESIGN_CONFIG=../../half_Adder/config.mk, it always ends at this error:
|
17 |
+
|
18 |
+
7. Executing Verilog-2005 frontend: /home/dan/Desktop/Work/vlsi/tools/OpenROAD-flow-scripts/flow/platforms/asap7/yoSys/cells_clkgate_R.v
|
19 |
+
Using ABC speed script.
|
20 |
+
[FLOW] Extracting clock period from SDC file: ./results/asap7/halfAdder/base/clock_period.txt
|
21 |
+
[FLOW] Setting clock period to 5
|
22 |
+
8. Executing SYNTH pass.
|
23 |
+
8.1. Executing HIERARCHY pass (managing design hierarchy).
|
24 |
+
**ERROR: TCL interpreter returned an error: Yosys command produced an error
|
25 |
+
Command exited with non-zero status 1
|
26 |
+
Elapsed time: 0:00.59[h:]min:sec. CPU time: user 0.56 sys 0.02 (99%). Peak memory: 72508KB.
|
27 |
+
make[1]: *** [Makefile:496: do-yosys] Error 1
|
28 |
+
make: *** [Makefile:499: results/asap7/halfAdder/base/1_1_yosys.v] Error 2.**
|
29 |
+
|
30 |
+
Config file
|
31 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/172090682/324abeb8-c78c-42a6-906f-811f9c4134b6)
|
32 |
+
|
33 |
+
Constraint file
|
34 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/172090682/c305c964-35a7-4fae-b038-7cbb9539f98d)
|
35 |
+
|
36 |
+
Verilog file
|
37 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/172090682/fe9cc773-67be-4235-a4b5-b66b06dee795)
|
38 |
+
|
39 |
+
|
40 |
+
|
41 |
+
|
42 |
+
|
43 |
+
### maliberty
|
44 |
+
Please package this as a standalone test case and attach it. Reproducing it from screen shots is inefficient.
|
45 |
+
|
46 |
+
### Dandy201
|
47 |
+
[try.zip](https://github.com/user-attachments/files/15751707/try.zip)
|
48 |
+
|
49 |
+
Here's the zip file along with the command : make DESIGN_CONFIG=../../try/config.mk
|
50 |
+
|
51 |
+
|
52 |
+
### mi-and-core
|
53 |
+
(1) change module name from 'half_adder' to 'halfAdder'
|
54 |
+
|
55 |
+
|
56 |
+
### maliberty
|
57 |
+
In the config.mk
|
58 |
+
```
|
59 |
+
export DESIGN_NAME = halfAdder
|
60 |
+
```
|
61 |
+
while in halfAdder.v:
|
62 |
+
```
|
63 |
+
module half_adder(
|
64 |
+
```
|
65 |
+
These names need to match.
|
66 |
+
|
Documentation/4495.md
ADDED
@@ -0,0 +1,27 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# pad_left/right units for GPL and repair_clock_inverters description
|
2 |
+
|
3 |
+
Tool: Global Placement
|
4 |
+
|
5 |
+
Subcategory: Missing information
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### lustefan97
|
10 |
+
|
11 |
+
|
12 |
+
I looked in OpenROAD documentation for Global Placement and Gate Resizer to get more detail about the units of the pad_left/right parameters of the `global_placement` command [(GPL)](https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/gpl) and the use/behavior of `repair_clock_inverters` [(RSZ).](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/rsz/README.md)
|
13 |
+
|
14 |
+
Both the variables units description is missing (while present for DPL (site count units), but since the two tools are different I don't want to assume it is the same for both) in GPL, and there is no description concerning `repair_clock_inverters` use case or behavior description (like there would be for `repair_timing` or `repair_design`.
|
15 |
+
|
16 |
+
What are the units of pad_left/right, and what does `repair_clock_inverters` do/how to use it ?
|
17 |
+
|
18 |
+
### maliberty
|
19 |
+
The units are sites from the LEF.
|
20 |
+
|
21 |
+
repair_clock_inverters is useful when you have an inverter in the clock tree that would split the tree. It clones the inverter so you have one per flop instead.
|
22 |
+
|
23 |
+
### maliberty
|
24 |
+
before CTS see https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/181e9133776117ea1b9f74dbacbfdaadff8c331b/flow/scripts/cts.tcl#L7
|
25 |
+
|
26 |
+
You might benefit from investigating how ORFS works
|
27 |
+
|
Documentation/5312.md
ADDED
@@ -0,0 +1,34 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# How to query odb database
|
2 |
+
|
3 |
+
Tool: OpenDB
|
4 |
+
|
5 |
+
Subcategory: Incomplete documentation
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### titan73
|
10 |
+
I want to directly query odb database. How can I do that? There is no command for that.
|
11 |
+
I found some ord::xxx commands by searching the net but there is no proper documentation with all commands.
|
12 |
+
|
13 |
+
### titan73
|
14 |
+
also found odb::xxx. No documentation either.
|
15 |
+
Most functions required a self argument.
|
16 |
+
Tested "::odb::dbBlock_getPowerDomains [::odb::dbBlock]" to get power domains but it crashes.
|
17 |
+
|
18 |
+
### titan73
|
19 |
+
I got to something using orf and odb to get the created power domains:
|
20 |
+
|
21 |
+
foreach pd [::odb::dbBlock_getPowerDomains [::ord::get_db_block]] {
|
22 |
+
puts "[::odb::dbPowerDomain_getName $pd]"
|
23 |
+
}
|
24 |
+
|
25 |
+
I think I get the idea. A pity it's not documented.
|
26 |
+
|
27 |
+
|
28 |
+
### maliberty
|
29 |
+
We use swig on https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/odb/include/odb/db.h so the APIs and comments there should be a guide. If you have specific questions please ask.
|
30 |
+
|
31 |
+
### titan73
|
32 |
+
Ok thanks. It helps. Although not all methods have comments. dbPowerDomain for instance.
|
33 |
+
How does int& is supposed to be mapped in tcl? Ex: bool getArea(int& x1, int& y1, int& x2, int& y2);
|
34 |
+
|
FeatureRequest/1871.md
ADDED
@@ -0,0 +1,23 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Support for MEOL layer?
|
2 |
+
|
3 |
+
Tool: OpenDB
|
4 |
+
|
5 |
+
Subcategory: Add MEOL layer support
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### GuzTech
|
10 |
+
Hello everyone!
|
11 |
+
|
12 |
+
I'm in the process of bringing up a new platform which is going well. I am running into a problem with a local interconnect layer which is specified as a `MASTERSLICE` with `LEF58_TYPE` = `MEOL`, so it is not specified as a `ROUTING` layer as is the case with the sky130 PDK. OpenDB complains that there is a parse mismatch for this `lil` layer.
|
13 |
+
|
14 |
+
If I add this `lil` layer as a routing layer to `make_tracks.tcl` then OpenDB complains that it is not a routing layer. If I don't add `lil` as a routing layer, then TritonRoute gives an error that it cannot find layer `lil` for viarule that connects `lil` to the first metal layer.
|
15 |
+
|
16 |
+
Did anyone else run into a similar problem? If so, how did you solve it?
|
17 |
+
|
18 |
+
### maliberty
|
19 |
+
Please include the odb message. You should not add tracks if it is not a routing layer.
|
20 |
+
|
21 |
+
### maliberty
|
22 |
+
We need to add support for MEOL. You can workaround it my making it a masterslice layer temporarily.
|
23 |
+
|
FeatureRequest/2784.md
ADDED
@@ -0,0 +1,108 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# New DFT Tool: Starting with scan replace
|
2 |
+
|
3 |
+
Tool: Design for Test
|
4 |
+
|
5 |
+
Subcategory: New tool addition
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### fgaray
|
10 |
+
Hello OpenROAD devs,
|
11 |
+
|
12 |
+
I am planning on contributing a new tool (following https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/docs/contrib/DeveloperGuide.md, I will call this "dft") for DFT insertion into OpenROAD and I would like to discuss the user facing API.
|
13 |
+
|
14 |
+
# User facing API
|
15 |
+
|
16 |
+
I think we should create two new main commands for DFT: preview_dft and insert_dft:
|
17 |
+
|
18 |
+
* preview_dft: will show a summary of what scan chains are we going to create (after scan architect) but will not apply any changes to the design. This is an immutable command and will not perform any modifications to the design or database. This is useful for debugging proposes and to help users to iterate any DFT option that could change the creation of the scan chains.
|
19 |
+
* insert_dft: will run again from scratch the immutable part of preview_dft, including scan architect and perform scan stitching. The result of insert_dft should be the same that the one presented by preview_dft but applied to the design.
|
20 |
+
|
21 |
+
I would say that it is better to configure the DFT compiler with commands like "set_dft_*" instead of receiving any argument in "preview_dft" or "insert_dft". For example: set_dft_no_scan (for cells that we don't want to scan replace), set_dft_chain_count (for the number of scan chains to be created) or set_dft_clock_mix (if we want or not to mix clock domains).
|
22 |
+
|
23 |
+
Now, I am not sure if this is going to be something you expect to see in the Python interface, this is a very TCL oriented API and I would like to see if you have any suggestion on doing this more programmatically for Python scripting (maybe this is totally fine as we can have a different API for Python?).
|
24 |
+
|
25 |
+
# Where in the flow is DFT insertion going to be?
|
26 |
+
|
27 |
+
![OpenROAD's flow](https://user-images.githubusercontent.com/1619948/213331834-76ea8bb9-a63b-4576-90ff-5e6eca6e5647.png)
|
28 |
+
|
29 |
+
Looking into OpenROAD's flow, I think the best part to perform DFT insertion is between "Logic Synthesis" and "Floorplanning". That way we floorplanning will have all the info about DFT to perform optimizations in the design.
|
30 |
+
|
31 |
+
Feel free to suggest something different here :).
|
32 |
+
|
33 |
+
# What is the plan?
|
34 |
+
|
35 |
+
For now, I will only be creating "insert_dft" and "set_no_scan" for scan replacement: I will replace sequential cells (excluding black boxes as we don't have support for [CTLs](https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=966626) yet!) in the design with scan equivalents if they are available and nothing more inside insert_dft. I will keep of of the scope adding scan muxes or any other kind of scan stitching as this is my first approach to OpenROAD.
|
36 |
+
|
37 |
+
Please, let me know if there is something I should be aware of or anything related to this idea or the user interface.
|
38 |
+
|
39 |
+
Thanks!,
|
40 |
+
Felipe
|
41 |
+
|
42 |
+
|
43 |
+
### maliberty
|
44 |
+
I think it is best to do scan chain after global placement. You want to put the ff in the best placement for timing and only then construct the chain ordering based on neighbors.
|
45 |
+
|
46 |
+
It would be helpful to know what commands you intend to implement to define the chains. A small complete example would clarify the use model.
|
47 |
+
|
48 |
+
For python API please see the discussion in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/2745
|
49 |
+
|
50 |
+
### maliberty
|
51 |
+
@rovinski @msaligane @louiic @Colin-Holehouse any comments?
|
52 |
+
|
53 |
+
### rovinski
|
54 |
+
Very cool! Always excited to see more functionality contributed 😃
|
55 |
+
|
56 |
+
### maliberty
|
57 |
+
Would you say more about scandef and how you intend to generate it?
|
58 |
+
|
59 |
+
### maliberty
|
60 |
+
Note that odb doesn't store any scan information from DEF today so you'll have to plan on enhancing it as part of this project.
|
61 |
+
|
62 |
+
### maliberty
|
63 |
+
In case its useful: http://vlsicad.eecs.umich.edu/BK/Slots/cache/vlsicad.ucsd.edu/GSRC/Bookshelf/Slots/ScanOpt/
|
64 |
+
|
65 |
+
### fgaray
|
66 |
+
Hey @maliberty ,
|
67 |
+
|
68 |
+
I am trying to write a function to perform the scan replace and I need to find a scan equivalent cell in the DB.
|
69 |
+
|
70 |
+
I am iterating over the libs in the odb::dbDatabase like this:
|
71 |
+
|
72 |
+
```
|
73 |
+
bool IsLibScanCell(odb::dbLib* lib) {
|
74 |
+
for (odb::dbMaster* master: lib->getMasters()) {
|
75 |
+
for (odb::dbMTerm* mterm: master->getMTerms()) {
|
76 |
+
std::cout << mterm->getSigType().getString() << std::endl;
|
77 |
+
}
|
78 |
+
}
|
79 |
+
return false;
|
80 |
+
}
|
81 |
+
```
|
82 |
+
|
83 |
+
But looks like the enum of getSigType does not have enough information to see if a pin is scan_enable or scan_in. Is this something that I need to implement or is there another way to access this information inside the lib? I see that in sta/ there are some parsing to detect scan signals, but I do not see them being used in any place outside sta/.
|
84 |
+
|
85 |
+
|
86 |
+
|
87 |
+
### fgaray
|
88 |
+
For scan replace, I have a working demo where I collect the scan lib cells and then I try to iterate over the design's blocks applying "sta::dbNetwork" replaceCell, but I found that this and some methods in sta:: check before performing the replace if both cells are "equivalent" by counting the number of ports in each cell (See inDbInstSwapMasterBefore in dbSta/src/dbSta.cc ).
|
89 |
+
|
90 |
+
This is not really true when you try to replace a cell with an scan equivalent because the scan one will have additional ports like scan in and scan enable.
|
91 |
+
|
92 |
+
I was wondering if there is a function that I am missing that could help me with this kind of replace.
|
93 |
+
|
94 |
+
If not, should I provide a new method for dbSta and odb that checks for functional equivalent ports instead of index/name based matching (See swapMaster in odb/src/db/dbInst.cpp) or roll one myself just for DFT? Would you prefer this method to be available in odb or maybe just complete change the way we do the replaceCell?
|
95 |
+
|
96 |
+
Thanks!
|
97 |
+
|
98 |
+
### fgaray
|
99 |
+
Hi @maliberty,
|
100 |
+
|
101 |
+
Do you know how to test if two ports/pins are functional equivalent?, I am trying to use sta::LibertyPort's function() and sta::FuncExpr::equiv() but looks like sta::LibertyPort's function() returns most of the time nullptr. I see that in odb/src/db/dbInst.cpp:1201, we test that mterms are equivalent by checking that the name of the ports is the same (line 1236).
|
102 |
+
|
103 |
+
I can work with that but I think my scan replace function will be more robust if I can check if the ports are functional equivalent.
|
104 |
+
|
105 |
+
|
106 |
+
### fgaray
|
107 |
+
Implemented basic DFT in #2900, #3079 and #3356
|
108 |
+
|
FeatureRequest/3331.md
ADDED
@@ -0,0 +1,37 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Synthesis support
|
2 |
+
|
3 |
+
Subcategory: Synthesis support
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### Blebowski
|
8 |
+
Hello, Is there any idea whether eventually OpenRoad would like to support also synthesis as part of the tool (instead of using Yosys externally) ?
|
9 |
+
|
10 |
+
### maliberty
|
11 |
+
There is no plan for that. At most we would integrate yosys. What motivates your question?
|
12 |
+
|
13 |
+
### Blebowski
|
14 |
+
Hi, @maliberty , several points:
|
15 |
+
- Yosys does not support full System Verilog (AFAIK, only with proprietary parser). Nor it supports VHDL (only via GHDL yosys plugin, and getting this to work is tricky)
|
16 |
+
- Synthesis is mentioned several times in: https://github.com/The-OpenROAD-Project/OpenROAD/issues/1759
|
17 |
+
1. Hard to get netlist that passes the timing
|
18 |
+
2. Possible QoR gains
|
19 |
+
|
20 |
+
- Generally, placement / routing aware synthesis reaches better results in lower geometries
|
21 |
+
- Industry high-end goes this direction
|
22 |
+
- Easier user interface (single database, simpler to pass information from synthesis to PnR)
|
23 |
+
|
24 |
+
|
25 |
+
### Blebowski
|
26 |
+
However,
|
27 |
+
I need to note that I am not actively using nor developing OpenRoad. As for the usage, in company where I work, we use Synopsys for Synthesis and PnR tools due to stability, documentation, good performance and vast features available. We are looking forward for the day when we will be able to use open source.
|
28 |
+
As for the development, I would really like to join, but I already have little time for the open-source work due to my daily job.
|
29 |
+
|
30 |
+
### maliberty
|
31 |
+
SV support is unrelated to OR and is being addressed in https://github.com/chipsalliance/Surelog. The pain is mostly related to missing ASIC oriented features in yosys/abc (eg operator mapping).
|
32 |
+
|
33 |
+
In general its a large effort and would need dedicated resources to work on it. There isn't enough bandwidth to make it a priority. If you want to start addressing some of these concerns I'd be happy to discuss further.
|
34 |
+
|
35 |
+
### maliberty
|
36 |
+
OR has already integrated abc which handles the technology dependent operations. Its less clear that there is a big advantage to the technology independent operations in yosys itself. There is some work slowly progressing on remapping in OR using abc.
|
37 |
+
|
FeatureRequest/3359.md
ADDED
@@ -0,0 +1,53 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Ideas for multithreaded rendering
|
2 |
+
|
3 |
+
Tool: Graphical User Interface
|
4 |
+
|
5 |
+
Subcategory: Performance enhancement
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### rovinski
|
10 |
+
I saw the conversation in #3330, #3342, #3348, etc. and started thinking about how the rendering time might be improved aside from not drawing objects that aren't useful.
|
11 |
+
|
12 |
+
It seems that the rendering, for the most part, is embarrassingly parallel. In `LayoutViewer::drawInstanceOutlines()` for example (the most expensive layer measured in #3348), a function is applied to every instance in a vector to determine how / if it gets drawn, and then it makes a draw call.
|
13 |
+
|
14 |
+
https://github.com/The-OpenROAD-Project/OpenROAD/blob/6920ac530b6959f742e75b7f55062b1642730b14/src/gui/src/layoutViewer.cpp#L2041-L2091
|
15 |
+
|
16 |
+
This is essentially a [map reduce](https://en.wikipedia.org/wiki/MapReduce) paradigm which should be extremely parallel, except that Qt painter objects aren't thread safe. There was discussion in #3279 that the database is not "thread safe", but I think that was in the context of read/write operations and not read-only operations.
|
17 |
+
|
18 |
+
Beyond that, each layer is independently but sequentially rendered:
|
19 |
+
|
20 |
+
https://github.com/The-OpenROAD-Project/OpenROAD/blob/6920ac530b6959f742e75b7f55062b1642730b14/src/gui/src/layoutViewer.cpp#L2555-L2599
|
21 |
+
|
22 |
+
There may or may not be ordering required on draw calls to these layers, I'm not sure.
|
23 |
+
|
24 |
+
I looked at a few [Qt guides](https://doc.qt.io/qt-5/qtcore-threads-mandelbrot-example.html) and they all recommend separating computation from rendering in separate threads for better performance (and interactivity).
|
25 |
+
|
26 |
+
I think some of these things were mentioned by @QuantamHD in #1930 but the PR itself seems to be unrelated (and abandoned).
|
27 |
+
|
28 |
+
I have some interest in looking into this, but I wonder what the best approach would be for this? Qt has [QThread](https://doc.qt.io/qt-5/qthread.html) and [QThreadPool](https://doc.qt.io/qt-5/qthreadpool.html) for this exact purpose. There's also [QtConcurrent](https://doc.qt.io/qt-5/qtconcurrent.html) which may have some uses.
|
29 |
+
|
30 |
+
In an ideal world, it seems like there should be a thread pool with `getThreadCount()-1` threads and the main thread. The main thread has a render queue which atomically pops the queue for draw calls and does nothing else until all worker threads yield. The worker threads can parallelize at the granularity of layer or instance/shape depending on what's optimal. But this could take a lot of refactoring, possibly be overkill, or possibly be difficult to tune the amount of threading correctly.
|
31 |
+
|
32 |
+
An intermediate step could be to simply parallelize some of the heavy-weight tasks that block the GUI thread, such as using `std::transform(std::execution::par)` or `std::for_each(std::execution::par)` and separating the draw calls from the filtering. But also these functions don't seem to be well supported on old versions of GCC/Clang (it requires Intel `libtbb` and may error or just use a serial version if it isn't linked, but I think it also think it doesn't work on Apple silicon). Maybe using OpenMP would work?
|
33 |
+
|
34 |
+
Any thoughts or opinions?
|
35 |
+
@gadfort @maliberty @oharboe @QuantamHD
|
36 |
+
|
37 |
+
### oharboe
|
38 |
+
After the recent performance improvement, the biggest performance problem currently for us, is that all intermediate zoom levels are rendered https://github.com/The-OpenROAD-Project/OpenROAD/issues/3278
|
39 |
+
|
40 |
+
So if that could be fixed FIRST... :-)
|
41 |
+
|
42 |
+
Afterwards, the suggestions that you propose sound wicked!
|
43 |
+
|
44 |
+
It is true that Qt nor the database is thread safe, then on the other hand, this means that the GUI is single threaded, so I suppose that you are right that you can use as many or few threads as you like as long as Qt nor the database functions are non-the-wiser. Rendering should be especially amenable to this since it is a read only operation...
|
45 |
+
|
46 |
+
Also, rendering doesn't have to be perfect. I have been thinking that a draft could be rendered while zooming and then when the user stops giving input, more detail can be rendered.
|
47 |
+
|
48 |
+
|
49 |
+
### maliberty
|
50 |
+
@rovinski I've considered all these ideas but lack the time to implement them all. Right now I'm looking at moving the rendering to a separate thread and making it interruptible. The main challenge is figuring out how to trigger the right events at the right time to make Qt happy. It is very particular that you can only paint in paintEvent.
|
51 |
+
|
52 |
+
Assuming I can land this then it is more straightforward to split that worker thread into multiple threads. KLayout works in a similar fashion where they render per layer in each thread and composite in the main thread.
|
53 |
+
|
FeatureRequest/3531.md
ADDED
@@ -0,0 +1,17 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# UPF support
|
2 |
+
|
3 |
+
Tool: Unified Power Format (UPF)
|
4 |
+
|
5 |
+
Subcategory: UPF support
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### kareefardi
|
10 |
+
Is https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/upf a work in progress (it doesn't have documentation). If it is, is it planned to have it supported?
|
11 |
+
|
12 |
+
### maliberty
|
13 |
+
UPF support is a work in progress. The reader in upf/ works but the rest of the tool needs to support it.
|
14 |
+
|
15 |
+
### maliberty
|
16 |
+
I think the last remaining piece was pdn inserting the power switches. @gadfort would you update on this?
|
17 |
+
|
FeatureRequest/3619.md
ADDED
@@ -0,0 +1,110 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# DFT: Storing scan chain information in odb
|
2 |
+
|
3 |
+
Tool: Design for Test
|
4 |
+
|
5 |
+
Subcategory: Scan chain representation
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### fgaray
|
10 |
+
Hello everyone,
|
11 |
+
|
12 |
+
To support drawing scan chains in OpenROAD in the gui and CTL ([Core Test Language](https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=966626)) parser/generator we need to make the scan info available in odb. Here I describe a DB schema to represent CTLs in odb.
|
13 |
+
|
14 |
+
## Objective
|
15 |
+
|
16 |
+
* Make scan chains available to the gui to be able to highlight scan structures and display information related to scan.
|
17 |
+
* Provide a common source of true for other commands to query and find scan structures on the design.
|
18 |
+
* Provide support for a future parser for CTLs files.
|
19 |
+
|
20 |
+
## Out of scope
|
21 |
+
|
22 |
+
* Parsing and writing a CTL file at this time.
|
23 |
+
* Handling test patterns.
|
24 |
+
|
25 |
+
## CTLs
|
26 |
+
|
27 |
+
CTLs are files based on the [STIL standard](https://grouper.ieee.org/groups/1450/dot1/p1450.1-D14.pdf) that allow us to share information between DFT tools for optimizations and interoperability between different vendors. They describe the internal scan structures and they are useful too to share information between internal commands inside OpenROAD, like scan insertion and the GUI.
|
28 |
+
|
29 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/1619948/b513ec9c-4575-45c4-bac0-0401ab164da2)
|
30 |
+
|
31 |
+
Source: CTL for Test Information of Digital ICs, Rohit Kapur
|
32 |
+
|
33 |
+
**The schema described here is not a 1:1 map of a CTL file but should be enough to create one or to store the information coming from a CTL.**
|
34 |
+
|
35 |
+
## dbScanChain
|
36 |
+
|
37 |
+
* name: string
|
38 |
+
* length: uint
|
39 |
+
* cells: list\<dbInst\>
|
40 |
+
* scanIn: dbScanPin
|
41 |
+
* scanOut: dbScanPin
|
42 |
+
* scanClock: dbScanPin
|
43 |
+
* scanEnable: dbScanPin
|
44 |
+
* testMode: string
|
45 |
+
* partitions: list\<dbScanPartition\>
|
46 |
+
* scanInsts: list\<dbScanInst\>
|
47 |
+
|
48 |
+
## dbScanPin
|
49 |
+
|
50 |
+
Wrapper to handle either dbBTerm or dbITerm:
|
51 |
+
|
52 |
+
* bterm: dbBTerm
|
53 |
+
* iterm: dbITerm
|
54 |
+
|
55 |
+
## dbScanPartition
|
56 |
+
|
57 |
+
* start: dbScanPin
|
58 |
+
* stop: dbScanPin
|
59 |
+
* name: string
|
60 |
+
|
61 |
+
## dbScanInst
|
62 |
+
|
63 |
+
* scanIn: dbScanPin
|
64 |
+
* scanOut: dbScanPin
|
65 |
+
* bits: uint
|
66 |
+
* type: enum [OneBit, ShiftRegister, BlackBox]
|
67 |
+
|
68 |
+
|
69 |
+
## Flow
|
70 |
+
|
71 |
+
At the end of *insert_dft* we will be storing the scan chain information into odb using the created dbCTL object. The gui will be able to query this information and display it. dbScanChain will be attached to the dbBlock that contains the scan chains that are inside it.
|
72 |
+
|
73 |
+
|
74 |
+
## Open Questions
|
75 |
+
|
76 |
+
* Where can I add a new dbObject (dbCTL) to dbBlock?
|
77 |
+
* How can I represent maps (will std::map work?) string-> dbObject in the json db format?
|
78 |
+
* I am creating a wrapper for dbBTerm and dbITerm for cases where the scan pins are not coming from the block, is there any way to handle this in the json db format?
|
79 |
+
|
80 |
+
|
81 |
+
|
82 |
+
### fgaray
|
83 |
+
Hi @maliberty , who do you think is the right person to review this? Specially the open questions at the end.
|
84 |
+
|
85 |
+
Thanks!
|
86 |
+
|
87 |
+
### maliberty
|
88 |
+
Scan chain is used in both CTL and in DEF. Can dbScanChain accommodate both or do we need to consider having two classes? If the later, how would you suggest naming them ?
|
89 |
+
|
90 |
+
### maliberty
|
91 |
+
Looking at DEF:
|
92 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/6a7a39c4-e9b0-44e5-8beb-0fe450c7928d)
|
93 |
+
|
94 |
+
It looks like the dbScanPartition needs a way to store MAXBITS.
|
95 |
+
|
96 |
+
Each element of the chain also has a BITS field which seems hard to represent here. Perhaps we need a dbScanInst?
|
97 |
+
|
98 |
+
How would you infer FLOATING or ORDERED from the design?
|
99 |
+
|
100 |
+
### fgaray
|
101 |
+
Hi @maliberty ,
|
102 |
+
|
103 |
+
Do you think we are ready to start the implementation?
|
104 |
+
|
105 |
+
Thanks!,
|
106 |
+
Felipe
|
107 |
+
|
108 |
+
### QuantamHD
|
109 |
+
LGTM. Thanks for the contirbution @fgaray
|
110 |
+
|
FeatureRequest/3788.md
ADDED
@@ -0,0 +1,434 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Clock Tree Viewer and trying to get to the information in the tooltips
|
2 |
+
|
3 |
+
Tool: Graphical User Interface
|
4 |
+
|
5 |
+
Subcategory: Data visualization improvement
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
The tooltips in the Clock Tree Viewer contain interesting information: which macro or flip flop has how much clock network latency.
|
11 |
+
|
12 |
+
However, the tooltip information is hard to get to and it is not searchable.
|
13 |
+
|
14 |
+
I mocked a text report that is printed when I click update...
|
15 |
+
|
16 |
+
I think perhaps a better approach to this is to have a tcl utility procedure that navigates the clock tree and print out this information. Perhaps that is possible today, but I don't know how to...
|
17 |
+
|
18 |
+
Change I used to mock the report below: https://github.com/Pinata-Consulting/OpenROAD/commit/17283788372d98cafc1e6bbd056f1074a1539bc6
|
19 |
+
|
20 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/1a874efa-2b66-41f2-b365-7990f3ed5399)
|
21 |
+
|
22 |
+
|
23 |
+
### oharboe
|
24 |
+
Mocking a heatmap of arrival times. I only have location information for the Elements. Got the idea here. https://www.eng.biu.ac.il/temanad/files/2017/02/Lecture-8-CTS.pdf
|
25 |
+
|
26 |
+
```
|
27 |
+
import re
|
28 |
+
import matplotlib.pyplot as plt
|
29 |
+
import numpy as np
|
30 |
+
|
31 |
+
# Extracting data from the text
|
32 |
+
text = """
|
33 |
+
# Your log content goes here
|
34 |
+
"""
|
35 |
+
|
36 |
+
lines = text.strip().split('\n')
|
37 |
+
filtered_lines = [line for line in lines if "ces" in line]
|
38 |
+
|
39 |
+
# Finding the maximum indices for rows and columns
|
40 |
+
max_row = max_col = 0
|
41 |
+
data_dict = {}
|
42 |
+
for line in filtered_lines:
|
43 |
+
match = re.search(r"ces_(\d+)_(\d+)/clock\s*Arrival:\s*(\d+\.\d+)", line)
|
44 |
+
if match:
|
45 |
+
row, col, value = map(int, map(float, match.groups()))
|
46 |
+
max_row = max(max_row, row)
|
47 |
+
max_col = max(max_col, col)
|
48 |
+
data_dict[(row, col)] = value
|
49 |
+
|
50 |
+
# Constructing the data matrix
|
51 |
+
data_matrix = np.zeros((max_row + 1, max_col + 1))
|
52 |
+
for (row, col), value in data_dict.items():
|
53 |
+
data_matrix[row, col] = value
|
54 |
+
|
55 |
+
# Plotting the heatmap
|
56 |
+
plt.imshow(data_matrix, origin='upper', cmap='viridis', aspect='auto')
|
57 |
+
plt.colorbar(label='Arrival (ps)')
|
58 |
+
plt.title('Heatmap of Arrival Times')
|
59 |
+
plt.xlabel('Column')
|
60 |
+
plt.ylabel('Row')
|
61 |
+
plt.show()
|
62 |
+
```
|
63 |
+
|
64 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/aceb47d0-d673-461d-a0f0-e0b3df96a8d6)
|
65 |
+
|
66 |
+
|
67 |
+
### oharboe
|
68 |
+
Adding some 3d bling...
|
69 |
+
|
70 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/ad5b4839-f77e-4643-89d8-4426b4137f89)
|
71 |
+
|
72 |
+
|
73 |
+
```
|
74 |
+
# Sample data
|
75 |
+
text = """
|
76 |
+
[INFO GUI-0088] Register _131_/CLK Arrival: 258.115 ps
|
77 |
+
[INFO GUI-0088] Register _132_/CLK Arrival: 257.882 ps
|
78 |
+
[INFO GUI-0088] Register _133_/CLK Arrival: 258.944 ps
|
79 |
+
[INFO GUI-0088] Register _134_/CLK Arrival: 258.636 ps
|
80 |
+
[INFO GUI-0088] Register _135_/CLK Arrival: 258.069 ps
|
81 |
+
[INFO GUI-0088] Register _137_/CLK Arrival: 257.527 ps
|
82 |
+
[INFO GUI-0088] Register _139_/CLK Arrival: 246.072 ps
|
83 |
+
[INFO GUI-0088] Register _143_/CLK Arrival: 245.541 ps
|
84 |
+
[INFO GUI-0088] Register _145_/CLK Arrival: 245.360 ps
|
85 |
+
[INFO GUI-0088] Register ces_0_7/clock Arrival: 232.150 ps
|
86 |
+
[INFO GUI-0088] Register ces_1_7/clock Arrival: 225.713 ps
|
87 |
+
[INFO GUI-0088] Register _136_/CLK Arrival: 250.476 ps
|
88 |
+
[INFO GUI-0088] Register _138_/CLK Arrival: 250.337 ps
|
89 |
+
[INFO GUI-0088] Register _140_/CLK Arrival: 246.845 ps
|
90 |
+
[INFO GUI-0088] Register _141_/CLK Arrival: 246.075 ps
|
91 |
+
[INFO GUI-0088] Register _142_/CLK Arrival: 246.461 ps
|
92 |
+
[INFO GUI-0088] Register _144_/CLK Arrival: 246.885 ps
|
93 |
+
[INFO GUI-0088] Register _146_/CLK Arrival: 245.560 ps
|
94 |
+
[INFO GUI-0088] Register ces_0_6/clock Arrival: 235.587 ps
|
95 |
+
[INFO GUI-0088] Register _147_/CLK Arrival: 239.633 ps
|
96 |
+
[INFO GUI-0088] Register _148_/CLK Arrival: 238.903 ps
|
97 |
+
[INFO GUI-0088] Register _149_/CLK Arrival: 239.580 ps
|
98 |
+
[INFO GUI-0088] Register _150_/CLK Arrival: 238.791 ps
|
99 |
+
[INFO GUI-0088] Register _151_/CLK Arrival: 239.418 ps
|
100 |
+
[INFO GUI-0088] Register _152_/CLK Arrival: 239.304 ps
|
101 |
+
[INFO GUI-0088] Register _153_/CLK Arrival: 238.755 ps
|
102 |
+
[INFO GUI-0088] Register _154_/CLK Arrival: 238.495 ps
|
103 |
+
[INFO GUI-0088] Register _155_/CLK Arrival: 250.283 ps
|
104 |
+
[INFO GUI-0088] Register _156_/CLK Arrival: 250.061 ps
|
105 |
+
[INFO GUI-0088] Register _157_/CLK Arrival: 250.546 ps
|
106 |
+
[INFO GUI-0088] Register _158_/CLK Arrival: 250.032 ps
|
107 |
+
[INFO GUI-0088] Register _159_/CLK Arrival: 250.760 ps
|
108 |
+
[INFO GUI-0088] Register _160_/CLK Arrival: 249.826 ps
|
109 |
+
[INFO GUI-0088] Register _161_/CLK Arrival: 250.433 ps
|
110 |
+
[INFO GUI-0088] Register _162_/CLK Arrival: 249.956 ps
|
111 |
+
[INFO GUI-0088] Register ces_2_7/clock Arrival: 233.829 ps
|
112 |
+
[INFO GUI-0088] Register ces_3_6/clock Arrival: 243.645 ps
|
113 |
+
[INFO GUI-0088] Register ces_3_7/clock Arrival: 239.027 ps
|
114 |
+
[INFO GUI-0088] Register ces_0_0/clock Arrival: 290.815 ps
|
115 |
+
[INFO GUI-0088] Register ces_0_1/clock Arrival: 287.224 ps
|
116 |
+
[INFO GUI-0088] Register ces_0_2/clock Arrival: 276.359 ps
|
117 |
+
[INFO GUI-0088] Register ces_1_0/clock Arrival: 262.155 ps
|
118 |
+
[INFO GUI-0088] Register ces_1_1/clock Arrival: 258.349 ps
|
119 |
+
[INFO GUI-0088] Register ces_1_2/clock Arrival: 248.148 ps
|
120 |
+
[INFO GUI-0088] Register ces_0_3/clock Arrival: 226.049 ps
|
121 |
+
[INFO GUI-0088] Register ces_1_3/clock Arrival: 224.760 ps
|
122 |
+
[INFO GUI-0088] Register ces_0_4/clock Arrival: 242.883 ps
|
123 |
+
[INFO GUI-0088] Register ces_0_5/clock Arrival: 247.124 ps
|
124 |
+
[INFO GUI-0088] Register ces_1_4/clock Arrival: 237.497 ps
|
125 |
+
[INFO GUI-0088] Register ces_1_5/clock Arrival: 249.241 ps
|
126 |
+
[INFO GUI-0088] Register ces_1_6/clock Arrival: 253.384 ps
|
127 |
+
[INFO GUI-0088] Register ces_2_0/clock Arrival: 253.191 ps
|
128 |
+
[INFO GUI-0088] Register ces_2_1/clock Arrival: 248.850 ps
|
129 |
+
[INFO GUI-0088] Register ces_2_2/clock Arrival: 236.498 ps
|
130 |
+
[INFO GUI-0088] Register ces_3_0/clock Arrival: 261.042 ps
|
131 |
+
[INFO GUI-0088] Register ces_3_1/clock Arrival: 257.075 ps
|
132 |
+
[INFO GUI-0088] Register ces_3_2/clock Arrival: 244.640 ps
|
133 |
+
[INFO GUI-0088] Register ces_2_3/clock Arrival: 225.582 ps
|
134 |
+
[INFO GUI-0088] Register ces_2_4/clock Arrival: 239.450 ps
|
135 |
+
[INFO GUI-0088] Register ces_2_5/clock Arrival: 251.290 ps
|
136 |
+
[INFO GUI-0088] Register ces_2_6/clock Arrival: 255.456 ps
|
137 |
+
[INFO GUI-0088] Register ces_3_3/clock Arrival: 227.135 ps
|
138 |
+
[INFO GUI-0088] Register ces_3_4/clock Arrival: 243.496 ps
|
139 |
+
[INFO GUI-0088] Register ces_3_5/clock Arrival: 248.000 ps
|
140 |
+
[INFO GUI-0088] Register _163_/CLK Arrival: 253.020 ps
|
141 |
+
[INFO GUI-0088] Register _164_/CLK Arrival: 253.796 ps
|
142 |
+
[INFO GUI-0088] Register _165_/CLK Arrival: 254.691 ps
|
143 |
+
[INFO GUI-0088] Register _166_/CLK Arrival: 254.356 ps
|
144 |
+
[INFO GUI-0088] Register _167_/CLK Arrival: 254.200 ps
|
145 |
+
[INFO GUI-0088] Register _168_/CLK Arrival: 253.308 ps
|
146 |
+
[INFO GUI-0088] Register _169_/CLK Arrival: 252.967 ps
|
147 |
+
[INFO GUI-0088] Register _170_/CLK Arrival: 253.566 ps
|
148 |
+
[INFO GUI-0088] Register ces_4_6/clock Arrival: 236.291 ps
|
149 |
+
[INFO GUI-0088] Register ces_4_7/clock Arrival: 232.063 ps
|
150 |
+
[INFO GUI-0088] Register _171_/CLK Arrival: 231.291 ps
|
151 |
+
[INFO GUI-0088] Register _172_/CLK Arrival: 231.543 ps
|
152 |
+
[INFO GUI-0088] Register _173_/CLK Arrival: 231.174 ps
|
153 |
+
[INFO GUI-0088] Register _174_/CLK Arrival: 230.426 ps
|
154 |
+
[INFO GUI-0088] Register _175_/CLK Arrival: 231.306 ps
|
155 |
+
[INFO GUI-0088] Register _176_/CLK Arrival: 231.412 ps
|
156 |
+
[INFO GUI-0088] Register _177_/CLK Arrival: 231.484 ps
|
157 |
+
[INFO GUI-0088] Register _178_/CLK Arrival: 231.182 ps
|
158 |
+
[INFO GUI-0088] Register _179_/CLK Arrival: 244.957 ps
|
159 |
+
[INFO GUI-0088] Register _180_/CLK Arrival: 242.174 ps
|
160 |
+
[INFO GUI-0088] Register _181_/CLK Arrival: 244.902 ps
|
161 |
+
[INFO GUI-0088] Register _182_/CLK Arrival: 242.804 ps
|
162 |
+
[INFO GUI-0088] Register _183_/CLK Arrival: 244.575 ps
|
163 |
+
[INFO GUI-0088] Register _184_/CLK Arrival: 243.857 ps
|
164 |
+
[INFO GUI-0088] Register _185_/CLK Arrival: 242.949 ps
|
165 |
+
[INFO GUI-0088] Register _186_/CLK Arrival: 244.352 ps
|
166 |
+
[INFO GUI-0088] Register _187_/CLK Arrival: 253.289 ps
|
167 |
+
[INFO GUI-0088] Register _189_/CLK Arrival: 253.454 ps
|
168 |
+
[INFO GUI-0088] Register _193_/CLK Arrival: 253.757 ps
|
169 |
+
[INFO GUI-0088] Register _194_/CLK Arrival: 253.377 ps
|
170 |
+
[INFO GUI-0088] Register _188_/CLK Arrival: 249.940 ps
|
171 |
+
[INFO GUI-0088] Register _190_/CLK Arrival: 250.214 ps
|
172 |
+
[INFO GUI-0088] Register _191_/CLK Arrival: 250.193 ps
|
173 |
+
[INFO GUI-0088] Register _192_/CLK Arrival: 250.440 ps
|
174 |
+
[INFO GUI-0088] Register ces_6_6/clock Arrival: 237.794 ps
|
175 |
+
[INFO GUI-0088] Register ces_6_7/clock Arrival: 237.428 ps
|
176 |
+
[INFO GUI-0088] Register ces_7_6/clock Arrival: 248.387 ps
|
177 |
+
[INFO GUI-0088] Register ces_7_7/clock Arrival: 243.904 ps
|
178 |
+
[INFO GUI-0088] Register ces_4_0/clock Arrival: 269.870 ps
|
179 |
+
[INFO GUI-0088] Register ces_4_1/clock Arrival: 265.959 ps
|
180 |
+
[INFO GUI-0088] Register ces_4_2/clock Arrival: 254.121 ps
|
181 |
+
[INFO GUI-0088] Register ces_4_3/clock Arrival: 227.621 ps
|
182 |
+
[INFO GUI-0088] Register ces_5_0/clock Arrival: 260.539 ps
|
183 |
+
[INFO GUI-0088] Register ces_5_1/clock Arrival: 256.515 ps
|
184 |
+
[INFO GUI-0088] Register ces_5_2/clock Arrival: 245.174 ps
|
185 |
+
[INFO GUI-0088] Register ces_5_3/clock Arrival: 225.957 ps
|
186 |
+
[INFO GUI-0088] Register ces_5_4/clock Arrival: 233.418 ps
|
187 |
+
[INFO GUI-0088] Register ces_5_5/clock Arrival: 250.130 ps
|
188 |
+
[INFO GUI-0088] Register ces_5_6/clock Arrival: 260.323 ps
|
189 |
+
[INFO GUI-0088] Register ces_5_7/clock Arrival: 263.816 ps
|
190 |
+
[INFO GUI-0088] Register ces_4_4/clock Arrival: 219.267 ps
|
191 |
+
[INFO GUI-0088] Register ces_4_5/clock Arrival: 223.542 ps
|
192 |
+
[INFO GUI-0088] Register ces_7_0/clock Arrival: 287.112 ps
|
193 |
+
[INFO GUI-0088] Register ces_7_1/clock Arrival: 283.560 ps
|
194 |
+
[INFO GUI-0088] Register ces_7_2/clock Arrival: 273.081 ps
|
195 |
+
[INFO GUI-0088] Register ces_7_3/clock Arrival: 272.904 ps
|
196 |
+
[INFO GUI-0088] Register ces_6_0/clock Arrival: 250.403 ps
|
197 |
+
[INFO GUI-0088] Register ces_6_1/clock Arrival: 246.424 ps
|
198 |
+
[INFO GUI-0088] Register ces_6_2/clock Arrival: 235.488 ps
|
199 |
+
[INFO GUI-0088] Register ces_6_3/clock Arrival: 230.871 ps
|
200 |
+
[INFO GUI-0088] Register ces_6_4/clock Arrival: 234.553 ps
|
201 |
+
[INFO GUI-0088] Register ces_6_5/clock Arrival: 238.808 ps
|
202 |
+
[INFO GUI-0088] Register ces_7_4/clock Arrival: 240.051 ps
|
203 |
+
[INFO GUI-0088] Register ces_7_5/clock Arrival: 244.454 ps
|
204 |
+
"""
|
205 |
+
|
206 |
+
import re
|
207 |
+
import matplotlib.pyplot as plt
|
208 |
+
import numpy as np
|
209 |
+
|
210 |
+
lines = text.strip().split('\n')
|
211 |
+
filtered_lines = [line for line in lines if "ces" in line]
|
212 |
+
|
213 |
+
# Finding the maximum indices for rows and columns
|
214 |
+
max_row = max_col = 0
|
215 |
+
data_dict = {}
|
216 |
+
for line in filtered_lines:
|
217 |
+
match = re.search(r"ces_(\d+)_(\d+)/clock\s*Arrival:\s*(\d+\.\d+)", line)
|
218 |
+
if match:
|
219 |
+
row, col, value = map(int, map(float, match.groups()))
|
220 |
+
max_row = max(max_row, row)
|
221 |
+
max_col = max(max_col, col)
|
222 |
+
data_dict[(row, col)] = value
|
223 |
+
|
224 |
+
# Constructing the data matrix
|
225 |
+
data_matrix = np.zeros((max_row + 1, max_col + 1))
|
226 |
+
for (row, col), value in data_dict.items():
|
227 |
+
data_matrix[row, col] = value
|
228 |
+
|
229 |
+
# Plotting the heatmap
|
230 |
+
plt.imshow(data_matrix, origin='upper', cmap='viridis', aspect='auto')
|
231 |
+
plt.colorbar(label='Arrival (ps)')
|
232 |
+
plt.title('Heatmap of Arrival Times')
|
233 |
+
plt.xlabel('Column')
|
234 |
+
plt.ylabel('Row')
|
235 |
+
plt.show()
|
236 |
+
```
|
237 |
+
|
238 |
+
|
239 |
+
|
240 |
+
### oharboe
|
241 |
+
The reason I was interested in the skew heatmap as a function of location, is that for the mock-array, it is more important to have low skew at the edges, where the input/output pins are, than in the center... If I understand the presentation correctly...
|
242 |
+
|
243 |
+
From the heatmap, I can see that I get the "inverse" of what I am looking for: I get high latency/skew at the edges and lowest clock network latency in the middle of the array.
|
244 |
+
|
245 |
+
|
246 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/2a02ed4e-16d1-40cf-87cb-b356f6942acb)
|
247 |
+
|
248 |
+
|
249 |
+
### oharboe
|
250 |
+
Export to yaml everywhere? Then ask ChatGPT to write a program to graph whatever you want :-)
|
251 |
+
|
252 |
+
### oharboe
|
253 |
+
One more round of tinkering, extracting leaf latency (macros & flip flops) for mock-array:
|
254 |
+
|
255 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/f9324f3c-9b7d-4186-b202-73ddd958e478)
|
256 |
+
|
257 |
+
```
|
258 |
+
import numpy as np
|
259 |
+
import matplotlib.pyplot as plt
|
260 |
+
from scipy.interpolate import griddata
|
261 |
+
from mpl_toolkits.mplot3d import Axes3D
|
262 |
+
|
263 |
+
# Extract data from the table
|
264 |
+
data = """
|
265 |
+
[INFO GUI-0090] 353016 31894 _131_/CLK 2.5811525e-10
|
266 |
+
[INFO GUI-0090] 353610 32704 _132_/CLK 2.578819e-10
|
267 |
+
[INFO GUI-0090] 353448 29734 _133_/CLK 2.589441e-10
|
268 |
+
[INFO GUI-0090] 353232 30004 _134_/CLK 2.5863567e-10
|
269 |
+
[INFO GUI-0090] 353232 32164 _135_/CLK 2.5806945e-10
|
270 |
+
[INFO GUI-0090] 353232 33514 _137_/CLK 2.5752672e-10
|
271 |
+
[INFO GUI-0090] 352962 73474 _139_/CLK 2.4607233e-10
|
272 |
+
[INFO GUI-0090] 353070 75094 _143_/CLK 2.455407e-10
|
273 |
+
[INFO GUI-0090] 353232 74554 _145_/CLK 2.4536023e-10
|
274 |
+
[INFO GUI-0090] 325488 49596 ces_0_7/clock 2.3215012e-10
|
275 |
+
[INFO GUI-0090] 325488 97116 ces_1_7/clock 2.2571323e-10
|
276 |
+
[INFO GUI-0090] 353070 30274 _136_/CLK 2.504758e-10
|
277 |
+
[INFO GUI-0090] 353232 32974 _138_/CLK 2.5033728e-10
|
278 |
+
[INFO GUI-0090] 353988 74824 _140_/CLK 2.468455e-10
|
279 |
+
[INFO GUI-0090] 353070 74284 _141_/CLK 2.4607524e-10
|
280 |
+
[INFO GUI-0090] 353124 73744 _142_/CLK 2.4646146e-10
|
281 |
+
[INFO GUI-0090] 353718 74014 _144_/CLK 2.4688515e-10
|
282 |
+
[INFO GUI-0090] 353070 75364 _146_/CLK 2.455602e-10
|
283 |
+
[INFO GUI-0090] 282288 49596 ces_0_6/clock 2.3558674e-10
|
284 |
+
[INFO GUI-0090] 354312 120724 _147_/CLK 2.396328e-10
|
285 |
+
[INFO GUI-0090] 353070 120184 _148_/CLK 2.389033e-10
|
286 |
+
[INFO GUI-0090] 353070 120724 _149_/CLK 2.3958044e-10
|
287 |
+
[INFO GUI-0090] 353070 120454 _150_/CLK 2.3879088e-10
|
288 |
+
[INFO GUI-0090] 352908 120994 _151_/CLK 2.3941804e-10
|
289 |
+
[INFO GUI-0090] 354150 120994 _152_/CLK 2.3930402e-10
|
290 |
+
[INFO GUI-0090] 353070 121264 _153_/CLK 2.387552e-10
|
291 |
+
[INFO GUI-0090] 353070 121534 _154_/CLK 2.3849495e-10
|
292 |
+
[INFO GUI-0090] 354312 167704 _155_/CLK 2.5028343e-10
|
293 |
+
[INFO GUI-0090] 353070 167434 _156_/CLK 2.5006122e-10
|
294 |
+
[INFO GUI-0090] 354312 167974 _157_/CLK 2.5054644e-10
|
295 |
+
[INFO GUI-0090] 353070 167704 _158_/CLK 2.5003247e-10
|
296 |
+
[INFO GUI-0090] 353502 168244 _159_/CLK 2.5076013e-10
|
297 |
+
[INFO GUI-0090] 353070 167974 _160_/CLK 2.4982605e-10
|
298 |
+
[INFO GUI-0090] 353070 168514 _161_/CLK 2.504333e-10
|
299 |
+
[INFO GUI-0090] 353070 168784 _162_/CLK 2.4995647e-10
|
300 |
+
[INFO GUI-0090] 325488 144636 ces_2_7/clock 2.3382915e-10
|
301 |
+
[INFO GUI-0090] 282288 192156 ces_3_6/clock 2.4364535e-10
|
302 |
+
[INFO GUI-0090] 325488 192156 ces_3_7/clock 2.3902733e-10
|
303 |
+
[INFO GUI-0090] 23088 49596 ces_0_0/clock 2.908152e-10
|
304 |
+
[INFO GUI-0090] 66288 49596 ces_0_1/clock 2.8722372e-10
|
305 |
+
[INFO GUI-0090] 109488 49596 ces_0_2/clock 2.7635877e-10
|
306 |
+
[INFO GUI-0090] 23088 97116 ces_1_0/clock 2.6215496e-10
|
307 |
+
[INFO GUI-0090] 66288 97116 ces_1_1/clock 2.5834934e-10
|
308 |
+
[INFO GUI-0090] 109488 97116 ces_1_2/clock 2.481484e-10
|
309 |
+
[INFO GUI-0090] 152688 49596 ces_0_3/clock 2.2604922e-10
|
310 |
+
[INFO GUI-0090] 152688 97116 ces_1_3/clock 2.2475981e-10
|
311 |
+
[INFO GUI-0090] 195888 49596 ces_0_4/clock 2.4288263e-10
|
312 |
+
[INFO GUI-0090] 239088 49596 ces_0_5/clock 2.4712393e-10
|
313 |
+
[INFO GUI-0090] 195888 97116 ces_1_4/clock 2.3749708e-10
|
314 |
+
[INFO GUI-0090] 239088 97116 ces_1_5/clock 2.492415e-10
|
315 |
+
[INFO GUI-0090] 282288 97116 ces_1_6/clock 2.5338398e-10
|
316 |
+
[INFO GUI-0090] 23088 144636 ces_2_0/clock 2.5319144e-10
|
317 |
+
[INFO GUI-0090] 66288 144636 ces_2_1/clock 2.488505e-10
|
318 |
+
[INFO GUI-0090] 109488 144636 ces_2_2/clock 2.3649802e-10
|
319 |
+
[INFO GUI-0090] 23088 192156 ces_3_0/clock 2.6104152e-10
|
320 |
+
[INFO GUI-0090] 66288 192156 ces_3_1/clock 2.5707544e-10
|
321 |
+
[INFO GUI-0090] 109488 192156 ces_3_2/clock 2.4463978e-10
|
322 |
+
[INFO GUI-0090] 152688 144636 ces_2_3/clock 2.2558208e-10
|
323 |
+
[INFO GUI-0090] 195888 144636 ces_2_4/clock 2.394501e-10
|
324 |
+
[INFO GUI-0090] 239088 144636 ces_2_5/clock 2.5129004e-10
|
325 |
+
[INFO GUI-0090] 282288 144636 ces_2_6/clock 2.5545635e-10
|
326 |
+
[INFO GUI-0090] 152688 192156 ces_3_3/clock 2.271353e-10
|
327 |
+
[INFO GUI-0090] 195888 192156 ces_3_4/clock 2.4349614e-10
|
328 |
+
[INFO GUI-0090] 239088 192156 ces_3_5/clock 2.4800043e-10
|
329 |
+
[INFO GUI-0090] 353070 215224 _163_/CLK 2.5301994e-10
|
330 |
+
[INFO GUI-0090] 354150 215764 _164_/CLK 2.5379573e-10
|
331 |
+
[INFO GUI-0090] 352908 215764 _165_/CLK 2.546915e-10
|
332 |
+
[INFO GUI-0090] 353016 215494 _166_/CLK 2.543563e-10
|
333 |
+
[INFO GUI-0090] 352908 216034 _167_/CLK 2.5420016e-10
|
334 |
+
[INFO GUI-0090] 353016 216304 _168_/CLK 2.53308e-10
|
335 |
+
[INFO GUI-0090] 353070 216844 _169_/CLK 2.529667e-10
|
336 |
+
[INFO GUI-0090] 353070 216574 _170_/CLK 2.5356642e-10
|
337 |
+
[INFO GUI-0090] 282288 239676 ces_4_6/clock 2.362913e-10
|
338 |
+
[INFO GUI-0090] 325488 239676 ces_4_7/clock 2.3206259e-10
|
339 |
+
[INFO GUI-0090] 353556 262474 _171_/CLK 2.312914e-10
|
340 |
+
[INFO GUI-0090] 353070 263014 _172_/CLK 2.3154262e-10
|
341 |
+
[INFO GUI-0090] 353070 263284 _173_/CLK 2.3117369e-10
|
342 |
+
[INFO GUI-0090] 353070 262744 _174_/CLK 2.3042566e-10
|
343 |
+
[INFO GUI-0090] 353070 263824 _175_/CLK 2.3130639e-10
|
344 |
+
[INFO GUI-0090] 353070 263554 _176_/CLK 2.3141243e-10
|
345 |
+
[INFO GUI-0090] 353124 264094 _177_/CLK 2.3148382e-10
|
346 |
+
[INFO GUI-0090] 354312 263554 _178_/CLK 2.3118188e-10
|
347 |
+
[INFO GUI-0090] 353502 308644 _179_/CLK 2.4495708e-10
|
348 |
+
[INFO GUI-0090] 353232 308914 _180_/CLK 2.421735e-10
|
349 |
+
[INFO GUI-0090] 353394 309184 _181_/CLK 2.449023e-10
|
350 |
+
[INFO GUI-0090] 352962 309454 _182_/CLK 2.428038e-10
|
351 |
+
[INFO GUI-0090] 354204 309454 _183_/CLK 2.4457464e-10
|
352 |
+
[INFO GUI-0090] 353664 309994 _184_/CLK 2.4385688e-10
|
353 |
+
[INFO GUI-0090] 353070 309724 _185_/CLK 2.4294902e-10
|
354 |
+
[INFO GUI-0090] 353664 310264 _186_/CLK 2.443524e-10
|
355 |
+
[INFO GUI-0090] 353934 351844 _187_/CLK 2.53289e-10
|
356 |
+
[INFO GUI-0090] 353016 353734 _189_/CLK 2.5345356e-10
|
357 |
+
[INFO GUI-0090] 353016 354274 _193_/CLK 2.5375743e-10
|
358 |
+
[INFO GUI-0090] 353556 352114 _194_/CLK 2.533773e-10
|
359 |
+
[INFO GUI-0090] 353880 349684 _188_/CLK 2.4994018e-10
|
360 |
+
[INFO GUI-0090] 353286 351574 _190_/CLK 2.502142e-10
|
361 |
+
[INFO GUI-0090] 354042 349414 _191_/CLK 2.501929e-10
|
362 |
+
[INFO GUI-0090] 353016 354004 _192_/CLK 2.5043992e-10
|
363 |
+
[INFO GUI-0090] 282288 334716 ces_6_6/clock 2.3779426e-10
|
364 |
+
[INFO GUI-0090] 325488 334716 ces_6_7/clock 2.374277e-10
|
365 |
+
[INFO GUI-0090] 282288 382236 ces_7_6/clock 2.4838717e-10
|
366 |
+
[INFO GUI-0090] 325488 382236 ces_7_7/clock 2.4390354e-10
|
367 |
+
[INFO GUI-0090] 23088 239676 ces_4_0/clock 2.6987032e-10
|
368 |
+
[INFO GUI-0090] 66288 239676 ces_4_1/clock 2.6595942e-10
|
369 |
+
[INFO GUI-0090] 109488 239676 ces_4_2/clock 2.5412097e-10
|
370 |
+
[INFO GUI-0090] 152688 239676 ces_4_3/clock 2.2762146e-10
|
371 |
+
[INFO GUI-0090] 23088 287196 ces_5_0/clock 2.6053942e-10
|
372 |
+
[INFO GUI-0090] 66288 287196 ces_5_1/clock 2.5651453e-10
|
373 |
+
[INFO GUI-0090] 109488 287196 ces_5_2/clock 2.451736e-10
|
374 |
+
[INFO GUI-0090] 152688 287196 ces_5_3/clock 2.2595725e-10
|
375 |
+
[INFO GUI-0090] 195888 287196 ces_5_4/clock 2.334176e-10
|
376 |
+
[INFO GUI-0090] 239088 287196 ces_5_5/clock 2.501299e-10
|
377 |
+
[INFO GUI-0090] 282288 287196 ces_5_6/clock 2.6032337e-10
|
378 |
+
[INFO GUI-0090] 325488 287196 ces_5_7/clock 2.6381633e-10
|
379 |
+
[INFO GUI-0090] 195888 239676 ces_4_4/clock 2.1926745e-10
|
380 |
+
[INFO GUI-0090] 239088 239676 ces_4_5/clock 2.2354223e-10
|
381 |
+
[INFO GUI-0090] 23088 382236 ces_7_0/clock 2.871124e-10
|
382 |
+
[INFO GUI-0090] 66288 382236 ces_7_1/clock 2.8355954e-10
|
383 |
+
[INFO GUI-0090] 109488 382236 ces_7_2/clock 2.7308103e-10
|
384 |
+
[INFO GUI-0090] 152688 382236 ces_7_3/clock 2.729042e-10
|
385 |
+
[INFO GUI-0090] 23088 334716 ces_6_0/clock 2.504028e-10
|
386 |
+
[INFO GUI-0090] 66288 334716 ces_6_1/clock 2.464243e-10
|
387 |
+
[INFO GUI-0090] 109488 334716 ces_6_2/clock 2.3548827e-10
|
388 |
+
[INFO GUI-0090] 152688 334716 ces_6_3/clock 2.3087125e-10
|
389 |
+
[INFO GUI-0090] 195888 334716 ces_6_4/clock 2.3455274e-10
|
390 |
+
[INFO GUI-0090] 239088 334716 ces_6_5/clock 2.388076e-10
|
391 |
+
[INFO GUI-0090] 195888 382236 ces_7_4/clock 2.4005137e-10
|
392 |
+
[INFO GUI-0090] 239088 382236 ces_7_5/clock 2.4445432e-10
|
393 |
+
"""
|
394 |
+
rows = data.strip().split("\n")
|
395 |
+
x = []
|
396 |
+
y = []
|
397 |
+
z = []
|
398 |
+
for row in rows:
|
399 |
+
_, _, x_val, y_val, _, z_val = row.split()
|
400 |
+
x.append(float(x_val))
|
401 |
+
y.append(float(y_val))
|
402 |
+
z.append(float(z_val)/1E-12)
|
403 |
+
|
404 |
+
# Prepare grid for interpolation
|
405 |
+
xi = np.linspace(min(x), max(x), 100)
|
406 |
+
yi = np.linspace(min(y), max(y), 100)
|
407 |
+
xi, yi = np.meshgrid(xi, yi)
|
408 |
+
|
409 |
+
# Interpolate the z values
|
410 |
+
zi = griddata((x, y), z, (xi, yi), method='linear')
|
411 |
+
|
412 |
+
# Plot the 3D heatmap
|
413 |
+
fig = plt.figure()
|
414 |
+
ax = fig.add_subplot(111, projection='3d')
|
415 |
+
surf = ax.plot_surface(xi, yi, zi, rstride=1, cstride=1, cmap=plt.cm.jet,
|
416 |
+
linewidth=0, antialiased=False)
|
417 |
+
|
418 |
+
fig.colorbar(surf)
|
419 |
+
|
420 |
+
plt.show()
|
421 |
+
```
|
422 |
+
|
423 |
+
|
424 |
+
### oharboe
|
425 |
+
asap7/sha3 using python snippet above...
|
426 |
+
|
427 |
+
Animation: https://drive.google.com/file/d/1k64ew7QkB9AeRaFs9ZOX09EqzeMZupMG/view
|
428 |
+
|
429 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/01ef0594-b8dd-4188-b35d-1978d9ec762e)
|
430 |
+
|
431 |
+
|
432 |
+
### maliberty
|
433 |
+
@precisionmoon any thoughts here as I know you want more visualization as well.
|
434 |
+
|
FeatureRequest/3812.md
ADDED
@@ -0,0 +1,34 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Feature to OpenROAD that lets us drag views with the mouse?
|
2 |
+
|
3 |
+
Tool: Graphical User Interface
|
4 |
+
|
5 |
+
Subcategory: User interface enhancement
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
It would make moving around the design a lot easier.
|
11 |
+
|
12 |
+
Is there a way to do this already?
|
13 |
+
|
14 |
+
The arrow keys is a bit clunky compared to dragging the view with the mouse.
|
15 |
+
|
16 |
+
### stefanottili
|
17 |
+
OpenRoad will hopefully take hint's from KLayout's layout view controls instead of inventing yet another scheme.
|
18 |
+
|
19 |
+
zoom in/out at the cursor position (mouse wheel zoom in/out)
|
20 |
+
make the cursor key "shift width" adjustable (40% seem like a better value then the defaults)
|
21 |
+
have "gestures" for fit, zoom in, zoom out (move mouse right-up, down, left-up), so no "mouse drag viewpoint".
|
22 |
+
|
23 |
+
There doesn't seem to be a consensus for zoom in/out, fit, level down/up keys.
|
24 |
+
I override the klayout defaults and use z/Z, f, l/L, curious what other people use.
|
25 |
+
|
26 |
+
### stefanottili
|
27 |
+
I stand corrected, there is dragging the view in Klayout, on a Mac is "right mouse + shift"
|
28 |
+
|
29 |
+
### maliberty
|
30 |
+
There is no method currently. It could be an enhancement request as could gestures.
|
31 |
+
|
32 |
+
### oharboe
|
33 |
+
Added feature request https://github.com/The-OpenROAD-Project/OpenROAD/issues/3840
|
34 |
+
|
FeatureRequest/3856.md
ADDED
@@ -0,0 +1,32 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Release scheme
|
2 |
+
|
3 |
+
Subcategory: Release management
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### Blebowski
|
8 |
+
Hello,
|
9 |
+
|
10 |
+
I see there is a lot of development going on. I am wondering whether there are any opinions/plans about introducing a release scheme (e.g. once a month or quarterly, or after major feature changes / enhancements) ? Maintaining something like `CHANGES.md` or `NEWS.md` would be also very handy. Once user does not follow the development almost day-to-day, it is easy to get lost.
|
11 |
+
|
12 |
+
|
13 |
+
|
14 |
+
### QuantamHD
|
15 |
+
I would be in favor of a news/changes file, but I'm a little bit more hesitant on slow releases.
|
16 |
+
|
17 |
+
Precision Innovations provides a daily release https://github.com/Precision-Innovations/OpenROAD/releases which I feel like is a good cadence given the fast pace of developement.
|
18 |
+
|
19 |
+
I think slower stable releases make sense when you have an entity that's willing to cherry pick bug fixes into older releases, which we don't have yet. I feel like if we embraced a slower release process at this point in time the net result would be people stuck with bugs for longer.
|
20 |
+
|
21 |
+
|
22 |
+
|
23 |
+
### mithro
|
24 |
+
A monthly newsletter might be a good way to let people see the improvements.
|
25 |
+
|
26 |
+
### dralabeing
|
27 |
+
Daily releases are good to get the best , updated and stable versions especially with prebuilt binaries from the Precision releases. I do think it would be good to add some sort of updates that are not yet in the docs or clear in the commit histories. For e.g mpl2 works well but this is not yet reflected in the default flow or docs but can be flagged in an Updates.md for users to try out --somewhat like a beta version. Similar any new features that are ready for use (partitioning, pin swapping, gate cloning etc.) can be mentioned along with a special release tag .
|
28 |
+
Monthly update frequency should be good as in special cases when an update is done sooner on a major feature.
|
29 |
+
|
30 |
+
### rovinski
|
31 |
+
I really like the [KLayout release notes](https://www.klayout.de/development.html) which have a list of PRs in that release (with hyperlinks) and a one-line description, as well as classifying as a bugfix or enhancement. It doesn't sound like we're moving from daily releases, but it could form a semi-automatically generated monthly newsletter or similar.
|
32 |
+
|
FeatureRequest/3939.md
ADDED
@@ -0,0 +1,33 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Possible summary of changes format
|
2 |
+
|
3 |
+
Subcategory: Release process enhancement
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### vvbandeira
|
8 |
+
While discussion #3856 has leaned to whether there should be a release and how frequent it should be, this discussion thread is to help guide the format and the process we would use to do such releases. We are considering using an automated way to generate "release notes" or at least a summary of recent changes. One proposed way to achieve this is to use PR titles and "Merge commit" messages. We would define and enforce a style of PR title, e.g., `[<TYPE>] <TOOL>: <SUMMARY>` where `[FEAT] drt: added shiny router cmd`. While `<TOOL>` is well defined (i.e., any module under `src/third-party` and `<SUMMARY>` will depend on the PR, what would be the most relevant values `<TYPE>` to include and their definition? As a starter point:
|
9 |
+
|
10 |
+
- `[FEAT]` or `[NEW]`: for when we add new features, either in the form of Tcl/Python API changes -- e.g., new commands or flags.
|
11 |
+
- `[DOCS]` or `[DOC]`: for documentation only changes.
|
12 |
+
- `[BUGF]` or `[FIX]`: when fixing bugs or issues.
|
13 |
+
- `[UPGR]` or `[ENH]`: upgrade/enhance -- not very sure about this, but it was what I thought with 4/3 letters to keep similar to above, mostly a self-imposed restriction, open to feedback.
|
14 |
+
|
15 |
+
|
16 |
+
cc/ @maliberty @dralabeing @luarss @rovinski @QuantamHD
|
17 |
+
|
18 |
+
### maliberty
|
19 |
+
I don't think of it as "summary of recent changes" as we still don't plan to do releases. <TOOL> is also optional as some changes may span multiple tools though that should be less common.
|
20 |
+
|
21 |
+
### rovinski
|
22 |
+
I like the spirit, but I can foresee a few issues:
|
23 |
+
|
24 |
+
1. A PR might span multiple tools, in which case it's hard to accommodate the format
|
25 |
+
2. A PR might implement a feature only partially, in which case it's not ready for full use yet
|
26 |
+
3. A PR might span multiple types (e.g. both feature and enhancement).
|
27 |
+
4. If we want this scheme to stick, we will likely need a bot to enforce it or at least remind PR authors
|
28 |
+
|
29 |
+
As such,
|
30 |
+
|
31 |
+
* I think that enhancement and feature should probably just be one tag. I think PRs end up being both too often to distinguish
|
32 |
+
* We need some sort of mechanism or identifying a feature as not ready for general use yet.
|
33 |
+
|
FeatureRequest/4420.md
ADDED
@@ -0,0 +1,65 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Report total number of paths vs. number of failing path
|
2 |
+
|
3 |
+
Tool: OpenSTA
|
4 |
+
|
5 |
+
Subcategory: Reporting enhancement
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### lustefan97
|
10 |
+
I was wondering if there was a way to quickly summarize the ratio between the number of paths and number of failing paths (with regard to timing) using OpenSTA. I know it can report the slack in each path in a detailled manner, but I would like to have a report in the vein of : "Here is the number of paths that the design has that needs to be tested for timing vs. the number of path that failed the test"
|
11 |
+
|
12 |
+
### maliberty
|
13 |
+
I don't see an easy way as the number of paths is exponential. How would you use the result?
|
14 |
+
|
15 |
+
@tspyrou any thoughts?
|
16 |
+
|
17 |
+
### oharboe
|
18 |
+
@lustefan97 Could this be a use-case for timing histogram(supported in OpenROAD under Charts).
|
19 |
+
|
20 |
+
### maliberty
|
21 |
+
Note that to get the histograms you have to have qt charts installed. During cmake you should get a message like "Charts widget is enabled" and not "Charts widget is not enabled"
|
22 |
+
|
23 |
+
### maliberty
|
24 |
+
> It is just a shame that it is so out if the way, I forget that we do... Can it be moved to the tabs where clocktree, hierarchy, etc. is?
|
25 |
+
|
26 |
+
It is a docking window the same as all the others and can be position wherever you like.
|
27 |
+
|
28 |
+
### maliberty
|
29 |
+
> Anyway: I think it would be great if this feature could be made more available out of the box and not hidden away behind "cmake magic" and curious defaults.
|
30 |
+
|
31 |
+
It is on by default, but qtcharts is not available for centos7 and so can't be assumed.
|
32 |
+
|
33 |
+
### oharboe
|
34 |
+
A less anaemic slack histogram example:
|
35 |
+
|
36 |
+
```
|
37 |
+
make DESIGN_CONFIG=designs/asap7/aes/config.mk cts
|
38 |
+
```
|
39 |
+
|
40 |
+
```
|
41 |
+
make DESIGN_CONFIG=designs/asap7/aes/config.mk gui_cts
|
42 |
+
```
|
43 |
+
|
44 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/1caeb16b-836f-4ae5-b5a6-6b235791ab90)
|
45 |
+
|
46 |
+
|
47 |
+
### lustefan97
|
48 |
+
@maliberty @oharboe Ok so I updated my OpenROAD to the latest version while having QT chart installed and enabled. After loading a database in that I knew had reported a slack, I tried to make a timing chart but resulted in the GUI crashing.
|
49 |
+
|
50 |
+
I got a warning telling me that timing data was not stored in the database and must be loaded separately if needed, are they not stored in the .odb ? Is the .odb file only "geometric" (would not be surprising since a .lef and .def files are needed), even if .lib files and RC rules have been used to produce the final result ?
|
51 |
+
|
52 |
+
### maliberty
|
53 |
+
@AcKoucher FYI
|
54 |
+
|
55 |
+
### oharboe
|
56 |
+
@AcKoucher Just one more example of where the horizontal labels are gone completely:
|
57 |
+
|
58 |
+
![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/7fa91044-d0df-4ffe-84b2-b5a264d959db)
|
59 |
+
|
60 |
+
|
61 |
+
### lustefan97
|
62 |
+
@oharboe @maliberty I have a quick but important question, right now I run my TCL scripts on a device that has no GUI, how would I need to proceed if I wanted to design a chip on it using OpenROAD but then move the results and generate the histogram on another computer (capable of opening a GUI).
|
63 |
+
|
64 |
+
I ran a test script in which I saved the design in a .odb file and the timing results from OpenSTA in a .sdf file, but when I loaded the .odb back in another GUI session (as well as the .sdf file using `read_sdf`), multiple warnings were raised during loading and no histogram was created...
|
65 |
+
|
FeatureRequest/4422.md
ADDED
@@ -0,0 +1,22 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Creating an FEOL Class in OpenROAD
|
2 |
+
|
3 |
+
Subcategory: FEOL integration
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### chetanyagoyal
|
8 |
+
This is in regard to [https://github.com/chipsalliance/ideas/blob/main/gsoc-2023-ideas.md#create-feol-classes-in-openroad-and-a-gds-writer](url), a GSoC project proposed in 2023. I would like to take this up for 2024's run of GSoC, and was **wondering what the project entails**. For reference, I have been contributing to OpenFASoC for a large part of the year and have good knowledge of their codebase, and some knowledge of OpenROAD-flow-scripts
|
9 |
+
|
10 |
+
### maliberty
|
11 |
+
@msaligane was the mentor in 2023 so it would be good to get his input. I expect the hardest part would be dealing with hierarchy (aref/sref) as OR's odb is rather flat in its lef/def orientation. New layers shouldn't be too hard. The rest of the system will have to be more aware of them and the GUI will need some updating.
|
12 |
+
|
13 |
+
I would be good to have a specific use case beyond just read/write gds. There should be some value added by doing it in OR as opposed to klayout or gdsfactory.
|
14 |
+
|
15 |
+
### stefanottili
|
16 |
+
Having openroad write gds/oas would be useful, because it reduces the file size compared to odb.
|
17 |
+
|
18 |
+
But I would recommend not to duplicate the ability to read and collect all the gds/oas pieces coming from various other sources. This functionality already exists in strm2oas.
|
19 |
+
|
20 |
+
### msaligane
|
21 |
+
We have been looking into this in the previous GSOC. Hopefully, this project gets approved for Chetanya to finish the project.
|
22 |
+
|
FeatureRequest/4819.md
ADDED
@@ -0,0 +1,19 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# gui highlight_inst
|
2 |
+
|
3 |
+
Tool: Graphical User Interface
|
4 |
+
|
5 |
+
Subcategory: Enhance GUI functionality
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### gyu511
|
10 |
+
hello.
|
11 |
+
In the gui, highlight_inst only supports up to 8 groups, so I would like to expand it to support more groups.
|
12 |
+
So I added to HighlightGroupDialog in OpenROAD/src/gui/src/highlightGroupDialog.cpp and added color in OpenROAD/src/gui/include/gui/gui.h and finally button in OpenROAD/src/gui/ui/highlightGroupDlg.ui I also added it, but it doesn't work. What should I do?
|
13 |
+
|
14 |
+
### maliberty
|
15 |
+
Can you send a pointer to your branch with the changes? How does it not work?
|
16 |
+
|
17 |
+
### maliberty
|
18 |
+
Once this works I hope you would be willing to make a PR so we can incorporate it in OR.
|
19 |
+
|
FeatureRequest/5041.md
ADDED
@@ -0,0 +1,23 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Write macro placement position to a tcl file
|
2 |
+
|
3 |
+
Tool: Hierarchical Macro Placement
|
4 |
+
|
5 |
+
Subcategory: Macro placement output
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### vijayank88
|
10 |
+
Similar to `write_pin_placement` option, is there a option to write macro placement position while using automatic macro placement.
|
11 |
+
So that macro position will be re-used for some specific requirement with manual macro placement again.
|
12 |
+
|
13 |
+
### maliberty
|
14 |
+
This is more of an issue than a discussion
|
15 |
+
|
16 |
+
### maliberty
|
17 |
+
https://github.com/The-OpenROAD-Project/OpenROAD/issues/5043
|
18 |
+
|
19 |
+
### AcKoucher
|
20 |
+
@vijayank88 FYI, there's the `write_macro_placement` flag in mpl2. However it's currently deprecated due to a new OR command for general macro placement writing that was implemented in #4557.
|
21 |
+
|
22 |
+
I've opened #5046 to update the flag so that mpl2 will write the entire macro placement instead of only those macros that it placed.
|
23 |
+
|
FeatureRequest/5420.md
ADDED
@@ -0,0 +1,24 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# dft command names
|
2 |
+
|
3 |
+
Tool: Design for Test
|
4 |
+
|
5 |
+
Subcategory: Command naming
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### ThomasGeroudet
|
10 |
+
Hello @maliberty, @fgaray, I've just seen dft commands, but not tried it yet.
|
11 |
+
The name of the commands seemed familiar, and I was wondering if it could be better to rename them to avoid potential legal issues such as this one:
|
12 |
+
https://news.synopsys.com/2016-12-21-Synopsys-Obtains-Injunction-in-Copyright-Suit-Against-ATopTech
|
13 |
+
In case of renaming, maybe all dft commands could start with dft_* so that it's easy to find them ?
|
14 |
+
|
15 |
+
### fgaray
|
16 |
+
Yeah, changing the names SGTM to avoid this issue.
|
17 |
+
|
18 |
+
Do we know what other tools use to name this commands? (Cadence for example). I tried looking online but could not find Cadence's related docs.
|
19 |
+
|
20 |
+
dft_insert, dft_scan_replace, dft_preview SGTM
|
21 |
+
|
22 |
+
### maliberty
|
23 |
+
Thanks, we want to avoid any such conflicts. I am not aware of the proprietary tool names but am fine with a rename to avoid such.
|
24 |
+
|
Installation/2679.md
ADDED
@@ -0,0 +1,37 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# How can I run the OpenROAD GUI on Ubuntu locally and not in Docker?
|
2 |
+
|
3 |
+
Tool: Graphical User Interface
|
4 |
+
|
5 |
+
Subcategory: Local installation
|
6 |
+
|
7 |
+
## Conversation
|
8 |
+
|
9 |
+
### oharboe
|
10 |
+
How can I install and run the OpenROAD GUI locally?
|
11 |
+
|
12 |
+
I want the advantages of Docker, not having to install everything, easy to deploy upgrades, but when I run the OpenROAD GUI from docker, the experience is pretty good, but not quite as good as running locally on Ubuntu.
|
13 |
+
|
14 |
+
Another problem is that the OpenROAD-flow-scripts sets up a TON of environment variables, even if I had OpenROAD GUI installed locally, how could I get the envioronment set up correctly as I build various designs?
|
15 |
+
|
16 |
+
Example of how I run the OpenROAD GUI in Docker. To run my own designs, I map results, log, objects, designs to my local drive by modifying the script in the github issue below.
|
17 |
+
|
18 |
+
https://github.com/The-OpenROAD-Project/OpenROAD/issues/2675#issue-1513733033
|
19 |
+
|
20 |
+
|
21 |
+
Ideally I'd like a debian package for the OpenROAD GUI. It seems like it should be possible to host a debian package on github, but it is a bit of work, especially considering that it probably needs to be built nightly to be truely useful in the open soruce development process: https://assafmo.github.io/2019/05/02/ppa-repo-hosted-on-github.html
|
22 |
+
|
23 |
+
|
24 |
+
|
25 |
+
### QuantamHD
|
26 |
+
Creating a snap package in the CI might be a good option https://ubuntu.com/tutorials/create-your-first-snap#1-overview
|
27 |
+
|
28 |
+
### maliberty
|
29 |
+
You would run the [dependency installer](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/etc/DependencyInstaller.sh) and then compiler OR locally (build_openroad.sh --local).
|
30 |
+
|
31 |
+
I'm surprised using docker makes much of a difference for the GUI. What do you see?
|
32 |
+
|
33 |
+
You can always mount your directory in docker so envars shouldn't be a big issue.
|
34 |
+
|
35 |
+
### mithro
|
36 |
+
Have you tried the conda packages?
|
37 |
+
|
Installation/2971.md
ADDED
@@ -0,0 +1,43 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# Arm64 Support
|
2 |
+
|
3 |
+
Subcategory: Architecture compatibility
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### DylanTom
|
8 |
+
Hi, I am looking to use OpenROAD and have tried to install it on my computer.
|
9 |
+
|
10 |
+
It is an M2 Pro Macbook Pro, 32 GB RAM, 1TB SSD. I am running an Ubuntu VM through UTM which has 8GB RAM and 64 GB SSD.
|
11 |
+
|
12 |
+
I am having trouble with building OpenROAD because one of the dependencies is `or-tools`, which does not appear to have Ubuntu support for the ARM architecture. I was wondering if anyone had similar issues or would know how to resolve this.
|
13 |
+
|
14 |
+
Specifically, does OpenROAD support the ARM architecture, and if not, is that a priority in the future?
|
15 |
+
|
16 |
+
Thanks!
|
17 |
+
|
18 |
+
### vijayank88
|
19 |
+
Can you try OpenROAD-flow-scripts with docker based: https://openroad-flow-scripts.readthedocs.io/en/latest/user/BuildWithDocker.html#clone-and-build
|
20 |
+
|
21 |
+
### maliberty
|
22 |
+
We do not officially support it as we don't have the hardware resources to test on that platform. We do try to make sure we can compile on mac M1 based systems. That said or-tools is available for arm64 as Vijayan pointed out.
|
23 |
+
|
24 |
+
### vvbandeira
|
25 |
+
> Note: OR-Tools only provides archives for the x86_64 (also known as amd64) architecture.
|
26 |
+
Source: https://developers.google.com/optimization/install/cpp/binary_linux
|
27 |
+
|
28 |
+
@DylanTom If you are already using a VM, you can create a x86_64 VM and avoid this issue.
|
29 |
+
|
30 |
+
### stefanottili
|
31 |
+
If you're on M2, why not give "native" OR a try ?
|
32 |
+
|
33 |
+
I've been compiling it on and off using HomeBrew for dependencies (including or-tools) for a while now.
|
34 |
+
or-tools and mpl2 keep on breaking the build and mpl2 is still be disabled.
|
35 |
+
>> -- Removing MPL2 and PAR to avoid run time fatal error.
|
36 |
+
|
37 |
+
So occasionally it doesn't work, but most of the times I got it to compile in < 15min on a M1.
|
38 |
+
|
39 |
+
etc/DependencyInstaller.sh (unforunately now installs x86 klayout, I prefer building it natively)
|
40 |
+
./build_openroad.sh --local --latest --clean-force (breaks today in a mpl2 test)
|
41 |
+
./build_openroad.sh --local (when run again it finishes, go figure)
|
42 |
+
|
43 |
+
|
Installation/2972.md
ADDED
@@ -0,0 +1,102 @@
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1 |
+
# CMAKE errors while installing Openroad
|
2 |
+
|
3 |
+
Subcategory: Build issues
|
4 |
+
|
5 |
+
## Conversation
|
6 |
+
|
7 |
+
### mdzaki-git
|
8 |
+
HI All,
|
9 |
+
|
10 |
+
After installing the dependencies we re stuck with cmake errors and would appreciate your help in fixing them. Attaching the error snippet for reference.
|
11 |
+
<img width="1388" alt="Cmake Error" src="https://user-images.githubusercontent.com/68725332/223042193-39b47832-730e-4716-abce-421e7a44dfc8.png">
|
12 |
+
|
13 |
+
|
14 |
+
### vijayank88
|
15 |
+
attach log `build_openroad.log`
|
16 |
+
|
17 |
+
### mdzaki-git
|
18 |
+
[openroad_build.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/10897535/openroad_build.log)
|
19 |
+
|
20 |
+
|
21 |
+
### mdzaki-git
|
22 |
+
Following the install instruction mentioned on git hub.
|
23 |
+
https://github.com/The-OpenROAD-Project/OpenROAD
|
24 |
+
|
25 |
+
### mdzaki-git
|
26 |
+
Hi Vitor,
|
27 |
+
|
28 |
+
Recloned the repository and tried again, getting below errors now.
|
29 |
+
|
30 |
+
|
31 |
+
|
32 |
+
On Mon, Mar 6, 2023 at 7:32 PM Vitor Bandeira ***@***.***>
|
33 |
+
wrote:
|
34 |
+
|
35 |
+
> @vijayank88 <https://github.com/vijayank88>, this warning is not a
|
36 |
+
> problem with CMake; this indicates that the folder is not a git repo.
|
37 |
+
> @mdzaki-git <https://github.com/mdzaki-git>, can you make sure that the
|
38 |
+
> clone ended fine? Maybe check the output of git status --long, the output
|
39 |
+
> of this command should look something like this:
|
40 |
+
>
|
41 |
+
> > git status --long
|
42 |
+
> On branch master
|
43 |
+
> Your branch is up to date with 'origin/master'.
|
44 |
+
> nothing to commit, working tree clean
|
45 |
+
>
|
46 |
+
> Also, try to do a clean build and in case of any errors upload the new log
|
47 |
+
> file.
|
48 |
+
>
|
49 |
+
> ./etc/Build.sh -clean
|
50 |
+
>
|
51 |
+
> —
|
52 |
+
> Reply to this email directly, view it on GitHub
|
53 |
+
> <https://github.com/The-OpenROAD-Project/OpenROAD/discussions/2972#discussioncomment-5216244>,
|
54 |
+
> or unsubscribe
|
55 |
+
> <https://github.com/notifications/unsubscribe-auth/AQMKUVEUTV4F7PDCH6F4IQ3W2XU6HANCNFSM6AAAAAAVQXMFI4>
|
56 |
+
> .
|
57 |
+
> You are receiving this because you were mentioned.Message ID:
|
58 |
+
> <The-OpenROAD-Project/OpenROAD/repo-discussions/2972/comments/5216244@
|
59 |
+
> github.com>
|
60 |
+
>
|
61 |
+
|
62 |
+
|
63 |
+
### mdzaki-git
|
64 |
+
[openroad_build.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/10899446/openroad_build.log)
|
65 |
+
|
66 |
+
|
67 |
+
### vvbandeira
|
68 |
+
Looks like you missed the `--recursive` when cloning. Please run
|
69 |
+
|
70 |
+
```
|
71 |
+
git submodule update --init
|
72 |
+
```
|
73 |
+
|
74 |
+
In the future you should use `git clone --recursive` to also clone the submodules `abc` and `sta`.
|
75 |
+
|
76 |
+
### mdzaki-git
|
77 |
+
Hi All,
|
78 |
+
|
79 |
+
Thanks it works now.
|
80 |
+
|
81 |
+
On Mon, Mar 6, 2023 at 9:37 PM Vitor Bandeira ***@***.***>
|
82 |
+
wrote:
|
83 |
+
|
84 |
+
> Looks like you missed the --recursive when cloning. Please run
|
85 |
+
>
|
86 |
+
> git submodule update --init
|
87 |
+
>
|
88 |
+
> In the future you should use git clone --recursive to also clone the
|
89 |
+
> submodules abc and sta.
|
90 |
+
>
|
91 |
+
> —
|
92 |
+
> Reply to this email directly, view it on GitHub
|
93 |
+
> <https://github.com/The-OpenROAD-Project/OpenROAD/discussions/2972#discussioncomment-5217710>,
|
94 |
+
> or unsubscribe
|
95 |
+
> <https://github.com/notifications/unsubscribe-auth/AQMKUVH4OMC266IZBNAHYATW2YDTFANCNFSM6AAAAAAVQXMFI4>
|
96 |
+
> .
|
97 |
+
> You are receiving this because you were mentioned.Message ID:
|
98 |
+
> <The-OpenROAD-Project/OpenROAD/repo-discussions/2972/comments/5217710@
|
99 |
+
> github.com>
|
100 |
+
>
|
101 |
+
|
102 |
+
|