statement, you need this line to describe the layer_map table for each layer of the LEF tech file :\r\n layer_map('METAL1:1/0'; 'METAL1.LABEL:1/3'; 'METAL1.PIN:1/9'; 'METAL2:2/0'; 'METAL2.LABEL:2/3'; 'METAL2.PIN:2/9'; 'METAL3:3/0'; 'METAL3.LABEL:3/3'; 'METAL3.PIN:3/9'; 'VIA1:5/0'; 'VIA2:6/0'; 'OUTLINE:10/0')\r\n\r\n- you can describe it in a separate file stated in the platform/my_tech/config.mk file with : \r\n export GDS_LAYER_MAP = $(PLATFORM_DIR)/layermap.txt\r\n then, you need to write that file : platform/my_tech/layermap.txt as described here :\r\n https://www.klayout.de/forum/discussion/2092/syntax-for-layoutoptions-lefdef-config-map-file :\r\n \r\n \r\n # KLayout LEF mapping file for my_tech\r\n DIEAREA ALL 10 0\r\n MET1 NET,VIA 1 0\r\n NAME MET1/PIN 1 3\r\n MET1 PIN 1 9\r\n MET2 NET,VIA 2 0\r\n NAME MET2/PIN 2 3\r\n MET2 PIN 2 9\r\n MET3 NET,VIA 3 0\r\n NAME MET3/PIN 3 3\r\n MET3 PIN 3 9\r\n VIA1 NET,VIA 5 0\r\n VIA2 NET,VIA 6 0\r\n OUTLINE LEFPIN 10 0\r\n\r\nBRgds,\r\nLaurent"}, {"user": "For the sky130hs and sky130hs process and libraries, the only way I have found to get the proper GDS layers in the 6_final.gds is to add the file layermap.txt (below) in the platforms/sky130hs and platforms/sky130hs and add the following line :\r\n\r\nexport GDS_LAYER_MAP = ../../../../$(PLATFORM_DIR)/layermap.txt\r\n\r\nin the files platforms/sky130hs/config.mk and platforms/sky130hd/config.mk\r\n\r\nBRgds,\r\nLaurent\r\n\r\nlayermap.txt file : also in : https://github.com/laurentc2/SKY130_for_KLayout/blob/main/layermap.txt :\r\n\r\n # KLayout mapping file for sky130\r\nDIEAREA ALL 100 0\r\n\r\nli1 NET,SPNET,VIA 67 20\r\nNAME li1/PIN 67 5\r\nli1 PIN,LEFPIN 67 16\r\n\r\nmcon VIA 67 44\r\nmcon PIN,LEFPIN 67 48\r\n\r\nmet1 NET,SPNET,VIA 68 20\r\nNAME met1/PIN 68 5\r\nmet1 PIN,LEFPIN 68 16\r\n\r\nvia VIA 68 44\r\nvia PIN,LEFPIN 68 58\r\n\r\nmet2 NET,SPNET,VIA 69 20\r\nNAME met2/PIN 69 5\r\nmet2 PIN 69 16\r\n\r\nvia2 VIA 69 44\r\nvia2 PIN,LEFPIN 69 58\r\n\r\nmet3 NET,SPNET,VIA 70 20\r\nNAME met3/PIN 70 5\r\nmet3 PIN,LEFPIN 70 16\r\n\r\nvia3 VIA 70 44\r\nvia3 PIN 70 58\r\n\r\nmet4 NET,SPNET,VIA 71 20\r\nNAME met4/PIN 71 5\r\nmet4 PIN 71 16\r\n\r\nvia4 VIA 71 44\r\nvia4 PIN,LEFPIN 71 48\r\n\r\nmet5 NET,SPNET,VIA 72 20\r\nNAME met5/PIN 72 5\r\nmet5 PIN,LEFPIN 72 16\r\n\r\n"}]}
+{"messages": [{"user": "Hello, I am testing the global placement of RePlAce with the testcases in [standalone branch](https://github.com/The-OpenROAD-Project/RePlAce/tree/standalone) version, and I find the result is not quite what I suppose it should be.\r\n\r\nI tried [gcd_nontd_test.tcl](https://github.com/The-OpenROAD-Project/RePlAce/blob/standalone/test/gcd_nontd_test.tcl), and I opened the result def file along with [gcd.v](https://github.com/The-OpenROAD-Project/RePlAce/blob/standalone/test/design/nangate45/gcd/gcd.v) in Cadence Innovus.\r\nThe resulting layout is like this:\r\n![image](https://user-images.githubusercontent.com/55183618/169835277-233c17d0-a033-474d-835f-f7b7660ce5ed.png)\r\n![image](https://user-images.githubusercontent.com/55183618/169835295-c3641baf-b217-4851-aff8-93cad86c7295.png)\r\n\r\nHere are my questioins:\r\n(1) Why are the standard cells not on the \"row\" the input lef/def file has defined?\r\nIn fact, none of the cells is on the correct y-coordinate of any of the predefined rows.\r\n\r\n(2) Why is there overlapping?\r\n\r\n(3) How can I get a result like the GIF in [README.md](https://github.com/The-OpenROAD-Project/RePlAce/blob/standalone/README.md)? \r\nShould I add any options when executing the binary file? If yes, what should I do exactly?\r\n\r\n(4) I have noticed the limitation mentioned in [README.md](https://github.com/The-OpenROAD-Project/RePlAce/blob/standalone/README.md): *Mixed-sized RePlAce with (LEF/DEF/Verilog) interface does not generate legalized placement*. \r\nDoes it mean that RePlAce is not capable of generating legal placement for either single-size or mixed-sized standard cells placement? \r\nOr, does it mean that RePlAce can generate legal placement for single-size standard cells placement but cannot for mixed-sized standard cells placement? \r\n\r\np.s. I only need the GPL results. DPL is not neccesary for me."}, {"assistant": "Replace is a global placer; you need to run detailed placement to see non-overlapping, in-row placement. The gif will have overlaps if you could zoom in."}]}
+{"messages": [{"user": "Hello everyone!\r\n\r\nI'm in the process of bringing up a new platform which is going well. I am running into a problem with a local interconnect layer which is specified as a `MASTERSLICE` with `LEF58_TYPE` = `MEOL`, so it is not specified as a `ROUTING` layer as is the case with the sky130 PDK. OpenDB complains that there is a parse mismatch for this `lil` layer.\r\n\r\nIf I add this `lil` layer as a routing layer to `make_tracks.tcl` then OpenDB complains that it is not a routing layer. If I don't add `lil` as a routing layer, then TritonRoute gives an error that it cannot find layer `lil` for viarule that connects `lil` to the first metal layer.\r\n\r\nDid anyone else run into a similar problem? If so, how did you solve it?"}, {"assistant": "Please include the odb message. You should not add tracks if it is not a routing layer."}, {"assistant": "We need to add support for MEOL. You can workaround it my making it a masterslice layer temporarily."}]}
+{"messages": [{"user": "I have seen an example of a via/cut spacing rule between different layers.\r\nFor example : v4 space v5 >= 38\r\nCan/does the detailed router support this?"}, {"assistant": "Its helpful to ask about a specific LEF rule but yes it does support cut spacing between layers."}, {"assistant": "![image](https://user-images.githubusercontent.com/761514/169117659-453ea3a8-10cb-4794-bb41-16558182e3f8.png)\r\n"}]}
+{"messages": [{"user": "This #openlane slack channel conversation. https://skywater-pdk.slack.com/archives/C016H8WJMBR/p1652291545428459\r\n\r\nUser want to create a placement blockage to avoid placement of standard cells in that particular area.\r\n\r\nIs that feature available?\r\n\r\nIf yes please point us command...\r\n\r\n@maliberty fyi"}, {"assistant": "We support them coming from DEF. You can use the odb api to create them in the database directly (dbBlockage_create) but we don't have a user-level command to make that nicer."}]}
+{"messages": [{"user": "Hello, \r\nI would like to know if the grid can be exported after the processing of the global router output and/or the TA step.\r\n\r\nI'm planning on trying some other AI based approaches instead of Heuristics. And if I can export the grid or the clips it would be more helpful.\r\n\r\nAny idea/help will be much appreciated!"}, {"assistant": "Do you mean the gcell grid? There are messages\r\n\r\n\"GCELLGRID X {} DO {} STEP {} ;\",\r\n\"GCELLGRID Y {} DO {} STEP {} ;\",\r\n\r\nthat will give you those values. They don't change during drt."}, {"assistant": "The post-processed guides are generated as output_guide.mod in ORFS (controlled by -output_guide). Other internal state of the router is not exported today."}, {"assistant": "Its the same guide format as the input guide file"}, {"user": "Hello again,\r\nI would like to get the access points to each pin in a net and I saw [this on another discussion](https://github.com/The-OpenROAD-Project/OpenROAD/discussions/625?sort=new#discussioncomment-636424), however, when I tried it the coordinates didn't make sense.\r\nFor example when I try it on the nangate45 gcd, for the _000_ net we have: `_000_ ( _672_ D ) ( _504_ ZN ) + USE SIGNAL`\r\nand the components in the net are `_672_ DFF_X2 + PLACED ( 107540 145600 ) N ;` and `_504_ OAI21_X1 + PLACED ( 107540 148400 ) FS ;`\r\nand this is the output of the code\r\nnet _000_ \r\n( 46930 141260 ) layerNum 2 metal1 \r\n( 46930 141120 ) layerNum 2 metal1\r\n( 46740 141260 ) layerNum 2 metal1\r\n( 51490 146580 ) layerNum 2 metal1\r\n( 51490 146860 ) layerNum 2 metal1\r\n( 51490 147140 ) layerNum 2 metal1\r\n( 51490 147420 ) layerNum 2 metal1\r\n( 50730 147420 ) layerNum 2 metal1\r\n( 51870 147420 ) layerNum 2 metal1\r\n( 51870 147700 ) layerNum 2 metal1\r\n( 51870 147980 ) layerNum 2 metal1\r\n( 51110 147420 ) layerNum 2 metal1\r\n\r\nSo from what I see these coordinates can't be correct, any idea why is this happening?"}]}
+{"messages": [{"user": "Hi guys, congratulations on all the great job done with the OpenROAD project!! I want to use it to develop my ideas during my Ph.D.\r\n\r\nI am trying to build a dataset for graph neural network training. My idea is to perform physical design predictions with a mapped circuit before P&R. I am trying to extract features from Verilog with Yosys, send them to the DGL python library and perform training with DGL. These steps are already operational, but I am missing an essential aspect of the prediction: the label! \r\n\r\nTo solve the mentioned issue **I would like to have the data shown by the heatmaps in the GUI, such as placement density and routing congestion**. I would like them not as images but the actual numeric values. The positions of the cells and wires would also be essential. \r\n\r\n- Can anyone point me to which path I should go to get this data? I understand all of it is already processed by the code to show the images in the GUI. I just need to find it. \r\n- Would there be any TCL command which could help me with that? \r\n- Or my best shot is to try and use the odb database and edit some code? \r\n\r\nI noticed there are some heatmap codes in [./src/gui/src](https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/gui/src), but there are so many attributes and methods. Also, I am not used to the odb database yet. Any help is welcome."}, {"user": "By the way I couldn't find the path `./build/src/swig/tcl/opendbtcl` and `./build/src/swig/tcl/opendb_wrapper.cpp` as mentioned in [the documentation](https://openroad.readthedocs.io/en/latest/main/src/odb/README.html)."}, {"assistant": "The bit of documentation is out of date as we now include the tcl functionality in openroad itself and no longer build standalone executables for odb. (@vvbandeira)\r\n\r\n@arlpetergadfort My first thought was to look at each engine but maybe it would be easier to provide a dump_heatmap command in the GUI since it has already abstracted the interface to each engine. Does that seem reasonable to you?"}, {"assistant": "#1612 is merged so you should be good to go."}, {"assistant": "You are repeating the previous rule and probably want something like:\r\n\r\nmy_gui: my_gui_6_final.def\r\n$(foreach file,$(RESULTS_DEF),my_gui_$(file)): my_gui_%:\r\n\r\nYou are getting the bbox of each instance. You'll have to map that back to the grid you are working with (an instance may be across a boundary as well)."}, {"user": "Hey guys, I have some issues with the heatmap features. I noticed that running the GCD project from a fresh OpenROAD-flow with this exact sequence of commands:\r\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts.git\r\ncd OpenROAD-flow-scripts/\r\nsudo ./build_openroad.sh --local\r\ncd flow\r\nmake\r\nmake gui_final\r\n```\r\nRenders no output on the circuit's image when clicking on the checkbox for `Placement Density`. The `Power Density` checkbox works fine. Furthermore, clicking on `Routing Congestion` and `IR Drop` gives the error message bellow and shows no heatmap in the image.\r\n```\r\n[WARNING GUI-0066] Heat map \"Routing Congestion\" has not been populated with data.\r\n[WARNING GUI-0066] Heat map \"IR Drop\" has not been populated with data.\r\n```\r\n\r\nIf I run the AES project I can see the Placement and Power heatmaps. Although I have the same errors with Routing and IR Drop.\r\n\r\nThe Routing and IR Drop also gives errors when trying to call gui::dump_heatmap: `[ERROR GUI-0072] \"Routing Congestion\" is not populated with data.`\r\nI also noticed that the CSVs provided by the gui::dump_heatmap command gives bouding boxes with different sizes for Placement and Power, is this expected?\r\n"}, {"assistant": "I don't see any issue with placement density. This is gcd/ng45:\r\n![image](https://user-images.githubusercontent.com/761514/153918958-d33becda-6a06-417a-a685-463e6076575a.png)\r\n"}, {"assistant": "@arlpetergadfort I'm seeing:\r\n```\r\n>>> read_guides results/nangate45/gcd/base/route.guide\r\n[WARNING GUI-0066] Heat map \"Routing Congestion\" has not been populated with data.\r\n```\r\nThis used to work - do you know what has changed?"}, {"user": "Hi again! I am progressing with my dataset generation. Looking real promising. I am only having issues trying to close the gui. Even if I use gui::hide it goes to the `openroad>` command option in terminal. How can I exit it the gui AND the openroad afterwards via a TCL file? [This is what I have tried](https://github.com/gudeh/OpenROAD-flow-scripts/blob/master/flow/scripts/getLabels.tcl). As seen in the last lines.\r\n\r\nBy the way if you uncoment the part where I try to use the Routing and IRdrop heatmaps the gui::hide doesn't work."}, {"user": ""}, {"user": "Hi everyone! I am coming back to this project. I was focusing on other tasks the last months. \r\n \r\nI wanted to share with you an example of what my code is able to generate by editing Yosys and OpenROAD: https://drive.google.com/drive/folders/1xTz4iTuGx0bDD-ZWAOV-MDQWKI0a8XA8?usp=sharing . \r\n\r\nThis pair of CSV files can be used as input for DGL Python library and create a GNN. \r\n\r\nThere is an issue though, I created a [Python script to check for collisions among the heatmaps and logic gate locations,](https://github.com/gudeh/OpenROAD-flow-scripts/blob/master/flow/myStuff/gateToHeat.py) and it is really slow. I made it by comparing the location of all the gates against each of the 4 heat types. I would like to ask any suggestions on how to improve this. Is this able to be solved on the OpenROAD db?"}, {"assistant": "The link to your script is broken. The heatmaps are a grid so it should be easy to compute the index into the map.\r\n\r\nMatt"}, {"user": "Hello again! I found a bug on my code to retrieve learning data using OpenROAD. The logic gate names don't match!\r\n\r\nMy machine learning model features come from the verilog generated during the flow. Optionally I can use the 1_1_yosys.v ( post tech map ) or the 6_final.v ( post route ). Afterwards I get the labels using some TCL commands discussed previously in this post. For example:\r\n\r\n\r\n> set dut gatesPosition_\r\n> set fileName ${designPath}/${dut}${::env(DESIGN_NAME)}.csv\r\n> set outFile [open $fileName w]\r\n> puts $outFile \"Name,xMin,yMin,xMax,yMax\"\r\n> set block [ord::get_db_block]\r\n> foreach inst [$block getInsts] { \r\n> set box [$inst getBBox] \r\n> puts $outFile \"[$inst getName], [ord::dbu_to_microns [$box xMin]], [ord::dbu_to_microns [$box yMin]], [ord::dbu_to_microns [$box xMax]], [ord::dbu_to_microns [$box yMax]]\" \r\n> }\r\n> close $outFile\r\n\r\nAt last, I have to build up my dataset by matching the logic gates names from the verilog, the logic gate names(from the TCL snippet pasted here and the heatmap dumps (positions). **But there is a problem:**\r\n\r\nI noticed that sometimes the flow changes the names of the gates between the verilog and the names retrieved from the TCL command ( `[$inst getName]`) causing unexpected behavior when I build the dataset. For example, the design bp_be_top with nangate45, there is a gate called `\\be_calculator/_09864_` in the 6_final.v, and the name I get with the TCL command is `be_calculator/_09864_` without the `\\`. \r\n\r\n**My question is:** Is there anyway to be sure that OR flow won't change the names of the gates? If not when and how does the tool changes the names of the gates, does it simply remove the starting `\\` or are there any other possible modifications?"}, {"user": "Hi guys, I have another doubt regarding the heatmaps provided by OR. I would like to make sure the behavior is as intended. This is the routing congestion heatmap when executing the Rocket Tile design with standard values (config.mk) given by the repository:\r\n![image](https://user-images.githubusercontent.com/37420320/230185344-17959d7b-05b3-4ee8-ab04-6865fb097f41.png)\r\n\r\nAfter executing the flow, OR inserts a lot of Filler Cells. It seems that the standard core size for Rocket Tile is extremely large, is there a reason for that?\r\n\r\nI ran the flow with the same design, although dividing the max X and max Y core area values in half and leaving the die area as is:\r\n\r\n> export DIE_AREA = 0 0 924.92 799.4\r\n> #export CORE_AREA = 10.07 9.8 914.85 789.6\r\n> export CORE_AREA = 10.07 9.8 457.425 394.8\r\n\r\nAnd this is the routing congestion heatmap after execution. There is still a considerably high congestion between core and die:\r\n![image](https://user-images.githubusercontent.com/37420320/230186482-44fd0f4f-85d4-4fcc-afa1-ed0b05a1635d.png)\r\n\r\nTo confirm, this is the placement density after the same run:\r\n![image](https://user-images.githubusercontent.com/37420320/230188189-c3be97c8-c7a9-4f42-b78d-03918a202baf.png)\r\n\r\n\r\nThis seems strange to me. I wouldn't expect so much routing congestion between die and core area. Furthermore, I couldn't even see metal wires on the green congested area. Is this as supposed to?"}, {"user": "Hello again. I wonder if there is a way to get the heatmaps considering only certain metal layers, is that possible?"}]}
+{"messages": [{"user": "Is it possible to change the constrain on the maximum slew and skew for the CTS synthesis?"}, {"assistant": "@eder-matheus please comment."}]}
+{"messages": [{"user": "I was trying to customize the CTS parameters using the command \"configure_cts_characterization\", but I don't see any changes is the maximum slew constrain reported in the .log file. Trying to understand if I was doing something wrong I noticed that there's a file called \"CtsOptions.h\" that should set the desired constrains with no \"CtsOptions.cpp\" file to describe the actual function. How can I manage to customize the maximum transition time? Thanks for your attention."}, {"assistant": "All the functions are inlined so there is no need for a cpp.\r\n\r\nWould you give the command you are using and the message you are getting in the log."}, {"user": "Sorry if I made a silly observation.\r\nI was trying to define the maximum limits of slew and maybe I misunderstood the command.\r\nIs it possible to change the values of maximum slew and maximum skew constrain for the CTS synthesis?"}]}
+{"messages": [{"user": "Description\r\n\r\ni Have pull the latest Openroad Project, Here is Git log details\r\n\r\ncommit 92dd19146f4fb83f25387b05435a2ee770366afa (HEAD -> master, origin/master, origin/HEAD)\r\nMerge: f45cc928b 2754f4fb9\r\nAuthor: Matt Liberty <mliberty@eng.ucsd.edu>\r\nDate: Fri Oct 8 22:41:13 2021 -0700\r\n\r\n Merge pull request #1154 from The-OpenROAD-Project-staging/warnings\r\n \r\n fix more compiler warnings\r\n
\r\n\r\nCompile fails with below error:\r\ncd /home/dinesha/workarea/tools/source/OpenROAD_10012020/build/src/dbSta/src && /usr/bin/c++ -DBOOST_ALL_NO_LIB -DSPDLOG_COMPILED_LIB -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/include -I/usr/include/tcl -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/dbSta/src/../include -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/sta/include/sta -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/sta -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/sta/include -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/odb/include -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/utl/include -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/odb/include/odb -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/odb/src/def/def -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/odb/src/def/defzlib -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/odb/src/lef/lef -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/odb/src/lef/lefzlib -I/home/dinesha/workarea/tools/source/OpenROAD_10012020/src/gui/include -O3 -DNDEBUG -pthread -std=c++17 -Wno-cast-qual -o CMakeFiles/dbSta.dir/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx.o -c /home/dinesha/workarea/tools/source/OpenROAD_10012020/build/src/dbSta/src/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx\r\n/home/dinesha/workarea/tools/source/OpenROAD_10012020/build/src/dbSta/src/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx: In function \u2018void find_logic_constants()\u2019:\r\n/home/dinesha/workarea/tools/source/OpenROAD_10012020/build/src/dbSta/src/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx:7102:8: error: \u2018class sta::dbSta\u2019 has no member named \u2018findLogicConstants\u2019\r\n 7102 | sta->findLogicConstants();\r\n | ^~~~~~~~~~~~~~~~~~\r\nmake[2]: *** [src/dbSta/src/CMakeFiles/dbSta.dir/build.make:85: src/dbSta/src/CMakeFiles/dbSta.dir/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx.o] Error 1\r\nmake[2]: Leaving directory '/home/dinesha/workarea/tools/source/OpenROAD_10012020/build'\r\nmake[1]: *** [CMakeFiles/Makefile2:2637: src/dbSta/src/CMakeFiles/dbSta.dir/all] Error 2\r\nmake[1]: Leaving directory '/home/dinesha/workarea/tools/source/OpenROAD_10012020/build'\r\nmake: *** [Makefile:133: all] Error 2\r\n
\r\nMy System is : Ubuntu 20.04.3 LTS\r\n\r\nI don't see findLogicConstants inside the sta class ?\r\n"}, {"user": "Look like this needed sta submodule git repo update .. After updating the sta folder this issue resolved"}]}
+{"messages": [{"user": "Hi,\r\n\r\nI am trying to run OpenROAD/src/ICeWall/test examples. Used below command inside openroad and stopped with error\r\n\r\nopenroad 1> source soc_bsg_black_parrot_nangate45.tcl \r\n[WARNING ODB-0229] Error: library (NangateOpenCellLibrary.mod) already exists\r\n[WARNING ODB-0229] Error: library (dummy_pads) already exists\r\n[WARNING STA-0053] dummy_pads.lib line 7, library dummy_pads_worst_case already exists.\r\nSegmentation fault (core dumped)\r\n\r\nAnyone can explain how to run this flow and to view DEF file klayout to view my chip level io connection created by ICeWall\r\n\r\nThanks in advance\r\n"}, {"assistant": "@Colin-Holehouse @ibrahimkhairy can you help?"}, {"assistant": "@jjcherry56 the crash is in \r\n\r\nsta::ConcreteInstance::deletePin \r\nsta::ConcreteNetwork::deletePin \r\nsta::ConcreteNetwork::deleteInstance \r\nsta::ConcreteNetwork::deleteTopInstance \r\nsta::ConcreteNetwork::linkNetwork \r\nord::dbLinkDesign \r\n\r\nreproduce by going to src/ICeWall/test and running\r\n% openroad soc_bsg_black_parrot_nangate45.tcl\r\n[at OR prompt] source soc_bsg_black_parrot_nangate45.tcl"}, {"user": "[vijayan@ctpl-hp11 test]$ ../../../build/src/openroad \r\nOpenROAD 1 3a8b060d9026de9b35e4f35ee59157ce9416c3ff\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad 1> source soc_bsg_black_parrot_nangate45.tcl \r\n[INFO ODB-0222] Reading LEF file: NangateOpenCellLibrary.mod.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0225] Created 134 library cells\r\n[INFO ODB-0226] Finished LEF file: NangateOpenCellLibrary.mod.lef\r\n[INFO ODB-0222] Reading LEF file: dummy_pads.lef\r\n[INFO ODB-0225] Created 24 library cells\r\n[INFO ODB-0226] Finished LEF file: dummy_pads.lef\r\n[INFO IFP-0001] Added 1885 rows of 13893 sites.\r\n[INFO PAD-0053] Creating padring nets: RETN_10, RETN_11, RETN_12, RETN_13, RETN_14, RETN_15, RETN_16, RETN_17, RETN_18, RETN_19, RETN_20, RETN_0, RETN_1, RETN_2, RETN_3, RETN_4, RETN_5, RETN_6, RETN_7, RETN_8, RETN_9, SNS_10, SNS_11, SNS_12, SNS_13, SNS_14, SNS_15, SNS_16, SNS_0, SNS_17, SNS_1, SNS_18, SNS_2, SNS_19, SNS_20, SNS_3, SNS_4, SNS_5, SNS_6, SNS_7, SNS_8, SNS_9, DVSS_0, DVSS_1, DVDD_0, DVDD_1.\r\n[INFO PAD-0051] Creating padring net: VSS.\r\n[INFO PAD-0051] Creating padring net: VDD.\r\nNo differences found.\r\n\r\nIs the above log right?"}, {"assistant": "\"No differences found. \" tells you it is right."}]}
+{"messages": [{"user": "Can any one give more details on the these WARNING & also how to solve these issue.\r\n\r\n[WARNING DPL-0004] Placed in rows check failed (4).\r\n[WARNING DPL-0005] Overlap check failed (1).\r\n[WARNING DPL-0006] Site check failed (4).\r\n\r\nI am trying to do detailed placement using openlane flow and 99% of block are hard core, only 32 cells are there at top-level\r\nThere is good amount of free space available. still tool fails with these error.\r\n\r\n"}, {"assistant": "It is a check at the end of placement to make sure the result is non-overlapping. It suggests a placement failure but its hard to say anything more without a test case. Can you provide one?"}, {"user": "The project had 99% hard macro with less than 32 other cells and there was\nmore than 30% free space. Still openroad detailed route was placing\noverlapping cells with hard macros & giving DPL-4/5/6 warning.\n\nFinally I noticed the issue was resolved after changing\ndiamond_search_hight more than *250*.\n\nCan give more details on how increased diamond_search_hight value helped\nhere ?\n\n\n\nOn Thu, Jun 17, 2021 at 7:17 PM Matt Liberty ***@***.***>\nwrote:\n\n> It is a check at the end of placement to make sure the result is\n> non-overlapping. It suggests a placement failure but its hard to say\n> anything more without a test case. Can you provide one?\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "I would guess that global placement left cells very far from any legal location. You might look at a post global-placement def to see."}]}
+{"messages": [{"user": "I git clone --recursive the parent repo \"openroad-flow-scripts\" so I get all the code including submodules in the local. \r\nAnd then I use \"git remote rename origin upstream\" and \"git remote add origin to let it point to my repo. (I only create one repo for the openroad-flow-script. I didn't create repo for submodules.)\r\nAfter I made changes in submodule /tools/openroad/src, I do git `add` and `commit` in the directory of submodule tools/openroad, and then in the parent directory /openroad-flow-scripts, I do `git add tools/openroad`, `commit`, `push`. I can see it does push something to somewhere[![push result](https://i.postimg.cc/jSrTz9Ry/We-Chat-Image-20210526015324.png)](https://postimg.cc/kBwLCT65), but I cannot find it on github.com, on which the directory url points to 404 [![404page](https://i.postimg.cc/FF3DfsHF/404.png)](https://postimg.cc/fkWxpsd1)page. I am wondering where the submodules go when I do the `git remote add origin `. Is there a way to create the submodule repo automatically in my repo?"}, {"assistant": "It isn't clear in which repo you are runing which steps. Please give a specific set of steps to replay to see your problem.\r\n\r\nI think the simplest is to fork ORFS & OR."}, {"assistant": "When the submodule is checked out it will be a specific commit that is checked out not the HEAD. You can just 'it checkout master' and commit your change. Then in ORFS you can update the submodule to point to your new commit."}, {"assistant": "In ORFS you should see that the submodule is modified and you git add/commit it as usual."}, {"assistant": "yes"}, {"user": "When I want to get the update of submodules, I do `git pull --recurse-submodule`, [![gitpullsubmodulecheckout.png](https://i.postimg.cc/hPpJ5z1G/gitpullsubmodulecheckout.png)](https://postimg.cc/svGDB28F),\r\nbut it checkouts the commit id it originally points to. [![submodulepointtocommit.png](https://i.postimg.cc/5tF6yPdH/submodulepointtocommit.png)](https://postimg.cc/Y4MpyzSk).\r\nHow to let it point to my OR git master branch? Otherwise, I need to go into that submodule directory to do the `git checkout master` every time."}]}
+{"messages": [{"user": "Does OpenROAD project support any forms of power analysis? Thanks!"}, {"assistant": "There is report_power (power based on liberty) and analyze_power_grid (static IR drop)."}]}
+{"messages": [{"user": "Hi, I want to find the step of \"pin access pattern generation\" in the TritonRoute/src/pa code and dump out the pattern information after pin access analysis. I don't see pin access pattern generation function in FlexPA::main(). Could anyone tell me where is this step? \r\nIs there template or sample of extracting pin access points information for TA? Thanks."}, {"assistant": "The calls to init() and prep() do the work of computing the access points. If you just want to dump the result you would do so after those steps finish. You can just look at frInstTerm::getAccessPoints() for the result."}, {"assistant": "Btw, what is your high level goal?"}, {"assistant": "Anything on stdout will be copied to the log file in 5_2_TritonRoute.log.\n\nMatt\n\nOn Tue, May 18, 2021 at 2:02 PM Raynchowkw ***@***.***> wrote:\n\n> Hi, I have built the flow locally and made modification to the\n> FlexPA_prep.cpp to add the \"cout\" code provided by @Stephanommg\n> in previous reply\n> \n> and rebuilt only the openroad tool.\n> I run 'make' for a sample design, and from the output scripts in terminal,\n> I cannot find any information which is assumed to be produced by 'cout'. I\n> look through the part from '[INFO DRT-0194] start detail routing ...' to\n> '[INFO DRT-0198] complete detail routing', also use \"Ctrl+Shift+F\" to find\n> \"layerNum \", but fail to find any aps information.\n> Am I supposed to run TritonRoute individually to output the message?\n>\n> \u2014\n> You are receiving this because you commented.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"user": "How to interpret the aps info printed by 'cout << bp << \"layerNum \" << ap->getLayerNum() << \"\\n\";'?\r\nIt looks like: ( the first paragraph)\r\n```\r\n( ( ( ( ( 84550 23940 )layerNum 2\r\n( 84550 9025072770 23940 )75810layerNum ( ( ( 86450883502 68590 70490 23940\r\n )239402394023800 )( 23940 )layerNum 23940 )layerNum 272770 )layerNum \r\n( 86450238002layerNum )23940layerNum 23800 )2layerNum \r\n )2\r\n( )\r\n( layerNum 70490 23800layerNum 222\r\n( 90250\r\n )2\r\n( 86450 24080 )68590\r\nlayerNum layerNum ( layerNum ( ( 238002275810 23800\r\n```\r\nIt's not something like (X,Y,Z).\r\nWhich part of code should I read to understand this data structure?\r\nHow can I know the net_id, pin_id, pin_x, pin_y, pin_layer according to this?\r\nThanks.\r\n\r\n"}, {"user": "Thanks.\n\nOn Fri, May 28, 2021 at 5:36 PM Stephanommg ***@***.***>\nwrote:\n\n> By container I meant something that contains things. For instance: a\n> vector.\n>\n> Yes this operator.\n>\n> Learning C++ will surely help you. After that you will be better able to\n> understand the code.\n>\n> I really cant code for you right now, and I think my previous comment\n> already answered you last question (at least in part). You will have to use\n> the first code to iterate the access points in combination with the last\n> code to iterate over the nets.\n>\n> for each net\n> for each instTerm\n> get access points like the 1st code\n> for each term\n> get access points like the 1st code (with differences that it is up to up\n> to check)\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n\n\n-- \nBest,\nRaynchow\n"}, {"assistant": "@Raynchowkw the project has limited resources and I think you need to put in more effort to solve your issues before requesting help. Many of the requests don't require in depth knowledge of OpenRoad and are basic software development skills you need to develop."}, {"assistant": "You can think of a term as a port of a verilog module; an instance as an instance of a verilog module; and an instTerm as the connection point on the instance reflecting the port of the module instantiated."}, {"user": "Hi, After working hard on learning C++, I still have some questions. \r\nOne that blocks my understanding is `pin.get()` etc.\r\nWhat's the `.get()` here and where's its definition? I cannot find one in their class def."}, {"assistant": "No problem\n\nOn Thu, Jun 10, 2021 at 11:48 AM Raynchowkw ***@***.***>\nwrote:\n\n> You need to iterate over the frNets and then iterate over their\n> frInstTerms and frTerms (similar to the for I placed here before). Do this\n> after pin access and before DR. You can do this inf FlexDR::main() before\n> the searchRepair functions. To get all nets, use\n> design_->getTopBlock()->getNets().\n>\n> Hi, I want to dump out aps before TA. I check TritonRoute::main. dr is\n> later than ta. So I want to dump out at the end of FlexPA::main. Would that\n> incur unexpected problems?\n>\n> \u2014\n> You are receiving this because you commented.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"user": "After one week of understanding code, I find the logic of assigning one ap to one pin by this [line](https://github.com/The-OpenROAD-Project/OpenROAD/blob/c27d7cea8981bc917deaaa4d8cc83a6f9285c0e7/src/TritonRoute/src/pa/FlexPA_prep.cpp#L1579) in genInstPattern_commit. \r\nThe code @Stephanommg wrote to get aps is `for (auto& ap : pin->getPinAccess(inst->getPinAccessIdx())->getAccessPoints())`. I had a hard time understanding what frPinAccess is doing. I just find its uses in the [FlexPA::initPinAccess](https://github.com/The-OpenROAD-Project/OpenROAD/blob/c27d7cea8981bc917deaaa4d8cc83a6f9285c0e7/src/TritonRoute/src/pa/FlexPA_init.cpp#L232) in and [FlexPA::prepPoint](https://github.com/The-OpenROAD-Project/OpenROAD/blob/c27d7cea8981bc917deaaa4d8cc83a6f9285c0e7/src/TritonRoute/src/pa/FlexPA_prep.cpp#L1248) in FlexPA::prepPattern and [revertAccessPoints](https://github.com/The-OpenROAD-Project/OpenROAD/blob/c27d7cea8981bc917deaaa4d8cc83a6f9285c0e7/src/TritonRoute/src/pa/FlexPA_prep.cpp#L1455) and [prepPattern_inst](https://github.com/The-OpenROAD-Project/OpenROAD/blob/c27d7cea8981bc917deaaa4d8cc83a6f9285c0e7/src/TritonRoute/src/pa/FlexPA_prep.cpp#L1816). \r\nIt looks like these are before genInstPattern_commit and just for coord manipulation purpose. So I think frPinAccess doesn't filter ap selected for each pin.\r\nSo in order to get ap for each pin in each net, can I do loop through net -> instTerms ->getAccessPoints() ?\r\nI am not sure the meaning of \"net\" and what's relationship between pin and net. Can I understand as this: a net connects some instTerms, each instTerm has some pins, so instTerms' pins are linked to that one net exclusively? Or pins in one instTerm can be linked to \r\ndifferent nets?\r\nThanks."}]}