diff --git "a/data/json/OR-github_issues.txt" "b/data/json/OR-github_issues.txt" new file mode 100644--- /dev/null +++ "b/data/json/OR-github_issues.txt" @@ -0,0 +1,920 @@ +{"num": 4, "messages": [{"user": "Hi I get a couple of problems when trying to build on Ubuntu 18.04\r\n\r\nOn Ubuntu, the TCL headers are in `/usr/include/tcl/tcl.h`, and compilations claim they can't find `tcl.h`. Which is odd, because the output of CMAKE seems to imply that it has found it correctly.\r\n\r\nAlso during a link step, involving pthreads, there was a complaint about a missing DSO file.\r\n\r\nKeep up the good work! "}, {"assistant": "Hi @byzantic,\r\n\r\nThanks for the concern. We are currently in a development-heavy state and focused only on supporting CentOS 7. We may consider compatibility with other OSs at some point in the future, but it is not on our roadmap. We recommend using Docker to build OpenROAD on non-CentOS 7 systems.\r\n\r\nI'll leave this issue open for the time being - if the issue queue becomes too messy, it may be closed until we can consider it in the future."}, {"user": "Sure, I understand - You all look as though you are very busy!\r\n\r\n"}, {"assistant": "I also had same issue on Ubuntu. I used following command to include the TCL include path. \r\n\r\nexport CPLUS_INCLUDE_PATH=$CPLUS_INCLUDE_PATH:/usr/include/tcl\r\n\r\nThen added pthread to Cmake using following patch:\r\n\r\n`diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt\r\nindex c0d5061..f94313a 100644\r\n--- a/src/CMakeLists.txt\r\n+++ b/src/CMakeLists.txt\r\n@@ -187,6 +187,7 @@ target_link_libraries(openroad\r\n lefin\r\n lefout\r\n zutil\r\n+ pthread\r\n ${TCL_LIB}\r\n )`\r\n\r\nNow it compiles on Ubuntu.\r\n\r\n"}, {"assistant": "I was able to build 05e4818 on ubuntu 18.04. Hurry now, this offer may not last!"}, {"user": "Err .. I must have bobbled it somewhere when checking out the develop branch, as I get an error about there being no CMakeLists.txt in Fastroute.\r\n\r\nBut in any case, I'm obviously jumping the gun here .. it's far too early to start thinking about such details! Keep up the good work!"}, {"assistant": "I've run into that issue sometimes. Usually it's caused by not running `git submodule init; git submodule update --recursive`. Git doesn't always seem to recognize the multiple levels of submodules, so you may need to `cd` into `FastRoute` and then update the submodules."}]} +{"num": 40, "messages": [{"user": "Hello,\r\n`auto_place_pins pin_layer` Places pins on a single layer. It should be able to place vertical pins (sides of the die) and horizontal pins (top and bottom of the die) in separate layers."}, {"assistant": "Hi @kareefardi,\r\n\r\nI currently recommend using `io_placer` instead of `auto_place_pins`. Here's an example:\r\n```\r\nio_placer -hor_layer 3 -ver_layer 2\r\n```\r\nNote that `io_placer` uses cell placement information to guide the I/O pin placement. If placement has not yet been run, the `-random` flag is required, which will distribute the pins evenly over the die boundary."}]} +{"num": 44, "messages": [{"user": "If I run openroad within the directory where the executable was built, then the tool starts up successfully. However if I move up one directory, then the tool exits as shown in the transcript below\r\n\r\n````\r\nlogin8.euhpc > openroad\r\n% exit\r\nlogin8.euhpc > cd ..\r\nlogin8.euhpc > openroad\r\nError: could not find FluteLUT files POWV9.dat and POST9.dat.\r\n````\r\n\r\nSeems like the mechanism that the tool uses to find these files should be improved."}, {"user": "If I run the tool using the absolute path to the executable, rather than letting it resolve the location of the executable via the PATH environment variable, then the tool starts up corectly"}, {"assistant": "The `dat` files are generally an annoying part of flute. I think the current workaround is to have symlinks to the `dat` files in the directory you are running in. We need to look into this at some point."}, {"user": "Using symlinks is a horrible hack. There is code that looks for these files in relation to where the executable is found - it should just account for being invoked through the PATH mechanism.\r\n\r\nI plan to keep using an absolute path to call the tool until this gets resolved."}, {"assistant": "It was vastly improved; by me.\r\nBefore openroad every tool was copying the flute files and leaving turds all over the file system.\r\nAt least now it knows to look around for the files relative to the executable.\r\nYou should look at what a mess the alpha-flow is because of it.\r\nUntil you spoke up I seemed to be the only one that thought this was a problem.\r\nThe braziliian team has had the task to fix flute so these files are part of the executable for months based on my request.\r\nI am not going to waste time chasing path variables in the meantime."}, {"assistant": "@Colin-Holehouse there are a ton of hacks floating around right now, this one is comparatively very minor. I'm hoping we can focus on cleaning things up after the January demonstration."}, {"assistant": "So is the workaround for now to call openroad with a full path?\r\n\r\n/usr/local/bin//openroad\r\n"}, {"assistant": "I second James' request! The Flute lookup tables should be embedded in the executable.\r\n\r\n\r\n"}, {"assistant": "Please, check the commit 70552fd40340d5e403ba298499cf25fccc5e7d1a. It embeds the LUTs in the binary, allowing it to be executed in any place."}, {"assistant": "please remove the initFlute function, header and .cc file and just call the\ninit function\nin OpenRoad::init. There is no reason to leave all of that behind.\n\nOn Fri, Jan 31, 2020 at 9:16 AM Eder Monteiro \nwrote:\n\n> Please, check the commit 70552fd\n> .\n> It embeds the LUTs in the binary, allowing it to be executed in any place.\n>\n> \u2014\n> You are receiving this because you commented.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "also remove the .DAT files in /etc (and /etc/ because it will be empty) and\nremove the install target the copies them in the top level cmake file\n\nOn Fri, Jan 31, 2020 at 1:38 PM James Cherry wrote:\n\n> please remove the initFlute function, header and .cc file and just call\n> the init function\n> in OpenRoad::init. There is no reason to leave all of that behind.\n>\n> On Fri, Jan 31, 2020 at 9:16 AM Eder Monteiro \n> wrote:\n>\n>> Please, check the commit 70552fd\n>> .\n>> It embeds the LUTs in the binary, allowing it to be executed in any place.\n>>\n>> \u2014\n>> You are receiving this because you commented.\n>> Reply to this email directly, view it on GitHub\n>> ,\n>> or unsubscribe\n>> \n>> .\n>>\n>\n"}, {"assistant": "@jjcherry56 done. Please, check the last commits of \"[fix/flute_lut](https://github.com/The-OpenROAD-Project/OpenROAD/tree/fix/flute_lut)\" branch"}, {"assistant": "I am sure it is fine. It passes the regressions so any other clean up can\nbe done after it is merged.\nGo ahead and do it. Thanks for following through on this.\n\nOn Fri, Jan 31, 2020 at 3:34 PM Eder Monteiro \nwrote:\n\n> @jjcherry56 done. Please, check the last\n> commits of \"fix/flute_lut\n> \"\n> branch\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "how could you not notice the compiler warning this introduced?\n\n\n/Users/cherry/sta/openroad/src/OpenRoad.cc:96:21: warning: unused parameter\n'prog_arg'\n [-Wunused-parameter]\n const char *prog_arg)\n ^\n1 warning generated.\n\nOn Fri, Jan 31, 2020 at 3:34 PM Eder Monteiro \nwrote:\n\n> @jjcherry56 done. Please, check the last\n> commits of \"fix/flute_lut\n> \"\n> branch\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "Once you merge a branch you should delete it.\nYou guys seem to keep branches around for weeks. Uncool.\n\nOn Sat, Feb 1, 2020 at 2:53 PM James Cherry wrote:\n\n> how could you not notice the compiler warning this introduced?\n>\n>\n> /Users/cherry/sta/openroad/src/OpenRoad.cc:96:21: warning: unused\n> parameter 'prog_arg'\n> [-Wunused-parameter]\n> const char *prog_arg)\n> ^\n> 1 warning generated.\n>\n> On Fri, Jan 31, 2020 at 3:34 PM Eder Monteiro \n> wrote:\n>\n>> @jjcherry56 done. Please, check the last\n>> commits of \"fix/flute_lut\n>> \"\n>> branch\n>>\n>> \u2014\n>> You are receiving this because you were mentioned.\n>> Reply to this email directly, view it on GitHub\n>> ,\n>> or unsubscribe\n>> \n>> .\n>>\n>\n"}, {"assistant": "Branch deleted; warning fixed in the last commit of \"openroad\" branch."}, {"assistant": "Thank you.\nHere's another one\n\n/Users/cherry/sta/openroad/src/TritonCTS/src/DbWrapper.cpp:247:40: warning:\ncomparison of\n two values with different enumeration types ('odb::dbIoType::Value'\nand\n 'Ath__termDirection') [-Wenum-compare]\n if (iterm->getIoType() != INPUT) {\n ~~~~~~~~~~~~~~~~~~ ^ ~~~~~\n1 warning generated.\n\nOn Sat, Feb 1, 2020 at 5:02 PM Eder Monteiro \nwrote:\n\n> Branch deleted; warning fixed in the last commit of \"openroad\" branch.\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "@jjcherry56 I will fix TritonCTS warning."}]} +{"num": 74, "messages": [{"user": "I have attended VLSID2020 in Bangalore, where I build my interest to work on OpenROAD Project.\r\n\r\nI am hardware designer having background of Physical Design and Timing Checks.With the help of Presenters ,I cloned the different packages like OpenRoad, OpeRoadSTA, Yosys for PnR, Timing Analysis and Synthesis respectively.\r\n\r\nI found it little tricky to setup the build environment to start working on project.If this could be resolved it will be easy to go through in further steps.\r\n\r\nCan we have pre-built binaries for all the tool which require to execute frontend and backend flow in VLSI?"}, {"assistant": "Hi @dhavalshukla1512,\r\n\r\nThis repository is currently intended only to build the OpenROAD app from source. For getting started as a user, we recommend using the [OpenROAD-flow](https://github.com/The-OpenROAD-Project/OpenROAD-flow) repository, which eases the build process and contains an example flow to get you up and running quickly."}]} +{"num": 83, "messages": [{"user": "I'm on site for the integration exercise and investigating using the router in a mixed flow with a third-party placer. The placer uses engineering notation (e.g. 1.89e+06 for 1890000) in all the geometry dimensions, and this is causing syntax errors in openroad. If I replace these with the numeric notation, it reads in successfully.\r\n\r\ntest.def:\r\n```def\r\nVERSION 5.8 ;\r\nDIVIDERCHAR \"/\" ;\r\nBUSBITCHARS \"[]\" ;\r\nDESIGN test ;\r\nUNITS DISTANCE MICRONS 1000 ;\r\n\r\nDIEAREA ( 0 0 ) ( 1.89e+06 1.5e+06 ) ;\r\n\r\nEND DESIGN\r\n```\r\n\r\ntest2.def:\r\n```def\r\nVERSION 5.8 ;\r\nDIVIDERCHAR \"/\" ;\r\nBUSBITCHARS \"[]\" ;\r\nDESIGN test2 ;\r\nUNITS DISTANCE MICRONS 1000 ;\r\n\r\nDIEAREA ( 0 0 ) ( 1890000 1500000 ) ;\r\n\r\nEND DESIGN\r\n```\r\n\r\nExample:\r\n```tcl\r\n% read_def test.def\r\nNotice 0: \r\nReading DEF file: test.def\r\nNotice 0: Design: test\r\nNotice 0: error: DEF:7: syntax error, reading 1.89e+06\r\n```\r\n\r\n```tcl\r\n% read_def test2.def\r\nNotice 0: \r\nReading DEF file: test2.def\r\nNotice 0: Design: test2\r\nNotice 0: Finished DEF file: test2.def\r\n```"}, {"assistant": "That isn't legal DEF. Read the last sentence below.\r\n\r\nDie Area\r\n[DIEAREA pt pt [pt] ... ;]\r\nIf two points are defined, specifies two corners of the bounding rectangle for the design. If\r\nmore than two points are defined, specifies the points of a polygon that forms the die area.\r\nThe edges of the polygon must be parallel to the x or y axis (45-degree shapes are not\r\nallowed), and the last point is connected to the first point. All points are integers, specified as\r\nDEF database units."}, {"user": "It seems you are correct. I will file a bug report against the tool generating this notation."}]} +{"num": 96, "messages": [{"user": "For collaboration purposes, I do think it should be possible to build OpenROAD from source. Log file based on following README file below. I am guessing dependancy issues, but there are no extract requirementsgiven, so not sure how to reproduce build.\r\n\r\n-- OpenROAD version: 1.0.1\r\n-- System name: Linux\r\n-- Compiler: GNU 7.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- CXX_FLAGS: \r\n-- Install prefix: /usr/local\r\n-- TCL lib: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- STA version: 2.0.17\r\n-- System name: Linux\r\n-- Compiler: GNU 7.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- STA CXX_FLAGS: -Wall;-Wextra;-pedantic;-Wcast-qual;-Wredundant-decls;-Wformat-security\r\n-- Install prefix: /usr/local\r\n-- CUDD library: not found\r\n-- SSTA: 0\r\n-- TCL lib: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- STA executable: /home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/app/sta\r\n-- ioPlacer\r\n-- /home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/ioPlacer\r\nINFO: RELEASE BUILD\r\n-- Boost version: 1.65.1\r\n-- TCL lib: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found GLPK: /usr/lib/x86_64-linux-gnu/libglpk.so (Required is at least version \"4.33\") \r\n-- Could NOT find ILOG (missing: ILOG_CPLEX_LIBRARY ILOG_CPLEX_INCLUDE_DIR) \r\n-- Could NOT find COIN (missing: COIN_INCLUDE_DIR COIN_CBC_LIBRARY COIN_CBC_SOLVER_LIBRARY COIN_CGL_LIBRARY COIN_CLP_LIBRARY COIN_COIN_UTILS_LIBRARY COIN_OSI_LIBRARY COIN_OSI_CBC_LIBRARY COIN_OSI_CLP_LIBRARY) \r\n-- Could NOT find SOPLEX (missing: SOPLEX_LIBRARY SOPLEX_INCLUDE_DIR) \r\nCMake Error at src/CMakeLists.txt:132 (add_subdirectory):\r\n The source directory\r\n\r\n /home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/FastRoute\r\n\r\n does not contain a CMakeLists.txt file.\r\n\r\n\r\nCMake Error at src/CMakeLists.txt:134 (add_subdirectory):\r\n The source directory\r\n\r\n /home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/TritonMacroPlace\r\n\r\n does not contain a CMakeLists.txt file.\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\nSee also \"/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/build/CMakeFiles/CMakeOutput.log\"."}, {"assistant": "Are you doing this on the 'master' branch? On line 132 I just see a ')' on master (https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/CMakeLists.txt). "}, {"assistant": "This is likely related to the submodules not being cloned. Either the OpenROAD repository has to be freshly cloned with `git clone --recursive` or for each submodule (e.g. each DIRECTORY that says it has no `CMakeLists.txt`) do\r\n```\r\ncd $DIRECTORY\r\ngit submodule init\r\ngit submodule update\r\n```\r\nIn theory `git submodule update --init --recursive` should work, but I think sometimes it doesn't for an unknown reason."}, {"user": "Got further with alpha 2.1.\r\n\r\n```bash\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git --branch alpha2.1\r\nmkdir -p OpenROAD/build\r\ncd OpenROAD/build\r\ncmake ..\r\ncmake ..\r\nmake\r\n```\r\n\r\nTwo potential issues:\r\n\r\n1.) I had to enter \"cmake ..\" twice to be able to make, caching problem?\r\n\r\n2.) Failing on \"tcl.h\", likely due to ubuntu vs centos difference?\r\n\r\nUbuntu path should be : /usr/include/tcl/tcl.h\r\n\r\nCurrent path detected by cmake is: /usr/include/tcl\r\n\r\nNeed to look for type of distro in cmake/file? OpenROAD/cmake/FindTcl.cmake\r\nAnd set accordingly.\r\n\r\n==========================================================\r\n[ 62%] Building CXX object src/dbSta/CMakeFiles/dbSta.dir/dbSta.cc.o\r\ncd /home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/build/src/dbSta && /usr/bin/c++ -DOPENROAD_BUILD -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/include -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/util -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/liberty -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/network -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/graph -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/sdc -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/parasitics -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/dcalc -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/sdf -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/search -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenSTA/app -I/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/OpenDB/include -O3 -DNDEBUG -std=c++11 -o CMakeFiles/dbSta.dir/dbSta.cc.o -c /home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/dbSta/dbSta.cc\r\n/home/aolofsson/work/darpa/IDEA/ucsd-openroad/OpenROAD/src/dbSta/dbSta.cc:17:10: fatal error: tcl.h: No such file or directory\r\n #include \r\n\r\n"}, {"assistant": "```\r\nOpenROAD/build/src/dbSta/dbSta_wrap.cc:195:10: fatal error: tcl.h: No such file or directory\r\n #include \r\n ^~~~~~~\r\ncompilation terminated.\r\nsrc/dbSta/CMakeFiles/dbSta.dir/build.make:146: recipe for target 'src/dbSta/CMakeFiles/dbSta.dir/dbSta_wrap.cc.o' failed\r\nmake[2]: *** [src/dbSta/CMakeFiles/dbSta.dir/dbSta_wrap.cc.o] Error 1\r\nmake[2]: Leaving directory 'OpenROAD/build'\r\nCMakeFiles/Makefile2:1184: recipe for target 'src/dbSta/CMakeFiles/dbSta.dir/all' failed\r\nmake[1]: *** [src/dbSta/CMakeFiles/dbSta.dir/all] Error 2\r\nmake[1]: Leaving directory 'OpenROAD/build'\r\nMakefile:132: recipe for target 'all' failed\r\nmake: *** [all] Error 2\r\n\r\nThe file OpenROAD/build/src/dbSta/dbSta_wrap.cc is autogenerated, a quick peek at it shows the following:\r\n\r\n\r\n/* ----------------------------------------------------------------------------\r\n * This file was automatically generated by SWIG (http://www.swig.org).\r\n * Version 3.0.12\r\n *\r\n * This file is not intended to be easily readable and contains a number of\r\n * coding conventions designed to improve portability and efficiency. Do not make\r\n * changes to this file unless you know what you are doing--modify the SWIG\r\n * interface file instead.\r\n * ----------------------------------------------------------------------------- */\r\n```\r\n\r\n\r\nSo this has to do with how SWIG gets invoked.\r\n\r\nCK"}, {"assistant": "Doing the following\r\n\r\n`git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git`\r\n`mkdir -p OpenROAD/build`\r\n`cd !$`\r\n`cmake ..`\r\n`make -j4`\r\n\r\nWe get:\r\n\r\n`[ 14%] Building CXX object src/dbSta/CMakeFiles/dbSta.dir/dbSta_wrap.cc.o\r\ncd OpenROAD/build/src/dbSta && /usr/bin/c++ -IOpenROAD/include -IOpenROAD/src/OpenSTA/util -IOpenROAD/src/OpenSTA/liberty -IOpenROAD/src/OpenSTA/network -IOpenROAD/src/OpenSTA/graph -IOpenROAD/src/OpenSTA/sdc -IOpenROAD/src/OpenSTA/parasitics -IOpenROAD/src/OpenSTA/dcalc -IOpenROAD/src/OpenSTA/sdf -IOpenROAD/src/OpenSTA/search -IOpenROAD/src/OpenSTA/app -IOpenROAD/src/OpenDB/include -isystem /usr/include/tcl -O3 -DNDEBUG -std=c++11 -o CMakeFiles/dbSta.dir/dbSta_wrap.cc.o -c OpenROAD/build/src/dbSta/dbSta_wrap.cc\r\n[ 14%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiMacro.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiMacro.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiMacro.cpp\r\n[ 15%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiMisc.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiMisc.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiMisc.cpp\r\n[ 15%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiNonDefault.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiNonDefault.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiNonDefault.cpp\r\n[ 15%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiProp.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiProp.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiProp.cpp\r\n[ 15%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiPropType.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiPropType.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiPropType.cpp\r\n[ 15%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiTBExt.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiTBExt.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiTBExt.cpp\r\n[ 16%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiUnits.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiUnits.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiUnits.cpp\r\n[ 16%] Building CXX object src/OpenDB/src/lef56/CMakeFiles/opendblef.dir/lef/lefiVia.cpp.o\r\ncd OpenROAD/build/src/OpenDB/src/lef56 && /usr/bin/c++ -IOpenROAD/src/OpenDB/include/lef56 -IOpenROAD/src/OpenDB/src/lef56/lef -IOpenROAD/build/src/OpenDB/src/lef56 -IOpenROAD/src/OpenDB/src/lef56/. -isystem /usr/include/tcl -O3 -DNDEBUG -fPIC -std=c++11 -o CMakeFiles/opendblef.dir/lef/lefiVia.cpp.o -c OpenROAD/src/OpenDB/src/lef56/lef/lefiVia.cpp\r\n[ 16%] Building CXX object src/resizer/src/CMakeFiles/resizer.dir/SteinerTree.cc.o\r\ncd OpenROAD/build/src/resizer/src && /usr/bin/c++ -IOpenROAD/src/resizer/include -IOpenROAD/include -IOpenROAD/src/OpenSTA/util -IOpenROAD/src/OpenSTA/liberty -IOpenROAD/src/OpenSTA/network -IOpenROAD/src/OpenSTA/graph -IOpenROAD/src/OpenSTA/sdc -IOpenROAD/src/OpenSTA/parasitics -IOpenROAD/src/OpenSTA/dcalc -IOpenROAD/src/OpenSTA/search -IOpenROAD/src/OpenSTA/app -IOpenROAD/src/OpenDB/include -IOpenROAD/src/flute3 -isystem /usr/include/tcl -O3 -DNDEBUG -std=c++11 -o CMakeFiles/resizer.dir/SteinerTree.cc.o -c OpenROAD/src/resizer/src/SteinerTree.cc`\r\n\r\nSo we need the updated CMakefile.txt to be backported to the alpha release.\r\nCK\r\n"}, {"assistant": "Forgot to mention that I am using the following Ubuntu version:\r\n\r\n`Linux machine 4.15.0-72-generic #81-Ubuntu SMP Tue Nov 26 12:20:02 UTC 2019 x86_64 x86_64 x86_64 GNU/Linux`\r\n"}, {"assistant": "Unfortunately there is quite a bit of disinformation in the replies to this issue.\r\nActive development happens in the openroad branch.\r\nUnfortunately, that means that ports that are not the same as the continuous integration\r\nmachine can be inadvertently broken.\r\nThe master branch has not been updated for a long time (too long).\r\nThe README.md file there contains build instructions that work.\r\nI build openroad regularly on an aws instance running ubuntu to find portability issues (there are always new ones).\r\nBecause of your difficulties I updated the master branch to point a recent commit\r\non the openroad branch. You should be able to build master on ubuntu now."}, {"user": "Thank you James!! Build now works for me in Ubuntu 18.04!"}]} +{"num": 102, "messages": [{"user": "Testing main branch build of openroad with test/gcd_flow1.tcl.\r\n(other than that, got through def)\r\n\r\n% read_lef NangateOpenCellLibrary.lef\r\nError: history.tcl, 330 invoked \"return\" outside of a proc.\r\nNotice 0: Reading LEF file: NangateOpenCellLibrary.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: NangateOpenCellLibrary.lef\r\n"}, {"assistant": "I see those from time to time but I don't know why they happen. \r\nhistory.tcl is part of the tcl install.\r\nThe are innocuous at least."}, {"assistant": "I fixed this in the openroad branch with commit 001cab3"}]} +{"num": 103, "messages": [{"user": "I'm using SUSE Linux:\r\n```\r\nNAME=\"SLES\"\r\nVERSION=\"15-SP1\"\r\nVERSION_ID=\"15.1\"\r\nPRETTY_NAME=\"SUSE Linux Enterprise Server 15 SP1\"\r\nID=\"sles\"\r\nID_LIKE=\"suse\"\r\nANSI_COLOR=\"0;32\"\r\nCPE_NAME=\"cpe:/o:suse:sles:15:sp1\"\r\n```\r\n\r\nHere is the CMake output:\r\n```\r\n-- OpenROAD version: 1.0.1\r\n-- OpenROAD git sha: bcc20ec161950770c1b013476ff11ed852434ad0\r\n-- System name: Linux\r\n-- Compiler: Clang 7.0.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: \r\n-- CXX_FLAGS: \r\n-- Install prefix: /usr/local\r\n-- TCL library: /usr/lib64/libtcl8.6.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL library: /usr/lib64/libtcl8.6.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- leflib_home: /home/ec2-user/OpenROAD/src/OpenDB/src/lef\r\n-- STA version: 2.0.17\r\n-- STA git sha: 25bf7b7ac87cd90d82a8e4ee18cf0a59d6e6530c\r\n-- System name: Linux\r\n-- Compiler: Clang 7.0.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: \r\n-- STA CXX_FLAGS: -Wall;-Wextra;-pedantic;-Wcast-qual;-Wredundant-decls;-Wformat-security;-Wno-deprecated-register\r\n-- Install prefix: /usr/local\r\n-- TCL library: /usr/lib64/libtcl8.6.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- CUDD library: not found\r\n-- SSTA: 0\r\n-- STA executable: /home/ec2-user/OpenROAD/src/OpenSTA/app/sta\r\n-- TCL lib: /usr/lib64/libtcl8.6.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- Could NOT find Ghostscript (missing: GHOSTSCRIPT_EXECUTABLE) \r\n-- Could NOT find GLPK (missing: GLPK_LIBRARY GLPK_INCLUDE_DIR GLPK_PROPER_VERSION_FOUND) (Required is at least version \"4.33\")\r\n-- Could NOT find ILOG (missing: ILOG_CPLEX_LIBRARY ILOG_CPLEX_INCLUDE_DIR) \r\n-- Could NOT find COIN (missing: COIN_INCLUDE_DIR COIN_CBC_LIBRARY COIN_CBC_SOLVER_LIBRARY COIN_CGL_LIBRARY COIN_CLP_LIBRARY COIN_COIN_UTILS_LIBRARY COIN_OSI_LIBRARY COIN_OSI_CBC_LIBRARY COIN_OSI_CLP_LIBRARY) \r\n-- Could NOT find SOPLEX (missing: SOPLEX_LIBRARY SOPLEX_INCLUDE_DIR) \r\n-- TCL library: /usr/lib64/libtcl8.6.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- ABKCommon_home: /home/ec2-user/OpenROAD/src/TritonMacroPlace/module/ABKCommon\r\n-- ParquetFP_home: /home/ec2-user/OpenROAD/src/TritonMacroPlace/module/ParquetFP\r\n-- TCL library: /usr/lib64/libtcl8.6.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /home/ec2-user/OpenROAD/build\r\n```\r\n\r\nI get the following error\r\n```\r\n/home/ec2-user/OpenROAD/src/OpenRCX/src/ext.cpp:45:36: error: cannot define or redeclare '_module' here because namespace 'OpenRCX' does not enclose namespace\r\n 'ZTechModule'\r\nconst char* odb::ZTechModule::_module = nullptr;\r\n```\r\n\r\nI'm attaching the full `make` output.\r\n[make.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/4113500/make.log)\r\n"}, {"assistant": "Please try again with the latest head of openroad."}, {"user": "The head of `openroad` branch gives this error:\r\n```\r\n[ 0%] Building CXX object src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o\r\ncd /home/ec2-user/OpenROAD/build/src && /usr/bin/clang++ -DOPENROAD_BUILD -O3 -DNDEBUG -std=c++11 -o CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o -c /home/ec2-user/OpenROAD/build/src/OpenRoadTCL_wrap.cxx\r\n/home/ec2-user/OpenROAD/build/src/OpenRoadTCL_wrap.cxx:1700:10: fatal error: 'opendb/db.h' file not found\r\n#include \"opendb/db.h\"\r\n ^~~~~~~~~~~~~\r\n1 error generated.\r\nmake[2]: *** [src/CMakeFiles/openroad_swig.dir/build.make:72: src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx.o] Error 1\r\nmake[2]: Leaving directory '/home/ec2-user/OpenROAD/build'\r\nmake[1]: *** [CMakeFiles/Makefile2:135: src/CMakeFiles/openroad_swig.dir/all] Error 2\r\n```"}, {"user": "Issue resolved. "}]} +{"num": 108, "messages": [{"user": "I tried today to do a git clone of the repo according to the instructions, but part way through I got the error:\r\n\r\nerror: Server does not allow request for unadvertised object 10e8a5378637808ddaa1c313158e39d587c9bc38\r\nFetched in submodule path 'src/opendp', but it did not contain 10e8a5378637808ddaa1c313158e39d587c9bc38. Direct fetching of that commit failed.\r\n\r\nAny ideas?"}, {"assistant": "I see this issue too. It appears that the submodule `opendp` is set to track from branch `openroad` which has just been deleted. The-OpenROAD-Project/OpenDP@10e8a53 still exists but git throws an error because it is not part of the branch it is expecting.\r\n\r\nCan someone update the opendp submodule to track the correct branch? @maliberty @jjcherry56 ?"}, {"assistant": "This is a GitHub issue that doesn't allow you to clone commits that are not included in a public branch.\r\n\r\nA quick solution is to push a branch to the **OpenDP repo** pointing to 10e8a53 commit."}, {"assistant": "Opendp was a submodule but now is part of the OpenROAD repo. Git doesn't seem to be very smart about this case but I found just removing src/opendp and running git pull works (assuming you don't have any changes in opendp you want to keep)."}, {"assistant": "Matt, that doesn't work if you can't clone the repo.\r\nEven removing the branch in the .gitmodules failled.\r\nI just moved master to the lastest openroad commit and it works now."}]} +{"num": 109, "messages": [{"user": "Thanks for the prompt response on the git clone problem.\r\n\r\nI am still attempting to compile from source. On doing cmake, I get complaints about not finding these dependencies, which are not mentioned in the dependency list in the README file:\r\n\r\nGLPK, ILOG, COIN, SOPLEX\r\n\r\nFor the first one, I tried \"apt install libglpk-dev\" but got back:\r\n libglpk-dev : Depends: libgmp-dev but it is not going to be installed\r\n Depends: libsuitesparse-dev but it is not going to be installed\r\n\r\nThe others do not appear to be things that can be obtained using yum or apt.\r\nThoughts?\r\n---Tim"}, {"assistant": "All four dependencies are optional dependencies of [lemon](https://lemon.cs.elte.hu/trac/lemon), a library used for TritonCTS. You can find more details in the [INSTALL](https://github.com/The-OpenROAD-Project/OpenROAD/blob/26437d70f094abf564317c25803fd93a80f6dcc0/src/TritonCTS/src/third_party/lemon/INSTALL) file.\r\n\r\nThey should not be required to install in order to run TritonCTS. You can take a look at the [Dockerfile](https://github.com/The-OpenROAD-Project/OpenROAD/blob/26437d70f094abf564317c25803fd93a80f6dcc0/Dockerfile) to view all of the dependencies we install."}, {"assistant": "cmake just let you know that those optional dependencies have not being found, please consider it as a warning, not an error. You should see the message \"-- Build files have been written to:....\" at the end of cmake execution, confirming that cmake finished its execution without errors. "}, {"user": "Okay, got it. Is there any way to make the message from cmake less dire-sounding?"}, {"assistant": "Hi, @RTimothyEdwards. I'll remove that checks since we are not using those packages anyway. Sorry for the inconvenience. "}, {"user": "Not a problem. Thanks for the explanations and patience. I have built bits and pieces of OpenROAD back during the early development stages, so I had come to expect compile problems, but I have to say that was an amazingly smooth and clean compile. I'm impressed with how far you've taken it. Now it's time to learn how to use it properly. . ."}]} +{"num": 114, "messages": [{"user": "Hello,\r\n\r\nI was trying initialize floorplan and specifying `-core_area` and `-die_area`. Leading to a floorplan with 0 rows and invalid diearea `DIEAREA ( -2147483648 -2147483648 ) ( 0 0 ) ;`. I narrowed it down to possible integer overflow while carrying out operations in `metersToMfgGrid` as follows: https://github.com/The-OpenROAD-Project/OpenROAD/blob/eec88b45b938e8cff79d7701311546d9f7a49523/src/InitFloorplan.cc#L603\r\n\r\nThanks"}, {"assistant": "what were the command args? ie, where is the testcase?"}, {"assistant": "I suspect the issue is that no liberty file had been read before init_floorplan so the units were not defined and so your 100 microns was interpreted as 100 meters. I only know this because I tripped across the same issue. I added a check to make sure there were valid units defined before init_floorplan."}, {"user": "Works fine after reading a liberty file, didn't think of that as the liberty has no units for distance"}]} +{"num": 117, "messages": [{"user": "This is an error in the README.md file \r\nThe original reg1.def **WILL BE** overwritten when issuing the write_db reg1.def command ?\r\nShould it not be \r\n\r\n```\r\nwrite_db reg1.db \r\n```\r\n\r\nAlso just spotted typo incorrect spelling is used - technogy in snippet below:\r\n\r\n```\r\nThe read_lef and read_def commands can be used to build an OpenDB database as shown below. The read_lef -tech flag reads the technology portion of a LEF file. The read_lef -library flag reads the MACROs in the LEF file. If neither of the -tech and -library flags are specified they default to -tech -library if no technology has been read and -library if a technogy exists in the database.\r\n\r\nread_lef liberty1.lef\r\nread_def reg1.def\r\n# Write the db for future runs.\r\nwrite_db reg1.def\r\n\r\nThe read_verilog command is used to build an OpenDB database as shown below. Multiple verilog files for a hierarchical design can be read. The link_design command is used to flatten the design and make a database.\r\n\r\nread_lef liberty1.lef\r\nread_verilog reg1.v\r\nlink_design top\r\n# Write the db for future runs.\r\nwrite_db reg1.db\r\n\r\n```"}, {"assistant": "fixed in e25e3ef readme typos"}]} +{"num": 123, "messages": [{"user": "Hello,\r\n\r\nCurrently, the floorplanner produces the same set of tracks regardless of the die area definition based on the tracks file. This causes issues with unconventional definitions of the die area (e.g., not starting at ( 0 0 )). (see this).\r\n\r\nAren't the tracks supposed to follow the die area origin instead of assuming it starts at 0,0? Or were such cases intended to be handled by the tracks file; for example, by manually adding the required shifts there.\r\n\r\nThanks."}, {"assistant": "fixed by 7d06a44 init_fp offset tracks by die origin"}]} +{"num": 126, "messages": [{"user": "Hello,\r\nOpendp places cells over tapcells in the middle of the floorplan. It seems that providing the same input parameters (def and lef) to opendp that a legacy version of opendp doesn't produce the same wrong behavior (specifically this version https://github.com/sanggido/OpenDP).\r\nThank you"}, {"assistant": "no test case, no response"}, {"user": "@jjcherry56 Sorry should have mentioned this. Would you please check with @tspyrou for a private repo for the test case "}, {"assistant": "roger. happy to look at it if there is a test case"}, {"assistant": "I have the testcase now. Can you point me to a specific instance that is problematic? I don't have any graphics to make it obvious."}, {"user": "Hello, these are the cells that are reported overlapping from opendp. Is that what you are asking for? I can provide screenshots if needed\r\n```\r\noverlap_check ==> FAIL ( cell PHY_72 overlaps _757_ ) ( 83860, 20360 )\r\noverlap_check ==> FAIL ( cell PHY_73 overlaps _582_ ) ( 43840, 23760 )\r\noverlap_check ==> FAIL ( cell PHY_76 overlaps _576_ ) ( 83860, 33960 )\r\noverlap_check ==> FAIL ( cell PHY_83 overlaps _490_ ) ( 43840, 57760 )\r\noverlap_check ==> FAIL ( cell PHY_92 overlaps _457_ ) ( 83860, 88360 )\r\noverlap_check ==> FAIL ( cell PHY_93 overlaps _733_ ) ( 43840, 91760 )\r\noverlap_check ==> FAIL ( cell PHY_95 overlaps _476_ ) ( 43840, 98560 )\r\noverlap_check ==> FAIL ( cell PHY_96 overlaps _467_ ) ( 83860, 101960 )\r\n```"}, {"assistant": "That's exactly what I'm looking for.\n\n\nOn Mon, Feb 24, 2020 at 2:35 PM kareefardi wrote:\n\n> Hello, these are the cells that are reported overlapping from opendp. Is\n> that what you are asking for? I can provide screenshots if needed\n>\n> overlap_check ==> FAIL ( cell PHY_72 overlaps _757_ ) ( 83860, 20360 )\n> overlap_check ==> FAIL ( cell PHY_73 overlaps _582_ ) ( 43840, 23760 )\n> overlap_check ==> FAIL ( cell PHY_76 overlaps _576_ ) ( 83860, 33960 )\n> overlap_check ==> FAIL ( cell PHY_83 overlaps _490_ ) ( 43840, 57760 )\n> overlap_check ==> FAIL ( cell PHY_92 overlaps _457_ ) ( 83860, 88360 )\n> overlap_check ==> FAIL ( cell PHY_93 overlaps _733_ ) ( 43840, 91760 )\n> overlap_check ==> FAIL ( cell PHY_95 overlaps _476_ ) ( 43840, 98560 )\n> overlap_check ==> FAIL ( cell PHY_96 overlaps _467_ ) ( 83860, 101960 )\n>\n> \u2014\n> You are receiving this because you modified the open/close state.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "How did you get that report?\nWhen I run the stand alone opendp it aborts because there are no\npower/ground nets defined.\nI can only get that result if I remove the exit and recompile.\n\nOn Mon, Feb 24, 2020 at 4:00 PM James Cherry wrote:\n\n> That's exactly what I'm looking for.\n>\n>\n> On Mon, Feb 24, 2020 at 2:35 PM kareefardi \n> wrote:\n>\n>> Hello, these are the cells that are reported overlapping from opendp. Is\n>> that what you are asking for? I can provide screenshots if needed\n>>\n>> overlap_check ==> FAIL ( cell PHY_72 overlaps _757_ ) ( 83860, 20360 )\n>> overlap_check ==> FAIL ( cell PHY_73 overlaps _582_ ) ( 43840, 23760 )\n>> overlap_check ==> FAIL ( cell PHY_76 overlaps _576_ ) ( 83860, 33960 )\n>> overlap_check ==> FAIL ( cell PHY_83 overlaps _490_ ) ( 43840, 57760 )\n>> overlap_check ==> FAIL ( cell PHY_92 overlaps _457_ ) ( 83860, 88360 )\n>> overlap_check ==> FAIL ( cell PHY_93 overlaps _733_ ) ( 43840, 91760 )\n>> overlap_check ==> FAIL ( cell PHY_95 overlaps _476_ ) ( 43840, 98560 )\n>> overlap_check ==> FAIL ( cell PHY_96 overlaps _467_ ) ( 83860, 101960 )\n>>\n>> \u2014\n>> You are receiving this because you modified the open/close state.\n>> Reply to this email directly, view it on GitHub\n>> ,\n>> or unsubscribe\n>> \n>> .\n>>\n>\n"}, {"assistant": "fixed by f510c1a opendp issue 126"}, {"user": "@jjcherry56 Thanks for the effort. I tried it and they don't overlap now. However, The gap between the tap cell and the neighboring cells doesn't seem to respect the min cell/site width (0.46 in this case). I attached a screenshot describing that. Same test case as before. This specific cell is \"PHY_92\" among others like PYH_89 and PHY_68. If you would like, I can open another issue.\r\n![Screenshot from 2020-02-25 18-23-32](https://user-images.githubusercontent.com/12303699/75267079-f2cab480-57fb-11ea-843b-e6c85df00334.png)\r\n\r\nEdit:\r\nI just tried out standalone opendp that's referenced above and it seems to have the same behavior. So my initial guess is that the tapcells are inserted in locations that aren't aligned with sites. They were inserted using openroad app as well. I will check if I misused the api somehow"}, {"assistant": "Excuse our ignorance, but where is the gap specified? I think I know how to\naddress it, but I can't if I don't know what the rules are. I'm asking on\nthis end also\n\nOn Tue, Feb 25, 2020 at 8:53 AM kareefardi wrote:\n\n> @jjcherry56 Thanks for the effort. I\n> tried it and they don't overlap now. However, The gap between the tap cell\n> and the neighboring cells doesn't seem to respect the min cell/site width\n> (0.46 in this case). I attached a screenshot describing that. Same test\n> case as before. This specific cell is \"PHY_92\" among others like PYH_89 and\n> PHY_68. If you would like, I can open another issue.\n> [image: Screenshot from 2020-02-25 18-23-32]\n> \n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"user": "If by gap you are referring to the 0.46, then that's the site width defined in the lef.\r\n\r\nBut on further inspection of the test case, I noticed that tapcells are misaligned. For instance, in this row:\r\n```\r\nROW ROW_0 3360 3360 FS DO 248 BY 1 STEP 460 0 ;\r\n``` \r\nThere is this tapcell:\r\n```\r\nPHY_66 + FIXED ( 43700 3360 ) FS ;\r\n```\r\nSince 43700-3360 is not a multiple of 460 (the site width), I think this misalignment will cause issues with having weird gaps between cells, which I do not believe is opendp's fault.\r\n\r\nI do wonder however if the above case should be flagged by opendp in one of it's checks."}, {"assistant": "To my knowledge there is no requirement for fixed placed cells to be\naligned with the rows.\n\nHowever, the fact that the tapcells are not on the grid is a problem, but\nnot an opendp issue.\nApparently tapcell is supposed to respect the rows when placing the tap\ncells and is not.\nI suggest you file a different issue against tapcell with a testcase\nshowing exactly how you added them.\n\nOn Tue, Feb 25, 2020 at 3:36 PM kareefardi wrote:\n\n> If by gap you are referring to the 0.46, then that's the site width\n> defined in the lef.\n>\n> But on further inspection of the test case, I noticed that tapcells are\n> misaligned. For instance, in this row:\n>\n> ROW ROW_0 3360 3360 FS DO 248 BY 1 STEP 460 0 ;\n>\n> There is this tapcell:\n>\n> PHY_66 + FIXED ( 43700 3360 ) FS ;\n>\n> Since 43700-3360 is not a multiple of 460 (the site width), I think this\n> misalignment will cause issues with having weird gaps between cells, which\n> I do not believe is opendp's fault.\n>\n> I do wonder however if the above case should be flagged by opendp in one\n> of it's checks.\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"user": "Alright, thanks a lot for your help."}, {"assistant": "Hi, @kareefardi. You can find an example script for tapcell here: https://github.com/The-OpenROAD-Project/OpenROAD/blob/openroad/src/tapcell/etc/scripts/example_45nm.tcl.\r\n\r\nThis should be enough to have a properly tapcell insertion."}]} +{"num": 134, "messages": [{"user": "Hello,\r\n\r\nSo the LEF/DEF reference defines the VIAS section in a DEF file as follows:\r\n\r\n```\r\n[VIAS numVias ; \r\n[\u2013 viaName \r\n [ + VIARULE viaRuleName \r\n + CUTSIZE xSize ySize \r\n + LAYERS botmetalLayer cutLayer topMetalLayer \r\n + CUTSPACING xCutSpacing yCutSpacing \r\n + ENCLOSURE xBotEnc yBotEnc xTopEnc yTopEnc \r\n [+ ROWCOL numCutRows NumCutCols] \r\n [+ ORIGIN xOffset yOffset] \r\n [+ OFFSET xBotOffset yBotOffset xTopOffset yTopOffset] \r\n [+ PATTERN cutPattern] ] \r\n | [ + RECT layerName pt pt | + POLYGON layerName pt pt pt] ...] \r\n;] ... \r\nEND VIAS]\r\n```\r\nIn the golden [file](https://github.com/The-OpenROAD-Project/OpenROAD/blob/484b8f0834d4fac5c5d49b6fb956b858f4589e8f/src/pdngen/test/gcd/gcd_pdn.check#L85) you're using, the order of layers in the VIARULEs is bot-top-cut.\r\n\r\nI have checked the source code and it seems that pdngen tries to output them in the right order (?), but I haven't dug deeper to see if this traces back to a problem with parsing.\r\n\r\nThanks.\r\n"}, {"assistant": "Hi,\r\n\r\nthe file you are referencing is not used as the golden reference \u2013 the file test_gcd.defok is the golden reference at the next level up.\r\nThe order of the layers in the VIAs for this file in the openroad branch is correct \u2013 the master will get updated from here in due course.\r\nThe existence of the gcd_pdn.check file is confusing, I will remove it.\r\n\r\nThanks.\r\n"}, {"user": "Ah, alright; I just wanted to point out the issue on master using that file but wasn't aware it was fixed on the `openroad` branch.\r\n\r\nThanks!"}]} +{"num": 136, "messages": [{"user": "Hi,\r\n\r\nNot really an issue but it seems that I can't access command history through the up/down arrows. My regular tclsh/wish shells have access to it through a specific ~/.tclshrc file but apparently the build-in tcl shell does not use it. Maybe there is an alternate solution available or my build of OpenRoad is not supporting it because of some missign configuration ?"}, {"assistant": "Its on my todo list but never quite reaches the top. Its not automatic in tcl and I haven't quite found the magic to enable it."}, {"assistant": "as an emacs user I have no need for it (all shell windows have much better editing than tclreadline provides). there is an outstanding jira task to address it"}, {"assistant": "Matt,\r\n\r\nI have a basic tclreadline enabled on the most recent commit to the openroad branch (7ff2b23) that we are using at ARL.\r\nI've attached the patch as a zip file [0001-Adding-tclreadline-init-to-OpenROAD.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/4307581/0001-Adding-tclreadline-init-to-OpenROAD.zip)\r\n\r\nI tried to keep the changes to a minimum, so it should be relatively easy to verify/see the changes.\r\nPlease reach out to me if you have any issues.\r\n\r\nThis patch basically enables tab completion of proc names (but not options) and filenames, basic stuff."}, {"assistant": "I've received your patch. I find that for my local system TCL_LIB_PATHS is empty and so tclreadline isn't found. I'll have to spend a bit of time to see why it isn't working for me. What platform did it work for you on?"}, {"assistant": "I ran it on RHEL6, but had to first install the \"tcl-tclreadline*\" package with yum. The tcl-tclreadline library is located at /usr/lib64/tcl8.5/ (on RHEL6 on the TSS). Thanks for taking a look at it.\r\nIt's possible I did not configure the CMake file correctly in FindTCL.cmake and I'm not as familiar with CMake (especially the find_library command)."}, {"assistant": "Looking through the FindTCL.cmake file, It might be more appropriate to use the TCL_LIB_DIR instead of the TCL_LIB_PATHS (which does appear to have a chance of being empty)."}, {"assistant": "I get this error from cmake with your patch:\r\n\r\nCMake Error: The following variables are used in this project, but they are set to NOTFOUND.\r\nPlease set them or make sure they are set and tested correctly in the CMake files:\r\nTCL_READLINE_LIBRARY\r\n\r\nI don't see where you have defined TCL_READLINE_LIBRARY. Is something missing from the patch?"}, {"assistant": "This has been integrated into openroad with some minor changes (https://github.com/The-OpenROAD-Project/OpenROAD/commit/1635259381e9534fa0bc0368b8616ce391fb53cf).\r\n\r\nThanks for the contribution. It is on the 'openroad' branch which is the development head."}]} +{"num": 138, "messages": [{"user": "Hi James, \r\n\r\nThe set_placement_padding command with '-global -left 30' generated the seg fault. \r\nCould you check the attached testcase?\r\n\r\n[bug01.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/4303839/bug01.tar.gz)\r\n\r\nI have used the following commit in OpenROAD repo:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/commit/4187ce74b7f7dccb126f9870383511eca24132b5\r\n\r\nKinds,\r\nSeungwon Kim"}, {"assistant": "fixed in 94b3848 opendp issue 138"}, {"assistant": "In the future, please to not copy files from the test directory and rename them. I reuse files in the test directory and do not want to have multiple copies of the same data. I shouldn't have to guess and diff to find your def is the same as one that was already there."}, {"user": "I see, I'll be more careful in future. Thank you for your response."}]} +{"num": 139, "messages": [{"user": "\r\nIf filler insertion is performed after detailed placement with padding, the empty space of DEF due to padding will not be filled.\r\nThe padded cells should eventually be returned to their original size, so I think the filler should be filled between the cells.\r\n\r\nI tested it by adding functions based on low_util01.tcl.\r\n```source helpers.tcl\r\nread_lef Nangate45.lef\r\nread_def nangate45-bench/gcd/gcd_replace.def\r\nset_placement_padding -global -left 20 -right 20\r\ndetailed_placement\r\nfiller_placement FILL*\r\ncheck_placement\r\n\r\nset def_file [make_result_file low_util01.def]\r\nwrite_def $def_file\r\ndiff_file $def_file low_util01.defok\r\n```\r\n\r\nBelow is the test result figure.\r\n![padding_filler](https://user-images.githubusercontent.com/61943381/76167386-71d8ba80-6123-11ea-9e53-a893a093ca92.png)\r\n\r\nThanks,"}, {"user": "I put padding back to (0 0) just before the filler cell insertion command and it works fine.\r\n\r\nI am sorry for bothering.\r\n\r\n![padding_filler2](https://user-images.githubusercontent.com/61943381/76167767-6cc93a80-6126-11ea-8fcb-aff37d4f6c63.png)\r\n\r\nps. I wonder if the slightly empty center in the middle is the intended result.\r\nThanks"}]} +{"num": 140, "messages": [{"user": "\r\n![padding_filler](https://user-images.githubusercontent.com/61943381/76171229-22f04c80-6146-11ea-9692-39afcb4b2c9f.png)\r\n![padding_filler2](https://user-images.githubusercontent.com/61943381/76171233-2683d380-6146-11ea-8687-8a8d64eac840.png)\r\n\r\nThe filler_placement command with set_placement_padding generated overlapped placement result.\r\n\r\nThere are overlapped cells after OpenDP.\r\nI attached Innovus checkPlace results that contains a list of overlapped cells (bug02_result.rpt).\r\n\r\nCould you check the attached testcase?\r\n\r\n[bug02.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/4303858/bug02.tar.gz)\r\n\r\nI used the following commit in OpenROAD repo:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/commit/4187ce74b7f7dccb126f9870383511eca24132b5\r\n\r\nThanks,"}, {"assistant": "what happens if you remove the second contradictory call to set_placement_padding?\r\nit make absolutely no sense to change the padding mid-flow"}, {"assistant": "I see. It isn't filling the padding sites so you were trying a work around.\r\nI think the real issue is not filling the padding."}, {"assistant": "Try it now (after commit 3150c4e). Thanks for the test case."}, {"user": "I confirmed that it works fine. Thank you very much."}]} +{"num": 151, "messages": [{"user": "Hello,\r\n\r\nUsing tapcell tool to insert tapcells and decaps cells, depending on the input distance and the design size, can lead to overlapping tapcells with the right decap column. Please check with @tspyrou for a private repo for a test case. \r\n\r\nThanks"}, {"assistant": "Eder, thanks for looking into this. I am talking to Kareem now and he was happy to see you assigned it to yourself."}, {"assistant": "Hi, @kareefardi.\r\n\r\nI've updated tapcell at this [branch](https://github.com/The-OpenROAD-Project/OpenROAD/tree/fix/tapcell_overlap). It should not create overlaps anymore when using the script for 45nm.\r\nThe change for 14nm will come up soon.\r\n\r\nThanks."}, {"assistant": "This branch has been integrated and this issue should be resolved now."}]} +{"num": 154, "messages": [{"user": "Hello,\r\n\r\nIt seems that tritonMacroplace depends on defining all the design cells and macros in a .lib and needs to be read using `read_liberty`. Otherwise, it crashes after outputting `Generating Sequantial Graph...`. To replicate this, simply omit one of the read lib in tritonMacroplace test cases. \r\n\r\nThanks"}, {"assistant": "Let's start with a good error message versus a crash."}, {"assistant": "As I understand it, this issue should be resolved by #336"}]} +{"num": 159, "messages": [{"user": "OpenROAD is crashing while starting FastRoute for https://github.com/tamimcse/test/blob/master/top.v. The Verilog is generated using Bambu HLS. The OpenROAD script is https://github.com/tamimcse/test/blob/master/bash_script.sh. The output is as following: You will see the crash at the very end.\r\n\r\n```\r\n.....\r\n......\r\nyosys> opt_clean -purge\r\n\r\nExecuting OPT_CLEAN pass (remove unused cells and wires).\r\nFinding unused cells or wires in module _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy..\r\nRemoved 96 unused cells and 9494 unused wires.\r\n\r\ny_typical.lib iberty /opt/panda/share/panda//nangate45/lib/NangateOpenCellLibrary\r\n\r\nPrinting statistics.\r\n=== _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy ===\r\n\r\nNumber of wires: 20066\r\nNumber of wire bits: 21196\r\nNumber of public wires: 2469\r\nNumber of public wire bits: 3599\r\nNumber of memories: 0\r\nNumber of memory bits: 0\r\nNumber of processes: 0\r\nNumber of cells: 18580\r\nAND2_X1 1925\r\nAND2_X2 49\r\nAND2_X4 45\r\nAND3_X1 488\r\nAND3_X2 3\r\nAND3_X4 6\r\nAND4_X1 120\r\nAND4_X2 3\r\nAND4_X4 3\r\nAOI211_X1 272\r\nAOI211_X2 3\r\nAOI21_X1 882\r\nAOI21_X2 3\r\nAOI221_X1 1\r\nAOI221_X2 2\r\nAOI221_X4 33\r\nAOI22_X1 22\r\nBUF_X1 4070\r\nBUF_X16 2\r\nBUF_X2 115\r\nBUF_X4 273\r\nBUF_X8 5\r\nCLKBUF_X2 58\r\nCLKBUF_X3 3\r\nDFF_X1 1514\r\nINV_X1 807\r\nINV_X2 18\r\nINV_X32 5\r\nINV_X4 6\r\nLOGIC0_X1 1\r\nMUX2_X1 1143\r\nMUX2_X2 4\r\nNAND2_X1 1288\r\nNAND2_X2 3\r\nNAND2_X4 1\r\nNAND3_X1 451\r\nNAND3_X2 1\r\nNAND4_X1 84\r\nNAND4_X2 1\r\nNOR2_X1 1262\r\nNOR2_X2 11\r\nNOR2_X4 9\r\nNOR3_X1 335\r\nNOR3_X2 1\r\nNOR3_X4 1\r\nNOR4_X1 58\r\nNOR4_X2 2\r\nNOR4_X4 2\r\nOAI211_X1 356\r\nOAI211_X2 3\r\nOAI21_X1 615\r\nOAI21_X2 1\r\nOAI221_X1 44\r\nOAI22_X1 30\r\nOAI22_X2 1\r\nOR2_X1 228\r\nOR2_X2 5\r\nOR2_X4 2\r\nOR3_X1 236\r\nOR4_X1 111\r\nOR4_X2 1\r\nXNOR2_X1 668\r\nXOR2_X1 873\r\nXOR2_X2 12\r\n\r\nChip area for module '_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy': 25630.164000\r\n\r\nS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy_mapped.vPhPtS_PjS_S1_S_S1_S_S1\r\n21. Executing Verilog backend.\r\nDumping module `_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy'.\r\n\r\nyosys> exit\r\n\r\nWarnings: 8 unique messages, 72 total\r\nEnd of script. Logfile hash: 9dd2f6b66b, CPU: user 35.81s system 0.18s, MEM: 104.99 MB peak\r\nYosys 0.9+1706 (git sha1 b7419544, gcc 7.4.0-1ubuntu1~18.04.1 -fPIC -Os)\r\nTime spent: 28% 30x opt_clean (9 sec), 17% 25x opt_merge (6 sec), ...\r\nOpenROAD 1.1.0 484b8f0\r\nLicense GPLv3: GNU GPL version 3 http://gnu.org/licenses/gpl.html\r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged.lef\r\nStartpoint: 34756 (rising edge-triggered flip-flop clocked by clock)\r\nEndpoint: 36070 (rising edge-triggered flip-flop clocked by clock)\r\nPath Group: clock\r\nPath Type: max\r\n\r\nDelay Time Description\r\n0.00 0.00 clock clock (rise edge)\r\n0.00 0.00 clock network delay (ideal)\r\n0.00 0.00 ^ 34756/CK (DFF_X1)\r\n0.08 0.08 ^ 34756/Q (DFF_X1)\r\n0.17 0.26 ^ 30643/Z (BUF_X1)\r\n0.02 0.28 v 17612/ZN (NOR2_X4)\r\n0.05 0.33 v 17614/ZN (AND2_X4)\r\n0.03 0.36 ^ 17703/ZN (NOR2_X2)\r\n0.02 0.38 v 17719/ZN (INV_X1)\r\n0.05 0.43 ^ 17720/ZN (AOI221_X2)\r\n0.04 0.47 ^ 17721/ZN (AND2_X2)\r\n0.03 0.50 ^ 17727/ZN (AND2_X4)\r\n0.03 0.53 ^ 17749/ZN (AND2_X4)\r\n0.03 0.56 ^ 17750/Z (BUF_X4)\r\n0.04 0.59 ^ 21064/ZN (AND3_X4)\r\n0.04 0.64 ^ 21069/ZN (AND3_X2)\r\n0.04 0.67 ^ 21345/ZN (AND2_X4)\r\n0.03 0.70 ^ 21451/Z (BUF_X8)\r\n0.06 0.76 v 21452/Z (MUX2_X1)\r\n0.03 0.79 v 21453/ZN (AND2_X1)\r\n0.06 0.85 ^ 21456/ZN (AOI211_X2)\r\n0.01 0.86 v 21467/ZN (NOR3_X1)\r\n0.04 0.90 v 21487/ZN (OR2_X1)\r\n0.06 0.96 v 21488/Z (MUX2_X1)\r\n0.03 0.98 v 32559/Z (BUF_X1)\r\n0.00 0.98 v 36070/D (DFF_X1)\r\n0.98 data arrival time\r\n\r\n1.00 1.00 clock clock (rise edge)\r\n0.00 1.00 clock network delay (ideal)\r\n0.00 1.00 clock reconvergence pessimism\r\n1.00 ^ 36070/CK (DFF_X1)\r\n-0.04 0.96 library setup time\r\n0.96 data required time\r\n0.96 data required time\r\n-0.98 data arrival time\r\n-0.02 slack (VIOLATED)\r\nDesign area 102521 u^2 100% utilization.\r\nInfo: Added 428 rows of 3158 sites.\r\nWARNING: force pin spread option has no effect when using random pin placement\r\n\r\nRunning IO placement\r\n\r\nNum of slots 7698\r\nNum of I/O 1173\r\nNum of I/O w/sink 1130\r\nNum of I/O w/o sink 43\r\nSlots Per Section 200\r\nSlots Increase Factor 0.01\r\nUsage Per Section 0.8\r\nUsage Increase Factor 0.01\r\nForce Pin Spread 1\r\nWARNING: running random pin placement\r\nRandomMode Even\r\n\r\nIO placement done.\r\nOpenROAD 1.1.0 484b8f0\r\nLicense GPLv3: GNU GPL version 3 http://gnu.org/licenses/gpl.html\r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0:\r\nReading DEF file: OpenROAD_results/2_2_floorplan_io.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 18580 components and 95604 component-terminals.\r\nNotice 0: Created 21186 nets and 58444 connections.\r\nNotice 0: Finished DEF file: OpenROAD_results/2_2_floorplan_io.def\r\ninvalid command name \"STEP 3: Timing Driven Mixed Sized Placement\"\r\nNo macros found: Skipping global_placement\r\nfixIoPins.py : Fixing Pins in Def file\r\nReplacements made - West:337 South:249 East:338 North:249\r\nfixIoPins.py : Finished\r\nOpenROAD 1.1.0 484b8f0\r\nLicense GPLv3: GNU GPL version 3 http://gnu.org/licenses/gpl.html\r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0:\r\nReading DEF file: OpenROAD_results/2_3_floorplan_tdms.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 18580 components and 95604 component-terminals.\r\nNotice 0: Created 21186 nets and 58444 connections.\r\nNotice 0: Finished DEF file: OpenROAD_results/2_3_floorplan_tdms.def\r\nNo macros found: Skipping macro_placement\r\n##Power Delivery Network Generator: Generating PDN\r\n\r\nconfig: /opt/panda/share/panda//nangate45/pdn.cfg\r\nDesign Name is _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nReading BEOL LEF and gathering information ...\r\n****** INFO ******\r\nType: stdcell, grid\r\nStdcell Rails\r\nLayer: metal1 - Width: 0.170 Pitch: 2.400 Offset: 0.000\r\nStraps\r\nLayer: metal4 - Width: 0.480 Pitch: 56.000 Offset: 2.000\r\nLayer: metal7 - Width: 1.400 Pitch: 40.000 Offset: 2.000\r\nConnect: {metal1 metal4} {metal4 metal7}\r\nType: macro, macro_1\r\nMacro orientation: R0 R180 MX MY\r\nStraps\r\nLayer: metal5 - Width: 0.930 Pitch: 40.000 Offset: 2.000\r\nLayer: metal6 - Width: 0.930 Pitch: 40.000 Offset: 2.000\r\nConnect: {metal4_PIN_ver metal5} {metal5 metal6} {metal6 metal7}\r\nType: macro, macro_2\r\nMacro orientation: R90 R270 MXR90 MYR90\r\nStraps\r\nLayer: metal6 - Width: 0.930 Pitch: 40.000 Offset: 2.000\r\nConnect: {metal4_PIN_hor metal6} {metal6 metal7}\r\n**** END INFO ****\r\nInserting stdcell grid - grid\r\nWriting to database\r\nRunning tapcell...\r\nStep 1: Cut rows...\r\n---- Macro blocks found: 0\r\n---- #Original rows: 428\r\n---- #Cut rows: 0\r\nStep 2: Insert endcaps...\r\n---- #Endcaps inserted: 856\r\nStep 3: Insert tapcells...\r\n---- #Tapcells inserted: 860\r\nRunning tapcell... Done!\r\n[INFO] TargetDensity = 0.700000\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\n[PROC] Begin Filling Replace Structure ...\r\n[INFO] DEF DBU = 2000\r\n[INFO] RowHeight = 2800.000000\r\n[INFO] ScaleDownUnit = 311.111115\r\n[INFO] CoreAreaLxLy = (20140.000000, 22400.000000)\r\n[INFO] CoreAreaUxUy = (1220180.000000, 1220800.000000)\r\n[INFO] OffsetCoordi = (2260.000000, 0.000000)\r\n[INFO] ScaleDownRowHeight = 9.000000\r\n[INFO] Modules = 18580\r\n[INFO] Terminals = 2889\r\n[PROC] Begin Generate Nets ...\r\n[INFO] NumNets = 21186\r\n[INFO] NumPins = 59617\r\n[PROC] End Generate Nets\r\n[INFO] Inserted Dummy Terms = 0\r\n[PROC] Begin Generate Rows ...\r\n[INFO] RowSize = (1.221429, 9.000000)\r\n[INFO] NumRows = 428\r\n[PROC] End Generate Rows\r\n[INFO] AspectRatio = 0.998633\r\n[INFO] RowMinXY = (72.000000, 79.264282)\r\n[INFO] RowMaxXY = (3929.271240, 3931.264160)\r\n[INFO] NumPlaceStdCells = 18580\r\n[INFO] NumPlaceMacros = 0\r\n[INFO] RowSize = (1.221429, 9.000000)\r\n[INFO] NumRows = 428\r\n[INFO] GlobalAreaLxLy = (7.489285, 7.489285)\r\n[INFO] GlobalAreaUxUy = (3993.717773, 3996.610596)\r\n[INFO] PlaceAreaLxLy = (72.000000, 79.264160)\r\n[INFO] PlaceAreaUxUy = (3929.271240, 3931.264160)\r\n[PROC] End Filling Replace Structure\r\nPROC: Conjugate Gradient (CG) method to obtain the IP\r\nINFO: The Initial HPWL is 524644.543317\r\nINFO: The Matrix Size is 18580\r\nINFO: IP 0, CG Error 0.000027, HPWL 545957.517281, CPUtime 0.17\r\nINFO: IP 1, CG Error 0.000006, HPWL 526126.977911, CPUtime 0.21\r\nINFO: IP 2, CG Error 0.000001, HPWL 523085.066241, CPUtime 0.22\r\nINFO: IP 3, CG Error 0.000001, HPWL 522274.195831, CPUtime 0.21\r\nINFO: IP 4, CG Error 0.000001, HPWL 521964.732325, CPUtime 0.19\r\nINFO: IP 5, CG Error 0.000000, HPWL 521564.830225, CPUtime 0.21\r\n===HPWL(MICRON)====================================\r\nMode : Initial Placement\r\nHPWL : 735601.8216\r\nx= 369036.8821 y= 366564.9395\r\n[INFO] TotalPlaceArea = 14858209.000000\r\n[INFO] TotalFixedArea = 18862.050781\r\n[INFO] TotalWhiteSpaceArea = 14839347.000000\r\n[INFO] TotalPlaceMacrosArea = 0.000000\r\n[INFO] TotalPlaceStdCellsArea = 2692896.250000\r\n[INFO] Util(%) = 18.123962\r\n[INFO] 80pCellArea = 139.526581\r\n[INFO] FillerInit: TotalFillerArea = 7694647.000000\r\n[INFO] FillerInit: NumFillerCells = 55146\r\n[INFO] FillerInit: FillerCellArea = 139.533539\r\n[INFO] FillerInit: FillerCellSize = (15.503726, 9.000000)\r\n[INFO] FillerInit: NumCells = 73726\r\n[INFO] FillerInit: NumModules = 18580\r\n[INFO] FillerInit: NumFillers = 55146\r\nINFO: D_MSH = 1024\r\nINFO: MSH(X, Y) = (32, 32)\r\nINFO: dim_bin_cGP2D.(x,y) = (256, 256)\r\ncell Init 2D:\r\ntier->bin_stp: (15.0675 15.0469)\r\ntier->half_bin_stp: (7.5337 7.5234)\r\nPROC: Start NESTEROV's Optimization\r\nPROC: Global Lagrangian Multiplier is Applied\r\n[INFO] Timing: WNS = 1.29948e-11\r\n[INFO] Timing: TNS = 0\r\n[INFO] Nesterov: 0 OverFlow: 0.9854 ScaledHpwl: 3353720.0000\r\n[INFO] Timing: WNS = -9.08862e-12\r\n[INFO] Timing: TNS = -1.37044e-11\r\n[INFO] Nesterov: 10 OverFlow: 0.7612 ScaledHpwl: 4656717.5000\r\n[INFO] Nesterov: 20 OverFlow: 0.7271 ScaledHpwl: 4600205.0000\r\n[INFO] Nesterov: 30 OverFlow: 0.7259 ScaledHpwl: 4532463.0000\r\n[INFO] Nesterov: 40 OverFlow: 0.7285 ScaledHpwl: 4490022.5000\r\n[INFO] Nesterov: 50 OverFlow: 0.7235 ScaledHpwl: 4512176.5000\r\n[INFO] Nesterov: 60 OverFlow: 0.7214 ScaledHpwl: 4498370.5000\r\n[INFO] Nesterov: 70 OverFlow: 0.7225 ScaledHpwl: 4486582.0000\r\n[INFO] Nesterov: 80 OverFlow: 0.7223 ScaledHpwl: 4493103.0000\r\n[INFO] Nesterov: 90 OverFlow: 0.7214 ScaledHpwl: 4493090.0000\r\n[INFO] Nesterov: 100 OverFlow: 0.7219 ScaledHpwl: 4488126.0000\r\n[INFO] Nesterov: 110 OverFlow: 0.7223 ScaledHpwl: 4489798.5000\r\n[INFO] Nesterov: 120 OverFlow: 0.7219 ScaledHpwl: 4492216.0000\r\n[INFO] Nesterov: 130 OverFlow: 0.7219 ScaledHpwl: 4491156.5000\r\n[INFO] Nesterov: 140 OverFlow: 0.7219 ScaledHpwl: 4491949.0000\r\n[INFO] Nesterov: 150 OverFlow: 0.7213 ScaledHpwl: 4494138.0000\r\n[INFO] Nesterov: 160 OverFlow: 0.7207 ScaledHpwl: 4495404.0000\r\n[INFO] Nesterov: 170 OverFlow: 0.7195 ScaledHpwl: 4498037.5000\r\n[INFO] Nesterov: 180 OverFlow: 0.7177 ScaledHpwl: 4502708.0000\r\n[INFO] Nesterov: 190 OverFlow: 0.7145 ScaledHpwl: 4507794.5000\r\n[INFO] Nesterov: 200 OverFlow: 0.7106 ScaledHpwl: 4516302.0000\r\n[INFO] Nesterov: 210 OverFlow: 0.7026 ScaledHpwl: 4528353.0000\r\n[INFO] Nesterov: 220 OverFlow: 0.6926 ScaledHpwl: 4537470.5000\r\n[INFO] Nesterov: 230 OverFlow: 0.6770 ScaledHpwl: 4543792.0000\r\n[INFO] Nesterov: 240 OverFlow: 0.6535 ScaledHpwl: 4535685.5000\r\n[INFO] Timing: WNS = -5.55014e-11\r\n[INFO] Timing: TNS = -5.22214e-10\r\n[INFO] Nesterov: 250 OverFlow: 0.6285 ScaledHpwl: 4530373.0000\r\n[INFO] Nesterov: 260 OverFlow: 0.5989 ScaledHpwl: 4576544.0000\r\n[INFO] Nesterov: 270 OverFlow: 0.5632 ScaledHpwl: 4603646.0000\r\n[INFO] Nesterov: 280 OverFlow: 0.5264 ScaledHpwl: 4607634.0000\r\n[INFO] Nesterov: 290 OverFlow: 0.4824 ScaledHpwl: 4654078.0000\r\n[INFO] Timing: WNS = -1.06277e-10\r\n[INFO] Timing: TNS = -2.22512e-09\r\n[INFO] Nesterov: 300 OverFlow: 0.4519 ScaledHpwl: 4672081.0000\r\n[INFO] Nesterov: 310 OverFlow: 0.4206 ScaledHpwl: 4691820.0000\r\n[INFO] Nesterov: 320 OverFlow: 0.3851 ScaledHpwl: 4693493.0000\r\n[INFO] Nesterov: 330 OverFlow: 0.3558 ScaledHpwl: 4698090.0000\r\n[INFO] Nesterov: 340 OverFlow: 0.3219 ScaledHpwl: 4705394.0000\r\n[INFO] Nesterov: 350 OverFlow: 0.2854 ScaledHpwl: 4708784.0000\r\n[INFO] Timing: WNS = -1.50303e-10\r\n[INFO] Timing: TNS = -2.67458e-09\r\n[INFO] Nesterov: 360 OverFlow: 0.2545 ScaledHpwl: 4708413.0000\r\n[INFO] Nesterov: 370 OverFlow: 0.2222 ScaledHpwl: 4707805.5000\r\n[INFO] Timing: WNS = -1.4887e-10\r\n[INFO] Timing: TNS = -2.59766e-09\r\n[INFO] Nesterov: 380 OverFlow: 0.1903 ScaledHpwl: 4707631.5000\r\n[INFO] Nesterov: 390 OverFlow: 0.1622 ScaledHpwl: 4708060.5000\r\n[INFO] Nesterov: 400 OverFlow: 0.1380 ScaledHpwl: 4708762.5000\r\n[INFO] Nesterov: 410 OverFlow: 0.1158 ScaledHpwl: 4712566.5000\r\n[INFO] Nesterov: 420 OverFlow: 0.0998 ScaledHpwl: 4717579.5000\r\n[INFO] Timing: WNS = -1.53279e-10\r\n[INFO] Timing: TNS = -2.60917e-09\r\nHP wire length: 731895\r\nWorst slack: -1.53e-01\r\nTotal negative slack: -2.61e+00\r\nWarning: cell 'OAI211_X1}' not found.\r\nError: get_property is not an object.\r\nInserted 1091 input buffers.\r\nInserted 81 output buffers.\r\nResized 5488 instances.\r\nInserted 0 hold buffers.\r\n-------------------- Design Stats ------------------------------\r\ncore area : (20140, 22400) (1220180, 1220800)\r\ntotal cells : 21468\r\nmulti cells : 0\r\nfixed cells : 1716\r\nnets : 22360\r\ndesign area : 1438127936000.000\r\ntotal fixed area : 1825824000.000\r\ntotal movable area : 284683840000.000\r\ndesign utilization : 19.821\r\nrows : 428\r\nrow height : 2800\r\nCheck Legality\r\nrow check ==> PASS\r\nsite check ==> PASS\r\npower check ==> PASS\r\nedge_check ==> PASS\r\nplaced_check ==>> PASS\r\noverlap_check ==> PASS\r\n-------------------- Placement Analysis ------------------------\r\ntotal displacement : 62095532\r\naverage displacement : 2892\r\nmax displacement : 66070\r\noriginal HPWL : 738875.682\r\nlegalized HPWL : 752026.493\r\ndelta HPWL : 2%\r\nTritonCTS 2.0 *\r\nCurrent time: Thu Mar 19 22:26:59 2020\r\n\r\nImport characterization *\r\nReading LUT file \"/opt/panda/share/panda//nangate45/tritonCTS/lut.txt\"\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 52 1 24\r\n[WARNING] 180 wires are pure wire and no slew degration.\r\nTritonCTS forced slew degradation on these wires.\r\nNum wire segments: 4994\r\nNum keys in characterization LUT: 1677\r\nActual min input cap: 8\r\nReading solution list file \"/opt/panda/share/panda//nangate45/tritonCTS/sol_list.txt\"\r\n\r\nFind clock roots *\r\nUser did not specify clock roots.\r\nUsing OpenSTA to find clock roots.\r\nLooking for clock sources...\r\nClock names: clock\r\n\r\nPopulate TritonCTS *\r\nInitializing clock nets\r\nNumber of user-input clocks: 1 ( \"clock\" )\r\nLooking for clock nets in the design\r\nNet \"clock\" found\r\nclock\r\n\r\nCheck characterization *\r\nThe chacterization used 1 buffer(s) types. All of them are in the loaded DB.\r\nBuild clock trees *\r\nGenerating H-Tree topology for net clock...\r\nTot. number of sinks: 1514\r\nWire segment unit: 20000 dbu (10 um)\r\nOriginal sink region: [(231990, 315170), (972610, 919970)]\r\nNormalized sink region: [(12, 16), (49, 46)]\r\nWidth: 37\r\nHeight: 30\r\nLevel 1\r\nDirection: Horizontal\r\n\r\nsinks per sub-region: 757\r\nSub-region size: 19 X 30\r\nSegment length (rounded): 10\r\nKey: 3192 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\nKey: 0 outSlew: 2 load: 1 length: 2 isBuffered: 0\r\nLevel 2\r\nDirection: Vertical\r\n\r\nsinks per sub-region: 379\r\nSub-region size: 19 X 15\r\nSegment length (rounded): 8\r\nKey: 3206 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\nLevel 3\r\nDirection: Horizontal\r\n\r\nsinks per sub-region: 190\r\nSub-region size: 9 X 15\r\nSegment length (rounded): 4\r\nKey: 1170 outSlew: 12 load: 1 length: 4 isBuffered: 1\r\nLevel 4\r\nDirection: Vertical\r\n\r\nsinks per sub-region: 95\r\nSub-region size: 9 X 8\r\nSegment length (rounded): 4\r\nKey: 1242 outSlew: 12 load: 1 length: 4 isBuffered: 1\r\nLevel 5\r\nDirection: Horizontal\r\n\r\nsinks per sub-region: 48\r\nSub-region size: 5 X 8\r\nSegment length (rounded): 2\r\nKey: 548 outSlew: 2 load: 1 length: 2 isBuffered: 1\r\n[WARNING] Creating fake entries in the LUT.\r\nLevel 6\r\nDirection: Vertical\r\n\r\nsinks per sub-region: 24\r\nSub-region size: 5 X 4\r\nSegment length (rounded): 1\r\nKey: 5029 outSlew: 12 load: 1 length: 1 isBuffered: 1\r\nLevel 7\r\nDirection: Horizontal\r\n\r\nsinks per sub-region: 12\r\nSub-region size: 2 X 4\r\nSegment length (rounded): 1\r\nKey: 5039 outSlew: 12 load: 1 length: 1 isBuffered: 1\r\nStop criterion found. Max number of sinks is (15)\r\nBuilding clock sub nets...\r\nNumber of sinks covered: 1514\r\nClock topology of net \"clock\" done.\r\n\r\nPost CTS opt *\r\nAvg. source sink dist: 46402 dbu.\r\nNum outlier sinks: 3\r\n\r\nWrite data to DB *\r\nWriting clock net \"clock\" to DB\r\nCreated 258 clock buffers.\r\nCreated 258 clock nets.\r\n\r\nCurrent time: Thu Mar 19 22:26:59 2020\r\n... End of TritonCTS execution.\r\n-------------------- Design Stats ------------------------------\r\ncore area : (20140, 22400) (1220180, 1220800)\r\ntotal cells : 21726\r\nmulti cells : 0\r\nfixed cells : 1716\r\nnets : 22618\r\ndesign area : 1438127936000.000\r\ntotal fixed area : 1825824000.000\r\ntotal movable area : 287720496000.000\r\ndesign utilization : 20.032\r\nrows : 428\r\nrow height : 2800\r\nCheck Legality\r\nrow check ==> PASS\r\nsite check ==> PASS\r\npower check ==> PASS\r\nedge_check ==> PASS\r\nplaced_check ==>> PASS\r\noverlap_check ==> PASS\r\n-------------------- Placement Analysis ------------------------\r\ntotal displacement : 1657681\r\naverage displacement : 76\r\nmax displacement : 16853\r\noriginal HPWL : 763228.133\r\nlegalized HPWL : 763580.620\r\ndelta HPWL : 0%\r\nAdjust layer 2 in 70.0%\r\nAdjust layer 3 in 70.0%\r\n*** buffer overflow detected ***: openroad terminated\r\n```"}, {"assistant": "Moved to FastRoute repo (https://github.com/The-OpenROAD-Project/FastRoute/issues/19)"}]} +{"num": 164, "messages": [{"user": "The installed plist looks like this:\r\n```\r\nbin/openroad\r\nbin/sta\r\ninclude/ArcDelayCalc.hh\r\ninclude/Arnoldi.hh\r\n<...more OpenSTA headers...>\r\n```\r\nThe above conflict with a separate ```opensta``` package.\r\n```\r\ninclude/lemon/adaptors.h\r\ninclude/lemon/arg_parser.h\r\n<...more lemon headers...>\r\n```\r\nthese conflict with the separate ```lemon``` package.\r\n\r\n```\r\n/usr/ports/cad/openroad/work/.OpenPhySyn/transforms/libbuffer_fanout.a\r\n/usr/ports/cad/openroad/work/.OpenPhySyn/transforms/libconstant_propagation.a\r\n/usr/ports/cad/openroad/work/.OpenPhySyn/transforms/libgate_clone.a\r\n/usr/ports/cad/openroad/work/.OpenPhySyn/transforms/libpin_swap.a\r\n@dir /usr/ports/cad/openroad/work/.OpenPhySyn/transforms\r\n@dir /usr/ports/cad/openroad/work/.OpenPhySyn\r\n@dir /usr/ports/cad/openroad/work\r\n@dir /usr/ports/cad/openroad\r\n@dir /usr/ports/cad\r\n@dir /usr/ports\r\n```\r\nThe above files are installed into obviously wrong paths.\r\n\r\n--------\r\n\r\nThe above problems result from bundling used in the project. Please consider having cmake options to use separate packages when they are available.\r\n\r\n"}, {"assistant": "This is good feedback. So far we have not had users installing the software on the system but in home directories since it is changing so much. We do want to make system installation work cleanly."}, {"assistant": "We are tending to move to not include third party packages in the code base but to make them external dependencies. We just did that change with Eigen. Would removing lemon from the code base resolve this concern?"}, {"user": "Both eigen and lemon, and all other third party packages should be removed, and external packages used for them. Not sure if this would fix the overall issue. Need to try and see."}, {"assistant": "I changed the OpenPhySyn transforms to go into the install hierarchy. "}, {"assistant": "OpenPhySyn has been removed from openroad so this no longer seems relevant. Most 3rd party packages are now treated as separate installs."}]} +{"num": 178, "messages": [{"user": "Hello,\r\n\r\nIn some cases (which I don't what are the reasons behind them), tapcells are inserted in a location that is not aligned with sites. Please check with @tspyrou for a private repo with a test case.\r\n\r\nThanks,\r\nkareem farid"}, {"assistant": "Fix for the issue at this [branch](https://github.com/The-OpenROAD-Project/OpenROAD/tree/fix/tapcell).\r\n\r\nAfter Jenkins runs all tests, a pull request will be open to the openroad branch"}, {"user": "Thanks, tested and fixed now"}]} +{"num": 180, "messages": [{"user": "Thanks for including the readline.\r\nWhen I pulled and compiled the new commit (1635259) I get a compile error: 'std::array' is not a member of 'std'. To correct this Main.cc needed to have #include included along with the tclreadline.h.\r\nThis was on a Ubuntu 20.04 install."}, {"assistant": "Thanks for the report. I've added \"#include \" to Main.cc.\r\n\r\n"}]} +{"num": 181, "messages": [{"user": "I tried to bulid openrod on ubuntu 18 the build completes successfully but when I run the command open road I get the error command openroad not found. \r\n![image](https://user-images.githubusercontent.com/38817722/78148426-24efb780-7435-11ea-9b7d-d7668abaa981.png)\r\n\r\n"}, {"assistant": "I expect you don't have it in your path. See if /home/user/OpenROAD-flow/tools/OpenROAD/build/src/openroad works."}, {"assistant": "Hi @alaasal,\r\n\r\nIt looks like you are using OpenROAD-flow. There is a script `setup_env.sh` which will set up your environment."}, {"user": "Thanks all, I didn't have the command in my path; however, sourcing setup_env.sh didn't solve that and I had to add openroad and tritonroute to my path myself."}, {"assistant": "Sourcing `setup_env.sh` should work unless you didn't use the `build_openroad.sh` script to build. If so, please file an issue against [OpenROAD-flow](https://github.com/The-OpenROAD-Project/OpenROAD-flow)."}]} +{"num": 196, "messages": [{"user": "openroad commit : 7fa1073654f5fbfdbaffa6cbab6102c6919d614c (branch openroad)\r\n\r\nSteps to reproduce :\r\n```\r\ngit clone --branch openroad --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git OpenROAD-debug\r\ncd OpenROAD-debug\r\nmkdir build\r\ncd build\r\ncmake -DCMAKE_INSTALL_PREFIX=$HOME/tmp/openroad_install ..\r\nmake\r\n```\r\n\r\n\r\ncmake correctly locates the tcl lib and header:\r\n```\r\n cmake -DCMAKE_INSTALL_PREFIX=$HOME/tmp/openroad_install .. | grep -i tcl\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n```\r\n\r\nbut build fails with error:\r\n\r\n\r\n```\r\n[100%] Building CXX object src/OpenSTA/CMakeFiles/sta_swig.dir/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx.o\r\ncd /home/ronan/perso/github/OpenROAD-debug/build/src/OpenSTA && /usr/bin/c++ -DOPENROAD_BUILD -I/home/ronan/perso/github/OpenROAD-debug/src/OpenSTA/include/sta -I/home/ronan/perso/github/OpenROAD-debug/src/OpenSTA -I/home/ronan/perso/github/OpenROAD-debug/src/OpenSTA/include -O3 -DNDEBUG -std=c++11 -o CMakeFiles/sta_swig.dir/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx.o -c /home/ronan/perso/github/OpenROAD-debug/build/src/OpenSTA/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx\r\n/home/ronan/perso/github/OpenROAD-debug/build/src/OpenSTA/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx:166:10: fatal error: tcl.h: No such file or directory\r\n #include \r\n```\r\nRunning cmake with TCL_HEADER option gives the same error\r\n\r\n( cmake -DCMAKE_INSTALL_PREFIX=$HOME/tmp/openroad_install -DTCL_HEADER=/usr/include/tcl/tcl.h .. )\r\n\r\nApparently, the top level information about TCL file location is not propagated correctly down to OpenSTA \r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "Ubuntu puts tcl.h in /usr/include/tcl which has caused us grief before. I've proposed a fix internally to OpenSTA."}, {"user": "Ok - But apparently something change during the last 7/10 days because I was able to build the openroad branch before "}, {"assistant": "OpenSTA had a number of changes to its cmake recently. Most development happens on Centos which doesn't have this issue, so it tends to go unnoticed until someone tries ubuntu. That's often me but in the case you were first :)"}, {"assistant": "@maliberty I would propose simply making an Ubuntu Dockerfile and adding an Ubuntu build to the Jenkins regressions. Then this type of thing shouldn't happen again."}, {"user": "Apparently, switching to the master branch of OpenSTA seems to work while trying to build the openroad branch of OpenROAD"}, {"assistant": "I agree about ubuntu but up until yesterday we didn't have the jenkins capacity to afford another platform. Now that are using gcloud it should be possible."}, {"assistant": "I was able to get 0d16e26 to compile (the most recent used in OpenROAD-flow). For Ubuntu 20.04 LTS.\r\n\r\nABC also has this issue and a few simple changes to the Make files would solve this. I've attached the two patches if you (@maliberty) are interested in applying them to your forks of YOSYS and ABC. The YOSYS one needs to be edited to insert the correct commit hash for the new ABC commit.\r\n1. [ABC-0001-Introduce-TCL_INCLUDE-flag-to-ensure-proper-build-on.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/4651363/ABC-0001-Introduce-TCL_INCLUDE-flag-to-ensure-proper-build-on.zip)\r\n2. [YOSYS-0001-Ensuring-TCL_INCLUDE-flag-is-passed-to-ABC-build-so-.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/4651362/YOSYS-0001-Ensuring-TCL_INCLUDE-flag-is-passed-to-ABC-build-so-.zip)\r\n\r\nFor Ubuntu I needed to run the following command:\r\n\t`sudo apt-get install cmake gcc swig tcl-tclreadline libboost-dev libeigen3-dev`\r\nUnfortunately, LEMON is currently not in the Ubuntu repos (for 20.04), so I had to install that by compiling it from the source.\r\n"}, {"assistant": "Lemon is there for 18 & 19 so perhaps it will show up for 20 later on. The joys of the bleeding edge.\r\n\r\nI'll look at your other changes."}, {"assistant": "I've integrated your changes. I was able to build yosys on Ubuntu 19. I've been wanting to get to this for a while so thanks for the patches!"}]} +{"num": 197, "messages": [{"user": "This error message needs to be a bit more understandable.\r\n\r\nIs it a bug in the detailed placement? Any hints as to what to fix in my design?\r\n\r\nI know the design fits...\r\n\r\n```\r\nDesign area 393799 u^2 0% utilization.\r\nopenroad -no_init ./scripts/detail_place.tcl \\\r\n 2>&1 | tee ./logs/nangate45/AptosAvalonMMSlave/3_3_opendp.log\r\nOpenROAD 1.1.0 \r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./objects/nangate45/AptosAvalonMMSlave/merged_padded.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./objects/nangate45/AptosAvalonMMSlave/merged_padded.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/AptosAvalonMMSlave/3_2_place_resized.def\r\nNotice 0: Design: AptosAvalonMMSlave\r\nNotice 0: \t\tCreated 100000 Insts\r\nNotice 0: \t\tCreated 200000 Insts\r\nNotice 0: lines processed: 1000000\r\nNotice 0: lines processed: 2000000\r\nNotice 0: lines processed: 3000000\r\nNotice 0: lines processed: 4000000\r\nNotice 0: lines processed: 5000000\r\nNotice 0: lines processed: 6000000\r\nNotice 0: lines processed: 7000000\r\nNotice 0: Created 5070 pins.\r\nNotice 0: Created 253063 components and 569150 component-terminals.\r\nNotice 0: Created 2 special nets and 506126 connections.\r\nNotice 0: Created 27440 nets and 63024 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/AptosAvalonMMSlave/3_2_place_resized.def\r\n-------------------- Design Stats ------------------------------\r\ncore area : (40280, 44800) (17246680, 17236800)\r\ntotal cells : 253063\r\nmulti cells : 0\r\nfixed cells : 230321\r\nnets : 27442\r\ndesign area : 2.96e+14\r\ntotal fixed area : 2.45e+11\r\ntotal movable area : 3.42e+11\r\ndesign utilization : 0.116\r\nrows : 6140\r\nrow height : 2800\r\n----------------------------------------------------------------\r\nError: cannot insert center cellbuffer1 target (17964050 8801100)\r\nError: cannot insert center cellbuffer2 target (17964050 4924220)\r\n...\r\n[deleted]\r\nCheck Legality\r\nrow check ==> PASS \r\nsite check ==> PASS \r\npower check ==> PASS \r\nedge_check ==> PASS \r\nplaced_check ==> FAIL (1461)\r\noverlap_check ==> FAIL \r\n-------------------- Placement Analysis ------------------------\r\ntotal displacement : 1711741403\r\naverage displacement : 6764\r\nmax displacement : 35950830\r\noriginal HPWL : 2.21e+07\r\nlegalized HPWL : 5.08e+07\r\ndelta HPWL : 130%\r\n----------------------------------------------------------------\r\nError: cannot insert center cellbuffer1 target (17964050 8801100)\r\n...\r\n[deleted]\r\nmake: *** [results/nangate45/AptosAvalonMMSlave/3_3_place_dp.def] Error 1\r\n\r\nreal\t96m51,083s\r\nuser\t0m0,873s\r\nsys\t0m0,627s\r\n```\r\n"}, {"assistant": "Looks like opendp but I'm not certain. Hopefully Cherry will have some idea."}, {"assistant": "Based on the log format, this appears to be using a version of openDP that isn't on the head of the openroad branch, probably master. Cherry has fixed a number of openDP issues in the openroad branch."}, {"user": "@tajayi @rovinski I'm using the tip of OpenROAD-flow master branch. How is OpenROAD-flow updated? Is there an automated procedure that is run regularly?\r\n\r\nAre there some regression tests run pre-merge on updates to OpenROAD-flow?\r\n\r\nIt would seem to me that it can be quite tricky to make sure that none of the tips of the other sub-projects don't introduce a subtle regression..."}, {"user": "How can I re-build OpenROAD-flow correctly with the openroad branch?"}, {"assistant": "@oharboe OpenROAD-flow/openroad branch is tied to OpenROAD/openroad and OpenROAD-flow/master is tied to OpenROAD/master (or at least they are in theory). OpenROAD-flow/master has been stuck for several weeks because it is failing some tests on our large-scale regressions.\r\n\r\nWe do small-scale regression tests on the openroad branch and then large-scale regressions (which take about 14-18 hours to run) before merging into master. We have this large/small breakdown because it is not feasible to run 14 hours worth of regressions on every commit.\r\n\r\nIf you want to build OpenROAD-flow with the openroad branch, you can simply git checkout OpenROAD-flow openroad branch. In my opinion, \"openroad\" branch is a bit of a misnomer because it is equivalent to the dev branch or nightly branch of other open source projects."}, {"user": "@rovinski Scaling pre-merge tests is a fascinating topic and extremely well studied and solutions exist.\r\n\r\nWhen I worked on geoscience software, we had 60000 hours worth of tests/day if we had tried to brute force checked all incoming pull requests with all tests for the whole team(team of 50 developers all producing a couple of pull requests and a big datasets in tests). The way we broke the back of the problem there was to create statistics of what tests where the most valuable and quickest. We then ran those tests on each pull request. The turnaround for this testing was ca. 1 hr. Then we merged in all incoming pull requests for the day and ran them overnight on the full test set. If that passed, the pull requests were automatically merged. So that's the equivalent of 60000 hours of tests per day.\r\n\r\nI've implemented similar systems and test scaling pre-merge since.\r\n\r\nFor OpenROAD, something similar should be eminently doable, and in fact quite necessary, if you are to match the infrastructure to your ambitions of creating a fully automated flawless custom silicon toolchain with teams cooperating across the world."}, {"assistant": "@oharboe we pretty much already do this. The problem is that little attention is paid to the master branch so when the large-scale tests break, developers continue to focus on the openroad (development) branch. I'm an advocate for stability first and productivity second, but I'm in the minority. Most developers are focused on meeting the goals set by our project sponsor first, because failure to do so would likely result in losing our funding and the project dying."}, {"user": "@rovinski Exactly. You're missing the last bit, the magic sauce, to make this work. The integration test has to be implemented in a fashion that scales and it has to be run on all pull requests prior to merge. Nobody should be merging with the master branch manually, it has to be automatic. If something breaks, there should simply be a pull request that hasn't merged yet. The master branch should always work and images for betatesters should be ready overnight automatically."}, {"user": "@rovinski A technical point: I predict you can't get to where you need to be with git submodule. I think what you need is git subtree.\r\n\r\nWith git subtree you have:\r\n\r\n1. A single giant repository. A monorepository. LLVM has gone this way, so has other projects.\r\n2. The ability to merge in from upstream and contribute back to upstream to e.g. Yosys.\r\n3. Since you have a single giant repository, the github pull request build infrastructure works out of the box. Create a branch that modifies multiple projects, test it all as a single pull request and makes ure it all works together before merging automatically.\r\n4. Even if you have a single giant git repository, the projects are distinct.\r\n5. The cognitive load is much lower for developers who just want to get stuff done and doesn't want to worry about how their sub-project fits into the scheme of things.\r\n\r\n"}, {"assistant": "The single repo is a big issue with competing viewpoints; subtree is one possible answer. The fully automatic merge is a good idea but right now there is nobody to work on such CM infrastructure. We will get there eventually but I think this is drifting from a bug report into a philosophy discussion."}, {"user": "@maliberty Indeed. For now, I guess with waiting to retest until OpenROAD-flow master is updated. "}, {"user": "This is fixed on the openroad branch once https://github.com/The-OpenROAD-Project/OpenROAD-flow/pull/49 is merged as well."}]} +{"num": 198, "messages": [{"user": "Greetings, \r\n\r\nI am trying to synthesize small circuits like multipliers. In one tool (QFLOW) my designs exhibit really small energy consumption. On the other hand OpenROAD, I get totally different results. Can you give some tips on how to efficiently synthesize small designs?\r\n\r\nRatko"}, {"assistant": "Hi @RatkoFri,\r\n\r\nCan you please be more specific about the behavior you are seeing? Can you provide us with the verilog and design configuration inputs? Does the difference show up when targeting the nangate45 library, and if so, can you provide the specific numbers you are seeing?"}, {"assistant": "Closing as duplicate of https://github.com/The-OpenROAD-Project/OpenROAD-flow/issues/45"}]} +{"num": 205, "messages": [{"user": "Hello, I am interested in packaging OpenROAD. This requires for a release to exist, so I was wondering if you have any plans about this? Perhaps a timeline?\r\n\r\nThanks,\r\nFilipe"}, {"assistant": "We are tentatively planning a 1.0 release around Q3. What sort of packing do you have in mind?"}, {"user": "I want to package this for Arch Linux."}, {"assistant": "@FFY00 a git version has been packages in aur. "}, {"user": "The AUR is not a package repository, it is a PKGBUILD repository. This means users will have to build the package themselves and it may break anytime due to untracked soname changes, etc. Having it in the official repositories should be much better."}, {"assistant": "Yes, we have to wait for a release for OpenROAD before packaging it into community. Maybe I can push it to the archlinuxcn repository firstly to get rid of the rebuilding burden. After we get the first release, it can upstream into official repository.\r\n "}, {"assistant": "The release would be for openroad-flow-scripts which contains openroad. I would recommend taking any version which shows green CI. At the moment are users are running at the head. There is a v2.0 tag which was created when the CI was clean and could also be used for a prepackaged release."}]} +{"num": 206, "messages": [{"user": "When I run filler_placement, I see that fill cells get placed on top of block macros:\r\n![image](https://user-images.githubusercontent.com/3936698/79275979-309b9f00-7e75-11ea-8dc9-17db39b419f7.png)\r\n\r\nThese sites should not be filled because they have the cell sites removed:\r\n![image](https://user-images.githubusercontent.com/3936698/79275941-1a8dde80-7e75-11ea-82f6-47951aec94f8.png)\r\n\r\nTest case: \r\n[fillcell_tinyRocket_nangate45_2020-04-14_17-13.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/4477928/fillcell_tinyRocket_nangate45_2020-04-14_17-13.tar.gz)\r\n\r\n"}, {"user": "This was resolved at some point."}, {"user": "This issue has resurfaced (or perhaps never went away and I was looking at the wrong view). The same test case should work."}, {"assistant": "fixed by commit fe43ab7 opendp no fillers over blocks"}]} +{"num": 215, "messages": [{"user": "Hello,\r\n\r\nThis is a feature needed for two main necessary purposes: LVS (to verify that all macros are powered) and power routing (power pins need to be exposed when a LEF is generated from the final routed DEF to be used in bigger designs).\r\n\r\nCurrently, both of these steps require manual intervention. Creating 2 0-area pins on top of a VDD and VSS stripes for the purpose of LVS does the job. Power routing is done entirely by hand (let me know if you need further details on this).\r\n\r\nTo eliminate these, in my opinion, (at least two) stripes should be exposed as power pins or perhaps extended to the macro boundary. Power routing could either (perhaps) be implemented as a special feature from FastRoute+TritonRoute (by specifying the required widths and metal layers) or through a new independent tool.\r\n\r\nLet me know if you need further details,\r\nThanks"}, {"assistant": "I agree, need to make the top layer power straps be pins in a block level design.\r\n\r\nThe most straight-forward way to add this will be to have the highest metal straps in a block design be assigned as pin shapes as well as net shapes. This will allow power routing from the top level to drop via connections onto each strap as it routes over the block, making for a robust power grid. I prefer this to extending the metal straps to the edge of the block.\r\n\r\nPlease let me know if you see any significant issues with this approach.\r\n"}, {"user": "Thanks for the quick response.\r\n\r\nI agree that this approach would solve the issue in many cases where a top-level design does indeed have a \"compatible\" PDN, onto which the PDNs of the contained blocks can be hooked. However, with a metal stack of only 4-5 metal layers, it would probably be the case that the stripes of the top-level macros and those of the blocks inside are on the same metal layer. Would that approach work in such a case?\r\n\r\nAlso, in cases where there is actually no PDN on the top-level but the blocks are rather enclosed with a padframe, power routing still needs to be handled externally: e.g., using a FR+TR solution or an independent solution to route power from the power pads to the PDN of the blocks; is that correct?\r\n\r\nWould having a power ring in the PDN, exposed externally as pins solve the issue? That's what was being done manually by hand so far, and it seems to me like a simple solution in both of the above cases, namely to either hook up to a top-level PDN or be easily routed to power pads (now it would just need a pair of wide wire segments on a high metal layer from the power pads to the power ring). Would such a solution make sense?\r\n\r\nThanks!"}, {"assistant": "Ok, so for processes with a high enough number of metal layers we can be happy with a strategy that uses a limited number of layers (no more than TOP-1) in the sub blocks (BLOCK_TOP <= TOP-1), with metal straps on the BLOCK_TOP layer being promoted to have pin shapes that can be connected from above.\r\n\r\nI'd like to explore the other cases a bit more fully to try to come to an understanding of what the right strategy should be.\r\n\r\nI should point out first that there is the ability to add core power/ground rings as part of the power grid and automatically hook these up to named pad cell types. (See: https://github.com/The-OpenROAD-Project/OpenROAD/blob/openroad/src/pdngen/doc/PDN.md - in the section \"Additional features for top level power grid\"), I believe this should serve to bridge the power connections from the pad cells to the top power grid. In case it's not clear from the doc, connections from the core rings to padcells take precedence over connections from the corerings to the main power grid.\r\n\r\nI'm not sure if this helps with the handling of sub-blocks, we could have core rings be created inside a sub-block and add some code to promote them as pins, but there would need to be a complimentary update to pdngen to be able to connect to \"ringed\" blocks, as this isnt something that is currently handled.\r\n\r\nSeems most likey that for the small number of layers situatin we will have to resort to extendeding layers BLOCK_TOP and BLOCK_TOP-1 to the edges of the block, where it would then be expected to line up with top level stripes, or else connect to power rings around the outside of blocks.\r\n\r\nDo any of these ideas line up with your current practices for these situations? I'm hoping that you can describe your current approach(es) for connecting to sub-blocks in processes with limited metal layers, and that will help me gain some insight into what is needed for the openroad code."}, {"user": "Sorry for the delay.\r\n\r\n> Ok, so for processes with a high enough number of metal layers we can be happy with a strategy that uses a limited number of layers (no more than TOP-1) in the sub blocks (BLOCK_TOP <= TOP-1), with metal straps on the BLOCK_TOP layer being promoted to have pin shapes that can be connected from above.\r\n\r\nAgreed.\r\n\r\n\r\n> I should point out first that there is the ability to add core power/ground rings as part of the power grid and automatically hook these up to named pad cell types.\r\n\r\nThis is already a good solution for one of the main top-level integration approaches, namely having a single \"super macro\" at the top-level. I have checked the black parrot .defok file, but it's there to test the features you mentioned not to be a complete example by itself, right?\r\n\r\nAnother case of top-level integration is when there are multiple macros at the top-level, each having an own PDN. In this case, a possible solution (at a high-level) may go as follows. Assuming that all macros are placed such that their PDNs have **aligning** straps, these straps would be extended and a top-level grid with the same pattern created over the core area inside the padframe. Then a core ring would be created, just like in the black_parrot example, to which the straps will be connected as well as the power pins of the pads. \r\n\r\n\r\n> I'm not sure if this helps with the handling of sub-blocks, we could have core rings be created inside a sub-block and add some code to promote them as pins, but there would need to be a complimentary update to pdngen to be able to connect to \"ringed\" blocks, as this isnt something that is currently handled.\r\n\r\n> or else connect to power rings around the outside of blocks.\r\n\r\nThese sound like a very reasonable solution for handling sub-blocks to me."}, {"assistant": "If you have any comments about how the .defok looks in the current example for core rings, then I would find it insightful to hear them. I can see that I could adapt the approach that I'm using to connect padcells to the core ring to do the same thing from the single core macro to the core rings.\r\n\r\nIf you have macros with aligning straps then you could insert a regular power grid over the core area and define the macro strategy such that the blocks do not obstruct the power straps. My experience with this approach in the past is that it's hard to enforce such a rigorous approach especially if the blocks are being done by different team members.\r\n\r\nI have some code to add p/g pins for a block, however, currently the underlying database API only supports a single shape for each pin. I've requested an API update and when the API is done, I can activate the code to generate the pins in the DEF output.\r\n\r\nI will also have a think about extending block pins to meet the core rings. I'm open to any further suggestions for P/G strategies that you think may be usefully implemented. "}, {"assistant": "@Colin-Holehouse is there something required from OpenDB for this issue?"}, {"assistant": "@Colin-Holehouse any update on this?"}, {"assistant": "@Colin-Holehouse opendb now supports multiple shapes (dbBox) per dbBPin. This should enable you to the put the grid in a single pin."}, {"assistant": "There is an options to specify pins in the grid definition or -pins {} in the TCL API. Stripes on that layer are added as pins of the current block."}]} +{"num": 253, "messages": [{"user": "Currently, if user wanna interact with OpenROAD, the only way is to invoke a tcl shell to send command and receive command. The result is hard to parse, and string only without type struct informations.\r\nSo I wonder if possible to add a json/protobuf based RPC interface as a optional replacement to tcl which contains a more serializable API for other program to interact with OpenROAD.\r\n\r\n"}, {"assistant": "What would this interface look like? All the command parsing is done in tcl today."}, {"user": "I think it can be something like a daemon, which has can consume a json input and generate a json out put, and has a standard serialise and deserialise specification which can be implemented in other program too."}]} +{"num": 269, "messages": [{"user": "**Describe the bug**\r\nI try to implement an opensource FPGA with the openroad flow.\r\nThe report_checks command of the floorplan step was still not finished after 48 hours of execution.\r\n\r\nThe design is not so big (120k standard cells) but it contains many combinational feedback loops. Maybe this is what the STA tool doesn't like?\r\n\r\n**Expected behavior**\r\nA short runtime :)\r\n\r\n**Environment (please complete the following information):**\r\n - OS: Archlinux (I know, not officially supported)\r\n - OpenROAD commit c60f97245dccd6963a459ede934c7189b3bba86e\r\n - OpenROAD-flow commit 229a1f41fc9bae5f5dfd871c799edceb985d4b7e\r\n\r\n**File Uploads**\r\nThe flow is available here https://git.slaanesh.org/killruana/openroad-flow-k1g100/src/branch/k1g100\r\n\r\n```\r\n$ git clone https://git.slaanesh.org/killruana/openroad-flow-k1g100\r\n$ cd openroad-flow-k1g100\r\n$ git checkout k1g100\r\n$ cd flow\r\n$ make DESIGN_CONFIG=designs/nangate45/k1g100.mk\r\n```\r\nI also join an archive: \r\n[k1g100.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD-flow/files/4568515/k1g100.tar.gz)\r\n\r\n**Additional context**\r\nAdd any other context about the problem here.\r\n"}, {"assistant": "If you use the make target floorplan_issue the flow will package the files necessary to run the floorplan step without the flow (see util/util.mk). This is how issues are handed off to openroad. k1g100.tar doesn't really help because it is rtl. I am waiting for yosys to do its thing."}, {"assistant": "Austin packaged the issue (I didn't notice it because it was filed in a different bug system).\r\nYes, the issue is combinational paths. It is stuck trying to levelize the design.\r\nIs there a \"mission mode\" you can enable with set_disable_timing on some feedback paths?\r\nOpenSTA disabled 1472 edges but still finds combinational paths 7300 gates long in the design."}, {"assistant": "Hi Jonathan,\r\n\r\nI am happy to see that you are using OpenROAD and also that you are working on an open source FPGA architecture. As a background, I was the technical leader for Device modeling and Timing Analysis in Quartus at Altera/Intel for 8 years before joining OpenROAD last year. I am very familiar with the problem that you are running into.\r\n\r\nI would recommend that you run the OpenROAD flow without timing constraints as a start. You will get through the flow without the path explosion that Cherry mentions. Timing analysis of an un-programmed FPGA is not yet possible even in commercial STA tools. There are too many possible paths in the un-programmed logic. I worked on this problem for a few years in the past and am very familiar with STA tool limitations here and possible workarounds and solutions.\r\n\r\nOne solution which works well is that once you have completed non timing driven implementation, you can then use set_case_analysis to set the bits in your FPGA like a compiler would do and then do timing analysis of several use cases to see the performance. For hold analysis, for each register, you can use netlist traversal code at the TCL level to disable paths more then a few levels deep. Then you can report_checks -hold and ensure the design is hold clean without any program, ensuring the design is always hold clean regardless of program. FPGA's are slow and normally a few levels is much larger delay than any clock skew/OCV.\r\n\r\nAnother option is to make a very small section of the FPGA and see if timing analysis on it can complete. Even if it does complete most of the paths displayed will be false paths. Once you start setting set_false_path exceptions to block the false paths, you will find that you have to set so many that you will get tired of it or the tool will begin to slow down again.\r\n\r\nThe number of paths in a circuit is exponential and the logic depth is the exponent. STA tools are very good at turning this exponential problem into one that takes linear time. There are limits and un-programmed FPGA timing analysis is still beyond what is possible today in any STA tool.\r\n\r\nThanks and keep us posted with your progress!\r\n\r\nTom\r\n\r\n\r\n"}, {"assistant": "I should mention that we will likely close this issue. This does not mean that we are uninterested to help but can work with you to make progress within the confines of what is possible.\r\n\r\nTom\r\n"}, {"assistant": "To disable the STA you will need to modify the flow scripts to remove report_checks, report_check_types and resizing commands. macro_placement also depends on timing\r\nso I hope you don't have macros in the design. "}, {"user": "Thank you for your responses!\r\n\r\nNow that I have confirmation it is an issue with my design and not the tool, feel free to close the issue."}, {"assistant": "I recently found and fixed an issue that may have prevented your design from running. If it still matters you could try again."}]} +{"num": 299, "messages": [{"user": "TritonCTS currently skips nets which have 1 sink, which makes sense because a tree cannot be constructed with 1 sink:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/771b124831043ab352bcfcb6b9da26ea24bdc81d/src/TritonCTS/src/DbWrapper.cpp#L159-L162\r\n\r\nHowever, for trivial designs which have only 1 sink, this results in no clock nets being found and TritonRoute will exit on error:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/771b124831043ab352bcfcb6b9da26ea24bdc81d/src/TritonCTS/src/DbWrapper.cpp#L110-L114\r\n\r\nTritonCTS should be able to handle this case. I think the main thing is that TritonCTS should never call `std::exit`, it should return control to the main openroad app and allow the app decide what to do.\r\n\r\nEven if TritonCTS returns and does nothing (other than issue WARNs and/or ERRORs), this is a tautological case that should not cause OpenROAD to exit.\r\n\r\nHere is an example design (credit to @ax3ghazy):\r\n```\r\nmodule andd\r\n(\r\n input wire clk,\r\n output reg c,\r\n input wire a,\r\n input wire b,\r\n input wire reset\r\n);\r\n\r\n wire x;\r\n assign x = a & b;\r\n\r\nalways @(posedge clk)\r\n if(reset)\r\n c <= 1'b0;\r\n else\r\n c <= x;\r\nendmodule\r\n```\r\n\r\nAnd the resulting log:\r\n```\r\n ************************\r\n * Populate TritonCTS *\r\n ************************\r\n Initializing clock nets\r\n Number of user-input clocks: 1 ( \"clk\" )\r\n Looking for clock nets in the design\r\n Net \"clk\" found\r\nclk\r\n [WARNING] Net \"clk\" has 1 sinks. Skipping...\r\n [ERROR] No valid clock nets in the design. Exiting...\r\n```\r\n"}, {"user": "Let me know if you need a packaged test case; I can provide one."}, {"assistant": "Thanks for the report Austin!\r\nI'll update TritonCTS in my next PR with a fix for this. \r\nIn short, I'll make that CTS behaves as below:\r\n\r\n1. The user tries to run TritonCTS with a clock net with 1 sink.\r\n2. TritonCTS notices this and informs the user that, either there are no valid clock nets in the design or that the benchmark does not need one (this case).\r\n3. TritonCTS returns to the OpenROAD TCL interface and the user can continue to the routing step as normal. "}, {"user": "Great, I think should work. If it's possible to return to the TCL interface with a non-zero status, that would be great so that it can be handled in TCL as well."}, {"assistant": "See OR-314. I guess the part about not calling exit hasn't sunk in."}, {"assistant": "James, I updated all CTS code to not use exit anymore. It is in the new PR. (linked to this issue.) I didn't add it in the last PR (294) because I wasn't sure if it included C++ exits, since it is a little harder to treat some errors there (C++) than TCL. Sorry about that.\r\n\r\nAustin, I based myself on opendp and pdngen to handle the errors. It works fine, but let me know if there is a better way for TritonCTS.\r\n\r\nAgain, thank you both for the reports.\r\n"}, {"assistant": "I reviewed the PR and merged it into openroad just now. @rovinski in the testcase you mentioned, does the problem persist?"}, {"assistant": "So, because of time constraints of the tapein, I'll go ahead and close this for now since it works with no problems in one of TritonCTS unit tests. You can find it below (modified to print a \"Hello!\" just after TritonCTS):\r\nScript:\r\n```\r\nread_lef` \"merged.lef\"\r\nread_liberty \"merged.lib\"\r\nread_def \"aes.def\"\r\nread_verilog \"aes.v\"\r\nread_sdc \"aes.sdc\"\r\n\r\nclock_tree_synthesis -lut_file \"lut.txt\" \\\r\n -sol_list \"sol_list.txt\" \\\r\n -root_buf CLKBUF_X3 \\\r\n -wire_unit 20 \\\r\n -clk_nets \"clk\" \r\n\r\nputs \"Hello!\"\r\n\r\nexit\r\n```\r\nReport:\r\n```\r\nNotice 0: Reading LEF file: merged.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: merged.lef\r\nNotice 0:\r\nReading DEF file: aes.def\r\nNotice 0: Design: aes_cipher_top\r\nNotice 0: Created 388 pins.\r\nNotice 0: Created 19005 components and 100029 component-terminals.\r\nNotice 0: Created 18831 nets and 61489 connections.\r\nNotice 0: Finished DEF file: aes.def\r\n *****************\r\n * TritonCTS 2.0 *\r\n *****************\r\n *****************************\r\n * Import characterization *\r\n *****************************\r\n Reading LUT file \"lut.txt\"\r\n Min. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n 2 8 1 52 1 24\r\n [WARNING] 180 wires are pure wire and no slew degration.\r\n TritonCTS forced slew degradation on these wires.\r\n Num wire segments: 4994\r\n Num keys in characterization LUT: 1677\r\n Actual min input cap: 8\r\n Reading solution list file \"sol_list.txt\"\r\n **********************\r\n * Find clock roots *\r\n **********************\r\n Running TritonCTS with user-specified clock roots: clk\r\n ************************\r\n * Populate TritonCTS *\r\n ************************\r\n Initializing clock nets\r\n Looking for clock nets in the design\r\n Net \"clk\" found\r\n Initializing clock net for : \"clk\"\r\n [WARNING] Net \"clk\" has 0 sinks. Skipping...\r\nError: No clock nets have been found.\r\n\r\nHello!\r\n```\r\nIf the problem persists with the design from @ax3ghazy, contact me and I'll fix it ASAP (shouldn't be a difficult modification)."}, {"assistant": "Per the round table discussion today, please write a test that has 1\ninstance in it instead of using aes with 13k instances.\n\nOn Mon, Jun 15, 2020 at 10:59 AM Marcelo Freire Danigno <\nnotifications@github.com> wrote:\n\n> So, because of time constraints of the tapein, I'll go ahead and close\n> this for now since it works with no problems in one of TritonCTS unit\n> tests. You can find it below (modified to print a \"Hello!\" just after\n> TritonCTS):\n> Script:\n>\n> read_lef` \"merged.lef\"\n> read_liberty \"merged.lib\"\n> read_def \"aes.def\"\n> read_verilog \"aes.v\"\n> read_sdc \"aes.sdc\"\n>\n> clock_tree_synthesis -lut_file \"lut.txt\" \\\n> -sol_list \"sol_list.txt\" \\\n> -root_buf CLKBUF_X3 \\\n> -wire_unit 20 \\\n> -clk_nets \"clk\"\n>\n> puts \"Hello!\"\n>\n> exit\n>\n> Report:\n>\n> Notice 0: Reading LEF file: merged.lef\n> Notice 0: Created 22 technology layers\n> Notice 0: Created 27 technology vias\n> Notice 0: Created 134 library cells\n> Notice 0: Finished LEF file: merged.lef\n> Notice 0:\n> Reading DEF file: aes.def\n> Notice 0: Design: aes_cipher_top\n> Notice 0: Created 388 pins.\n> Notice 0: Created 19005 components and 100029 component-terminals.\n> Notice 0: Created 18831 nets and 61489 connections.\n> Notice 0: Finished DEF file: aes.def\n> *****************\n> * TritonCTS 2.0 *\n> *****************\n> *****************************\n> * Import characterization *\n> *****************************\n> Reading LUT file \"lut.txt\"\n> Min. len Max. len Min. cap Max. cap Min. slew Max. slew\n> 2 8 1 52 1 24\n> [WARNING] 180 wires are pure wire and no slew degration.\n> TritonCTS forced slew degradation on these wires.\n> Num wire segments: 4994\n> Num keys in characterization LUT: 1677\n> Actual min input cap: 8\n> Reading solution list file \"sol_list.txt\"\n> **********************\n> * Find clock roots *\n> **********************\n> Running TritonCTS with user-specified clock roots: clk\n> ************************\n> * Populate TritonCTS *\n> ************************\n> Initializing clock nets\n> Looking for clock nets in the design\n> Net \"clk\" found\n> Initializing clock net for : \"clk\"\n> [WARNING] Net \"clk\" has 0 sinks. Skipping...\n> Error: No clock nets have been found.\n>\n> Hello!\n>\n> If the problem persists with the design from @ax3ghazy\n> , contact me and I'll fix it ASAP (shouldn't\n> be a difficult modification).\n>\n> \u2014\n> You are receiving this because you commented.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "@MarceloDanigno \r\n> If the problem persists with the design from @ax3ghazy, contact me and I'll fix it ASAP (shouldn't be a difficult modification).\r\n\r\nSorry, do you have me confused with Ahmed Agiza (@ahmed-agiza)?"}, {"user": "@ax3ghazy We had a user `ahegazy` report this problem on gitter, I thought it was you, but maybe it's just a coincidence.\r\n\r\n@MarceloDanigno I tested the design and it looks fine. As @jjcherry56 mentioned, you should make sure this becomes a test case. It should be simple to do."}]} +{"num": 353, "messages": [{"user": "As the number of cells increases with the same wire_length, the resizer crashes with a segmentation fault. We posted a test case in the shared OpenLane/OpenRoad repo."}, {"assistant": "In this test case you removed all the clock buffers from the .lib. OpenSTA expects to be able to find a library port when it disconnects from a net. Would you provide a less trimmed .lib?\r\n\r\nWe can work on a better message as well."}]} +{"num": 354, "messages": [{"user": "TritonCTS always crashes with a segmentation fault at its first step. We posted a test case in the shared OpenLane/OpenRoad repo."}, {"assistant": "This test case won't work as the first buffer specified in buf_list is removed from the trimmed.lib. You can't do CTS without a liberty for the cell. The on-the-fly characterization requires a liberty for delay.\r\n\r\nWe should have a better error handling in this case."}, {"assistant": "The head of the openroad branch now fails with:\r\nError: No Liberty cell found for <...>."}]} +{"num": 358, "messages": [{"user": "While trying to generate the characterization files,\r\nI use the following command in a tcl script\r\nclock_tree_synthesis -buf_list \"$::env(CTS_BUF_CELL)\" \\\r\n-sqr_cap 3.5e-20 \\\r\n-sqr_res 2.0e-4 \\\r\n-root_buf \"$::env(CTS_BUF_CELL_ROOT)\" \\\r\n-max_slew 50.0e-12 \\\r\n-max_cap 150.0e-15 \\\r\n-slew_inter 1.0e-12 \\\r\n-cap_inter 1.0e-15 \\\r\n-wire_unit 20 \\\r\n-clk_nets \"clk\" \\\r\n-out_path \"$::env(CTS_TECH_DIR)\" \\\r\n-only_characterization 0\r\n\r\nas described in https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/TritonCTS.\r\nHowever, I do get the following error\r\n\r\nError: clock_tree_synthesis -buf_list is not a known keyword or flag.\r\n\r\nHere is the version of Openroad I am using and the output of help clock_tree_synthesis\r\n\r\nOpenROAD 1.1.0 9295a533ff\r\n% help clock_tree_synthesis\r\nclock_tree_synthesis\r\n[-lut_file lut] [-sol_list slist] [-root_buf buf] [-wire_unit unit] [-clk_nets nets]\r\n\r\nSo it looks like the option to generate the characterization files was not enabled in my version of\r\nOpenroad. How could I enable it?\r\nThanks"}, {"assistant": "That commit is from Mar 31. Please try the head version of master or openroad (the development branch).\r\n"}, {"user": "Hello,\r\nCould you please help me with the specific version I should try? I checked out the openroad branch of both the flow as well as the openroad tool using the following:\r\n```\r\n git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow\r\n cd OpenROAD-flow\r\n git checkout openroad\r\n git pull origin openroad\r\n cd tools/\r\n cd OpenROAD/\r\n git checkout openroad\r\n git pull origin openroad\r\n cd ..\r\n ./build_openroad.sh\r\n```\r\nHowever, I get the following error \r\n\r\n```\r\n-- Detecting C compile features - done\r\nCMake Error at src/replace/CMakeLists.txt:25 (find_package):\r\n By not providing \"FindEigen3.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"Eigen3\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"Eigen3\" with any\r\n of the following names:\r\n\r\n Eigen3Config.cmake\r\n eigen3-config.cmake\r\n\r\n Add the installation prefix of \"Eigen3\" to CMAKE_PREFIX_PATH or set\r\n \"Eigen3_DIR\" to a directory containing one of the above files. If \"Eigen3\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n-- Configuring incomplete, errors occurred!\r\n```\r\nhere are some git log output\r\nfrom the flow dir: \r\n\r\n```\r\ncommit b31475af60a63ab92af5cc2e91cb8841c36fe163 (HEAD -> openroad, origin/openroad)\r\nMerge: d2e2cab 30bcac4\r\nAuthor: Matt Liberty \r\nDate: Tue Jun 30 10:26:14 2020 -0700\r\n```\r\nFrom the tools/OpenRoad directory\r\n\r\n\r\n```\r\ncommit f7e4ab976ccaddef9414a2719ebdaec2f91485e6 (HEAD)\r\nMerge: b830f989 484ab706\r\nAuthor: James Cherry <34749589+jjcherry56@users.noreply.github.com>\r\nDate: Mon Jun 29 10:33:45 2020 -0700\r\n\r\n Merge pull request #355 from The-OpenROAD-Project/resizer\r\n\r\n Resizer\r\n\r\n```\r\n\r\n\r\nAny hint on what I am doing wrong would be appreciated. \r\nG"}, {"assistant": "@gkamendje The error means exactly what it says. Eigen3 is a dependency of OpenROAD. Check the README for the required dependencies.\r\n\r\nWhen using OpenROAD-flow, you do not need to do any cloning in the `tools` directory. `build_openroad.sh` takes care of that, and in fact will override whatever branch you try to clone in the tools folder. Use `build_openroad.sh --help` to view build options."}, {"user": "@rovinski thanks for the hint. adding the the missing Eigen3 dependency and compiling with GCC 8.4.0 solved the issue. \r\nThanks \r\n"}]} +{"num": 360, "messages": [{"user": "Using the latest from GIthub I get the following error while trying to compile Openroad in a stand alone mode:\r\nOpenROAD/src/OpenPhySyn/src/FileUtils.cpp:34:10: fatal error: filesystem: No such file or directory\r\n\r\n```\r\ncommit 771b124831043ab352bcfcb6b9da26ea24bdc81d (HEAD -> master, origin/master, origin/HEAD)\r\nMerge: 47859fed 54d8b1ca\r\nAuthor: tspyrou <46945466+tspyrou@users.noreply.github.com>\r\nDate: Sat May 30 13:52:08 2020 -0700\r\n```\r\n\r\nHow to reproduce:\r\n```\r\ngit clone --recursice https://github.com/The-OpenROAD-Project/OpenROAD.git\r\ncd OpenROAD\r\n mkdir build\r\n cd build/\r\n cmake ..\r\n make\r\n\r\n```\r\n\r\n\r\nI have the followring prerequisites installed. \r\n * cmake 3.14\r\n * gcc 8.3.0 or clang\r\n * bison 3.0.5\r\n * flex 2.6.4\r\n * swig 4.0\r\n\r\nLibraries\r\n * boost 1.68\r\n * tcl 8.6\r\n * zlib\r\n * eigen\r\n * lemon\r\n * CImg (optional for replace)\r\n * spdlog (optional for OpenPhySyn)\r\nThanks for any hint"}, {"assistant": "This problem should not happen if you are using GCC >= 8.3.0."}, {"user": "@rovinski Thanks for the hint. I was able to succesfuly compile and link using GCC 8.4.0 with the options\r\n` -DCMAKE_C_COMPILER=/usr/bin/gcc -DCMAKE_CXX_COMPILER=/usr/bin/g++`\r\n\r\nHowever, linking fails when trying to compile with CLANG which is the default compiler\r\n \r\n```\r\n/usr/bin/ld: ../../src/OpenSTA/app/libOpenSTA.a(DispatchQueue.cc.o): undefined reference to symbol 'pthread_create@@GLIBC_2.2.5'\r\n//lib/x86_64-linux-gnu/libpthread.so.0: error adding symbols: DSO missing from command line\r\nclang: error: linker command failed with exit code 1 (use -v to see invocation)\r\nsrc/CMakeFiles/openroad.dir/build.make:209: recipe for target 'src/openroad' failed\r\nmake[2]: *** [src/openroad] Error 1\r\nmake[2]: Leaving directory '/home/gkamendje/tmp_compile/OpenROAD/build'\r\nCMakeFiles/Makefile2:1043: recipe for target 'src/CMakeFiles/openroad.dir/all' failed\r\nmake[1]: *** [src/CMakeFiles/openroad.dir/all] Error 2\r\nmake[1]: Leaving directory '/home/gkamendje/tmp_compile/OpenROAD/build'\r\nMakefile:152: recipe for target 'all' failed\r\nmake: *** [all] Error 2\r\n```\r\n\r\nAfter adding -DCMAKE_CXX_FLAGS=-pthread to cmake still with CLANG, I get the following error. \r\n\r\n```\r\nOpenPhySyn/libOpenPhySyn.a(FileUtils.cpp.o): In function `psn::FileUtils::createDirectory(std::__cxx11::basic_string, std::allocator > const&)':\r\nFileUtils.cpp:(.text+0x83): undefined reference to `std::filesystem::create_directory(std::filesystem::__cxx11::path const&)'\r\nOpenPhySyn/libOpenPhySyn.a(FileUtils.cpp.o): In function `psn::FileUtils::readDirectory(std::__cxx11::basic_string, std::allocator > const&)':\r\nFileUtils.cpp:(.text+0x1ee): undefined reference to `std::filesystem::__cxx11::directory_iterator::directory_iterator(std::filesystem::__cxx11::path const&, std::filesystem::directory_options, std::error_code*)'\r\nFileUtils.cpp:(.text+0x334): undefined reference to `std::filesystem::__cxx11::directory_iterator::operator*() const'\r\nFileUtils.cpp:(.text+0x42c): undefined reference to `std::filesystem::__cxx11::directory_iterator::operator++()'\r\nOpenPhySyn/libOpenPhySyn.a(FileUtils.cpp.o): In function `std::filesystem::__cxx11::path::path, std::allocator >, std::filesystem::__cxx11::path>(std::__cxx11::basic_string, std::allocator > const&, std::filesystem::__cxx11::path::format)':\r\nFileUtils.cpp:(.text._ZNSt10filesystem7__cxx114pathC2INSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEES1_EERKT_NS1_6formatE[_ZNSt10filesystem7__cxx114pathC2INSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEES1_EERKT_NS1_6formatE]+0x9b): undefined reference to `std::filesystem::__cxx11::path::_M_split_cmpts()'\r\nOpenPhySyn/libOpenPhySyn.a(FileUtils.cpp.o): In function `std::filesystem::__cxx11::path::operator/=(std::filesystem::__cxx11::path const&)':\r\nFileUtils.cpp:(.text._ZNSt10filesystem7__cxx114pathdVERKS1_[_ZNSt10filesystem7__cxx114pathdVERKS1_]+0x18): undefined reference to `std::filesystem::__cxx11::path::has_root_directory() const'\r\nFileUtils.cpp:(.text._ZNSt10filesystem7__cxx114pathdVERKS1_[_ZNSt10filesystem7__cxx114pathdVERKS1_]+0x31): undefined reference to `std::filesystem::__cxx11::path::has_filename() const'\r\nFileUtils.cpp:(.text._ZNSt10filesystem7__cxx114pathdVERKS1_[_ZNSt10filesystem7__cxx114pathdVERKS1_]+0x188): undefined reference to `std::filesystem::__cxx11::path::_M_split_cmpts()'\r\nclang: error: linker command failed with exit code 1 (use -v to see invocation)\r\nsrc/CMakeFiles/openroad.dir/build.make:209: recipe for target 'src/openroad' failed\r\nmake[2]: *** [src/openroad] Error 1\r\nmake[2]: Leaving directory '/home/gkamendje/tmp_compile/OpenROAD/build'\r\nCMakeFiles/Makefile2:1043: recipe for target 'src/CMakeFiles/openroad.dir/all' failed\r\nmake[1]: *** [src/CMakeFiles/openroad.dir/all] Error 2\r\nmake[1]: Leaving directory '/home/gkamendje/tmp_compile/OpenROAD/build'\r\nMakefile:152: recipe for target 'all' failed\r\nmake: *** [all] Error 2\r\n```\r\nI am closing this issue since I am able to compile and link with GCC but I think it would be great it someone could look at the CLANG linking issue. \r\nI am using Ubuntu 18.04 LTS\r\nG\r\n\r\n"}]} +{"num": 381, "messages": [{"user": "Hi, \r\nI've been having trouble building on macOS require a couple of work arounds. Each time I need to manually hack main build script to add -D TCL_LIBRARY=/opt/local/lib -D TCL_INCLUDE_PATH=/opt/local/include arguments to cmake \r\n\r\nAdditionally, for OpenSTA some linking commands that are incomplete missing -ltcl:\r\n\r\n/Library/Developer/CommandLineTools/usr/bin/c++ -O3 -DNDEBUG -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -Wl,-search_paths_first -Wl,-headerpad_max_install_names CMakeFiles/sta.dir/app/Main.cc.o -o app/sta app/libOpenSTA.a sta_swig.a /usr/lib/libz.dylib /usr/local/lib/libcudd.a app/libOpenSTA.a\r\nfixed by appending to CMakeFiles/sta.dir/link.txt\r\n"}, {"user": "This other one is also missing libcudd.a:\r\n\r\ncd /Users/ronaldv/Projects/repositories/msaligane/OpenROAD-flow/tools/build/OpenROAD/src && /usr/local/Cellar/cmake/3.17.3/bin/cmake -E cmake_link_script CMakeFiles/openroad.dir/link.txt --verbose=1\r\n/Library/Developer/CommandLineTools/usr/bin/c++ -O3 -DNDEBUG -isysroot /Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -Wl,-search_paths_first -Wl,-headerpad_max_install_names CMakeFiles/openroad.dir/OpenRoad.cc.o CMakeFiles/openroad.dir/Error.cc.o CMakeFiles/openroad.dir/OpenRoadTclInitVar.cc.o CMakeFiles/openroad.dir/Main.cc.o -o openroad init_fp/src/libinit_fp.a openroad_swig.a replace/libreplace.a opendp/libopendp.a resizer/src/libresizer.a ../libioPlacer.a flute3/libflute.a dbSta/src/libdbSta.a /Users/ronaldv/Projects/repositories/msaligane/OpenROAD-flow/tools/OpenROAD/src/OpenSTA/app/libOpenSTA.a OpenDB/src/db/libopendb.a opendb_swig.a OpenRCX/src/libOpenRCX.a TritonCTS/libTritonCTS.a FastRoute/libFastRoute.a tapcell/src/libtapcell.a TritonMacroPlace/libTritonMacroPlace.a TritonMacroPlace/module/ParquetFP/libParquetFP.a TritonMacroPlace/module/ABKCommon/libABKCommon.a PDNSim/src/libpdnsim.a OpenDB/src/zlib/libzlib.a OpenDB/src/tm/libtm.a OpenDB/src/defin/libdefin.a OpenDB/src/defout/libdefout.a OpenDB/src/lefin/liblefin.a OpenDB/src/lefout/liblefout.a antennachecker/src/libantennachecker.a OpenDB/src/zutil/libzutil.a OpenPhySyn/libOpenPhySyn.a /usr/lib/libz.dylib ../libMunkres.a OpenRCX/src/openrcx-swig.a OpenDB/src/db/libopendb.a OpenDB/src/zlib/libzlib.a OpenDB/src/tm/libtm.a OpenDB/src/defin/libdefin.a /Users/ronaldv/Projects/repositories/msaligane/OpenROAD-flow/tools/OpenROAD/src/OpenDB/src/def/lib/libdef.a OpenDB/src/defout/libdefout.a OpenDB/src/lefout/liblefout.a -ltcl OpenDB/src/lefin/liblefin.a OpenDB/src/zutil/libzutil.a /Users/ronaldv/Projects/repositories/msaligane/OpenROAD-flow/tools/OpenROAD/src/OpenDB/src/lef/lib/liblef.a FastRoute/third_party/fastroute/libFastRoute4.1.a flute3/libflute.a FastRoute/third_party/pdrev/libpdrev.a -ldl OpenPhySyn/src/StandardTransforms/BufferFanoutTransform/libbuffer_fanout.a OpenPhySyn/src/StandardTransforms/GateCloningTransform/libgate_clone.a OpenPhySyn/src/StandardTransforms/PinSwapTransform/libpin_swap.a OpenPhySyn/src/StandardTransforms/ConstantPropagationTransform/libconstant_propagation.a /Users/ronaldv/Projects/repositories/msaligane/OpenROAD-flow/tools/OpenROAD/src/OpenSTA/app/libOpenSTA.a"}, {"assistant": "I develop openroad on macos. I don't use the tcl in macos because it is lacking beyond supporting tclsh. Use homebrew to install tcl-tk and it should work without any command line options.\r\n\r\nAs for CUDD, see src/OpenSTA/README.md. It is optional and if you are having problems, don't use it.\r\n"}, {"user": "Hi James, \r\nI'm also able to build on macOS, the request is to have an option on the build script to properly pass the variables to the CMAKE scripts, currently I'm forced to do that manually. Right now it seems just easier to skip the build script altogether and build manually.\r\nBests,\r\nRonald"}, {"assistant": "@20Mhz If your issue is with OpenROAD-flow, please file the issue under that repository."}, {"user": "Ah! True, didn't realize it's the wrong repository. Thanks"}]} +{"num": 383, "messages": [{"user": "```\r\n* thread #1, queue = 'com.apple.main-thread', stop reason = EXC_BAD_ACCESS (code=1, address=0x0)\r\n * frame #0: 0x0000000107ba7fd7 openroad`odb::dbNet::getBTerms() + 7\r\n frame #1: 0x000000010792f3a8 openroad`sta::dbNetwork::termIterator(sta::Net const*) const + 88\r\n frame #2: 0x0000000107a0cd84 openroad`sta::Network::visitConnectedPins(sta::Net const*, sta::PinVisitor&, sta::Set >&) const + 260\r\n frame #3: 0x0000000107a0cc4e openroad`sta::Network::visitConnectedPins(sta::Net const*, sta::PinVisitor&) const + 46\r\n frame #4: 0x0000000107a0d1d9 openroad`sta::Network::connectedPinIterator(sta::Net const*) const + 89\r\n frame #5: 0x000000010790056f openroad`sta::Resizer::repairTieFanout(sta::LibertyPort*, double, bool) + 415\r\n frame #6: 0x0000000107912751 openroad`_wrap_repair_tie_fanout_cmd(void*, Tcl_Interp*, int, Tcl_Obj* const*) + 433\r\n```\r\n\r\nculprit Resizer.cc:969 network_->net(drvr_pin) returns null pointer.\r\n\r\nCan be reproduced with following Netlist mapped to sky130:\r\n...\r\n sky130_fd_sc_hs__conb_1 _12926_ (.HI(_06461_));\r\n sky130_fd_sc_hs__conb_1 _12927_ (.LO(_06462_));\r\n..."}, {"assistant": "fixed in fb9f36ac"}]} +{"num": 386, "messages": [{"user": "There is a SDC support limitation in Yosys synthesis, but no obvious comment about this on tritonCTS is documented. Is there any other source of reference that I can check out to get further information?"}, {"assistant": "@MarceloDanigno any documentation on TritonCTS limitations? I remember there used to be something, but I can't find it.\r\n\r\n@kentropy TritonCTS supports quite a bit of the general CTS use cases. It might be easier to ask if the specific features you are looking for are supported."}, {"assistant": "All of the openroad app relies on OpenSTA to read SDC and provides access\nto the result. Generally OpenSTA has very good SDC support. Is there\nsomething particular you are concerned about? You can see more information\nat\nhttps://github.com/The-OpenROAD-Project/OpenSTA/blob/master/doc/OpenSTA.pdf\n\nTritonCTS mostly uses the SDC to find clock roots afaik.\n\nMatt\n\nOn Fri, Jul 17, 2020 at 11:28 PM Austin Rovinski \nwrote:\n\n> @MarceloDanigno any documentation on\n> TritonCTS limitations? I remember there used to be something, but I can't\n> find it.\n>\n> @kentropy TritonCTS supports quite a bit of\n> the general CTS use cases. It might be easier to ask if the specific\n> features you are looking for are supported.\n>\n> \u2014\n> You are receiving this because you are subscribed to this thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "Sorry about the PR above, it is unrelated to this issue (meant to tag 389).\r\nThe limitations to TritonCTS that I found are issues regarding the clock tree builder algorithm. For example, having a fanout factor higher than 2. Most of the others were already close either from updates to TritonCTS (multiple clocks, characterization) or OpenSTA (finding clock roots).\r\nAll SDC information in TritonCTS is received from OpenSTA, and we currently only use it to find clock roots, as Matt said."}, {"assistant": "@MarceloDanigno I think the question is more about the restrictions on what types of sdc-defined clocks TritonCTS supports. e.g. generated clocks, unbalanced waveforms, negative-edge flops, etc. I think that since @kentropy hasn't responded this issue can be closed."}, {"user": "Sorry for late replies. What I mean is more towards the modeling parameters that TritonCTS supports. E.g.: max skew, max fanout, ..., and so on. \r\nI think the document @maliberty mentioned is what I was looking for. Thank you all!"}]} +{"num": 389, "messages": [{"user": "Having multiple cell names in the variable CTS_BUF_CELL causes TritonCTS to crash with segmentation fault. \r\nWith multiple cell names in this variable, the call \r\n`odb::dbMaster* master = _db->findMaster(inst.getMaster().c_str());`\r\non line 329 of file OpenROAD/src/TritonCTS/src/DbWrapper.cpp returns a null pointer.This null pointer is passed to the function `odb::dbInst::create `\r\n`odb::dbInst::create (block_=0x14eaddf8, master_=0x0, name_=0x7ffffffec830 \"clkbuf_0_clk\")`\r\nand the line 1228 _dbInstHdr* inst_hdr = block->_inst_hdr_hash.find(master->_id); in file \r\nOpenROAD/src/OpenDB/src/db/dbInst.cpp causes a segmentation fault. \r\nI think a check should be built in to ensure that this variable has only one cell name. \r\n\r\nBy the way, while looking at the code I noticed the following inefficiencies:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/2f8a74e18004f12a48a38f98689b66d9fdc8db0e/src/TritonCTS/src/DbWrapper.cpp#L113-L118\r\n\r\nThe function `getNumClocks()` is called 3 times instead of calling once, saving the return value in a variable and subsequently using the variable later in the code.\r\n\r\n"}, {"assistant": "We will address the crash. The \"inefficiency\" is unimportant as the function is trivially inlined by the compiler:\r\n\r\n unsigned getNumClocks() const { return _numberOfClocks; }\r\n\r\n"}]} +{"num": 390, "messages": [{"user": "When I **docker run -it openroad/flow image** and **cd** to flow folder to use **make** to help me compile.\r\nI got the following information:\r\n---\r\nDesign area 25593 u^2 100% utilization.\r\n0:00.83elapsed 100%CPU 60784memKB\r\nmkdir -p ./objects/nangate45/gcd\r\nsed 's,.*,/OpenROAD-flow/flow/platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef /OpenROAD-flow/flow/platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef,g' platforms/nangate45/FreePDK45.lyt > objects/nangate45/gcd/klayout.lyt\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" klayout -zz -rd design_name=gcd \\\r\n -rd in_def=./results/nangate45/gcd/5_route.def \\\r\n -rd in_gds=\"./platforms/nangate45/gds/NangateOpenCellLibrary.gds \" \\\r\n -rd out_gds=results/nangate45/gcd/6_1_merged.gds \\\r\n -rd tech_file=./objects/nangate45/gcd/klayout.lyt \\\r\n -rm ./util/def2gds.py) 2>&1 | tee ./logs/nangate45/gcd/6_1_merge.log\r\n/usr/bin/time: cannot run klayout: No such file or directory\r\n0:00.00elapsed 88%CPU 1196memKB\r\nmake: *** [results/nangate45/gcd/6_1_merged.gds] Error 127\r\n---\r\nI can't find the gds file which is generated by the openroad.\r\nDo you know how to solve it? Thanks a lot!\r\n"}, {"assistant": "The problem is : cannot run klayout\r\n\r\nDid you use the Dockerfile from OpenROAD-flow? It should have installed it:\r\n\r\nyum localinstall https://www.klayout.org/downloads/CentOS_7/klayout-0.26.4-0.x86_64.rpm -y\r\n"}]} +{"num": 408, "messages": [{"user": "Hi, why the [OpenROAD-flow](https://github.com/The-OpenROAD-Project/OpenROAD-flow) repo was closed ? "}, {"assistant": "We are aware of the issue and are working to resolve it as quickly as possible."}, {"user": "@rovinski Thanks."}, {"assistant": "Do we have an ETA on this?"}, {"assistant": "@rashmi2383 unfortunately no ETA at this time. This issue will be closed once it is back up. You can put a watch on this issue to be notified by GitHub when that happens."}, {"assistant": "A temporary read-only copy is now up at https://github.com/The-OpenROAD-Project/OpenROAD-flow\r\n\r\nWe are still working on getting the full history restored."}, {"assistant": "I am seeing some build issues on Ubuntu 18.04 with OpenROAD-flow. Can I open a new issue in this repo?"}, {"assistant": "Is it #482 ?"}, {"assistant": "This is the error:\r\n\r\n[INFO][FLOW-0000] using local build method. This will create binaries at tools/build/\r\n[100%] Building yosys\r\n[100%] Building abc/abc-7a98ef0\r\n[100%] Building yosys-filterlib\r\n[100%] Building share/xilinx/brams_init_36.vh\r\n[100%] Building share/xilinx/brams_init_32.vh\r\n[100%] Building share/xilinx/brams_init_18.vh\r\n[100%] Building share/xilinx/brams_init_16.vh\r\n/usr/bin/ld: cannot find -ltcl8.5\r\n[100%] Building share/ice40/brams_init1.vh\r\n[100%] Building share/ice40/brams_init2.vh\r\ncollect2: error: ld returned 1 exit status\r\npasses/techmap/Makefile.inc:66: recipe for target 'yosys-filterlib' failed\r\nmake: *** [yosys-filterlib] Error 1\r\nmake: *** Waiting for unfinished jobs....\r\nERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\nMakefile:622: recipe for target 'abc/abc-7a98ef0' failed\r\nmake: *** [abc/abc-7a98ef0] Error 1\r\n/usr/bin/ld: cannot find -ltcl8.5\r\ncollect2: error: ld returned 1 exit status\r\nMakefile:573: recipe for target 'yosys' failed\r\nmake: *** [yosys] Error 1\r\nmake: *** wait: No child processes. Stop.\r\n"}, {"assistant": "It's looking for tcl8.5 because CentOS 7 is the official platform. If you have tcl8.6 then just replace it in the build script:\r\n```\r\nsed -i 's/tcl8\\.5/tcl8\\.6/' build_openroad.sh\r\n```\r\nIf you don't have tcl installed, then install it:\r\n```\r\napt-get install tcl-dev\r\n```\r\nIf this doesn't work for you then please open a new issue."}, {"assistant": "This helped. But I still see the Yosys Makefile error:\r\n\r\nERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\nMakefile:622: recipe for target 'abc/abc-7a98ef0' failed\r\nmake: *** [abc/abc-7a98ef0] Error 1\r\nmake: *** Waiting for unfinished jobs....\r\n"}, {"assistant": "@rashmi2383 please open a new issue, this is not related to the thread."}, {"assistant": "This is the new area for the flow. https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts"}]} +{"num": 413, "messages": [{"user": "While compiling the code I faced the following error:\r\n```\r\n-- STA executable: /prj/openroad/OpenROAD/src/OpenSTA/app/sta\r\nCMake Error at src/replace/CMakeLists.txt:25 (find_package):\r\n By not providing \"FindEigen3.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"Eigen3\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"Eigen3\" with any\r\n of the following names:\r\n\r\n Eigen3Config.cmake\r\n eigen3-config.cmake\r\n\r\n Add the installation prefix of \"Eigen3\" to CMAKE_PREFIX_PATH or set\r\n \"Eigen3_DIR\" to a directory containing one of the above files. If \"Eigen3\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\n```\r\n\r\nAfter Digging around on stackoverflow, I understand that this has happened due to changes between CMake 2.x and Cmake 3.x.\r\n\r\nMy system configuration is:\r\ncmake --version\r\ncmake version 3.16.3\r\n\r\nlsb_release -a\r\nNo LSB modules are available.\r\nDistributor ID:\tLinuxmint\r\nDescription:\tLinux Mint 20\r\nRelease:\t20\r\nCodename:\tulyana\r\n\r\n"}, {"assistant": "The error means what it says. `eigen3` is a dependency. You need to install it on your system."}, {"assistant": "You can look at the Docker file to see the dependencies, e.g.:\r\n\r\n```\r\n# eigen required by replace, TritonMacroPlace\r\nRUN git clone https://gitlab.com/libeigen/eigen.git \\\r\n && cd eigen \\\r\n && mkdir build \\\r\n && cd build \\\r\n && cmake .. \\\r\n && make install\r\n```"}]} +{"num": 416, "messages": [{"user": "In my design, I use Metal1 for rails, Metal 6 for straps. Core ring consists of Metal 5 and Metal 6. Since Metal 5 is not used in rails or straps, pdngen gives error that it could not find Metal5. It does not also increase the length of Metal1 to connect on Metal6 on the ring. It only connects Metal1 to Metal6 on the strap."}, {"assistant": "@viyelesay please include screen shots and a copy of the log file (sanitizing any proprietary data as necessary). If you can reproduce the issue using open source data (e.g. Nangate45 / Skywater130) it would help significantly."}, {"user": "Please check the attached file.\r\n[pdngenissue.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/5026220/pdngenissue.zip)\r\n"}, {"assistant": "Thanks for the clear testcase, I was able to make some modifications to the code to allow for the metal5/metal6 core rings and the metal1/metal6 grid over the stdcells - you are correct in thinking that you will need to have the {metal5 metal6} connection defined in order to connect the core rings properly.\r\n\r\nExtending the stdcell rail out to the core ring, wasn't something I had been looking to add, but based on your request I will add an option in the config file to make that happen, something like:\r\n\r\nrails {\r\n metal1 {width 0.17 pitch 2.4 offset 0 extend_to_core_ring 1}\r\n}\r\n\r\nI've checked the code updates into the branch pdn-issue_416 if you want to try it out. Please let me know if you have any further comments - the branch will need to go through a few more QA steps before it is merged in with the the openroad branch, I will update here as and when the merge happens.\r\n"}, {"user": "Thanks for the quick response and fix. I checked your solution and it is working. Is it also possible to select number via rows between rails and core connections? Currently, there are only one via row connection. It will be nice if there is a parameter that we control number of via rows."}, {"assistant": "Currently connections to the core rings are made in exactly the same way as to the power straps. The code looks to create vias that fit within the overlapping areas of the layers involved. If there was space for more via rows then they should be added (I would regard this as a bug if it did not happen like this). \r\n\r\nIt's not clear to me why you think it would be better to have multiple rows of vias for the connections to the core rings, but if I understand your comment correctly, you're asking for a larger via to be inserted that is wider than the metal1 rail. Given that we're talking about a via stack from metal1 up to metal6, then we are talking about several different vias up through the stack - would you want control over each individual via in the stack, or the same number of rows to be used for all vias in the stack? \r\n\r\nConstraints can be added for connections - there are not many of these defined currently, e.g. max_columns which limits the number of columns that are present in a via. Perhaps we can add a new constraint here \"min_columns\" / \"min_rows\" potentially that would allow you to force the via to be larger than the minimum size."}, {"user": "Connections to the core ring are made the same way as the power straps. There is no bug in terms of that.\r\n\r\nThe main reason to add more via between core ring and metal1 straps is to reduce the via resistance on the power lines. Since there is enough space on the core rings, we can add couple of extra via rows.\r\n\r\nControlling each individual via stack will be nice, but I don't think it is necessary."}]} +{"num": 417, "messages": [{"user": "In the original paper of TritonCTS, it stated that the algorithm performs optimizations subject to the given constraints like maximum transition time, load capacitance, and fanout.\r\n\r\nI did a little experiment by changing the constraint of max fanout in SDC file with a fixed placement. But the results seems to be the same. I expect TritonCTS to keep optimize until the constraint is met.\r\n\r\nAnything that I might misunderstand about the paper?\r\n\r\nI think what I am looking for is available in V1: \r\nhttps://github.com/The-OpenROAD-Project/TritonCTS/blob/master/doc/Technology_characterization.md\r\n\r\nIs there documents about how to do this in V2?"}, {"assistant": "Normally clock tree fanout is different from data path max fanout. This is set in TritonCTS using -sink_clustering_size option. This is typically used with -sink_clustering_max_diameter to keep cluster load reasonable. In absence of -sink_clustering_size and -sink_clustering_max_diameter, clustering size would be determined by load cap of clusters.\r\nClock tree building uses characterization to ensure Max cap and max transition violation do not occur."}, {"assistant": "quite old with no further discussion"}]} +{"num": 425, "messages": [{"user": "Hi,\r\nI want to view only the inserted clock buffers post CTS. How can I see the clock buffers in MAGIC or KLayout?"}, {"assistant": "I'd recommend asking Magic or KLayout devs. If you have some way of filtering by instance name, all CTS buffer start with `clkbuf_`. If you have some way of filtering by net, all nets use the `CLOCK` signal type."}]} +{"num": 428, "messages": [{"user": "OpenROAD cannot link with CUDD. I have CUDD in `/usr/local/lib/`. I'm on Ubuntu 18.04. The output is as following:\r\n\r\n```\r\n.....\r\n[ 97%] Linking CXX static library libOpenPhySyn.a\r\ncd /home/tamim/OpenROAD/build/src/OpenPhySyn && /usr/local/bin/cmake -P CMakeFiles/OpenPhySyn.dir/cmake_clean_target.cmake\r\ncd /home/tamim/OpenROAD/build/src/OpenPhySyn && /usr/local/bin/cmake -E cmake_link_script CMakeFiles/OpenPhySyn.dir/link.txt --verbose=1\r\n/usr/bin/ar qc libOpenPhySyn.a CMakeFiles/OpenPhySyn.dir/src/Psn.cpp.o CMakeFiles/OpenPhySyn.dir/src/Exports.cpp.o CMakeFiles/OpenPhySyn.dir/src/SteinerTree.cpp.o CMakeFiles/OpenPhySyn.dir/src/PsnLogger.cpp.o CMakeFiles/OpenPhySyn.dir/src/DatabaseHandler.cpp.o CMakeFiles/OpenPhySyn.dir/src/PsnTransform.cpp.o CMakeFiles/OpenPhySyn.dir/src/TransformHandler.cpp.o CMakeFiles/OpenPhySyn.dir/src/TransformInfo.cpp.o CMakeFiles/OpenPhySyn.dir/src/FileUtils.cpp.o CMakeFiles/OpenPhySyn.dir/src/FilesystemLegacyHelpers.cpp.o CMakeFiles/OpenPhySyn.dir/src/StringUtils.cpp.o CMakeFiles/OpenPhySyn.dir/src/PsnGlobal.cpp.o CMakeFiles/OpenPhySyn.dir/src/PsnException.cpp.o CMakeFiles/OpenPhySyn.dir/src/PathPoint.cpp.o CMakeFiles/OpenPhySyn.dir/src/MakeOpenPhySyn.cpp.o CMakeFiles/OpenPhySyn.dir/CMakeFiles/Psn_lib_wrap.cc.o\r\n/usr/bin/ranlib libOpenPhySyn.a\r\nmake[2]: Leaving directory '/home/tamim/OpenROAD/build'\r\n[ 97%] Built target OpenPhySyn\r\nmake -f src/CMakeFiles/openroad.dir/build.make src/CMakeFiles/openroad.dir/depend\r\nmake[2]: Entering directory '/home/tamim/OpenROAD/build'\r\n[ 97%] Generating OpenRoadTclInitVar.cc\r\ncd /home/tamim/OpenROAD/src && /home/tamim/OpenROAD/src/OpenSTA/etc/TclEncode.tcl /home/tamim/OpenROAD/build/src/OpenRoadTclInitVar.cc openroad_tcl_inits /home/tamim/OpenROAD/src/OpenSTA/tcl/Util.tcl OpenRoad.tcl /home/tamim/OpenROAD/src/ICeWall/src/ICeWall.tcl /home/tamim/OpenROAD/src/pdngen/src/PdnGen.tcl\r\ncd /home/tamim/OpenROAD/build && /usr/local/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/tamim/OpenROAD /home/tamim/OpenROAD/src /home/tamim/OpenROAD/build /home/tamim/OpenROAD/build/src /home/tamim/OpenROAD/build/src/CMakeFiles/openroad.dir/DependInfo.cmake --color=\r\nScanning dependencies of target openroad\r\nmake[2]: Leaving directory '/home/tamim/OpenROAD/build'\r\nmake -f src/CMakeFiles/openroad.dir/build.make src/CMakeFiles/openroad.dir/build\r\nmake[2]: Entering directory '/home/tamim/OpenROAD/build'\r\n[ 97%] Building CXX object src/CMakeFiles/openroad.dir/OpenRoad.cc.o\r\ncd /home/tamim/OpenROAD/build/src && /usr/bin/c++ -DOPENPHYSYN_TRANSFORM_BUILD -DOPENROAD_BUILD -I/home/tamim/OpenROAD/include -I/home/tamim/OpenROAD/src -I/home/tamim/OpenROAD/src/OpenSTA/include -I/home/tamim/OpenROAD/src/init_fp/include -I/home/tamim/OpenROAD/src/OpenDB/include -I/home/tamim/OpenROAD/src/replace/include -I/home/tamim/OpenROAD/src/opendp/include -I/home/tamim/OpenROAD/src/TritonMacroPlace/include -I/home/tamim/OpenROAD/src/FastRoute/include -I/home/tamim/OpenROAD/src/OpenPhySyn/include -I/home/tamim/OpenROAD/src/flute3 -I/home/tamim/OpenROAD/src/pdngen/include -I/home/tamim/OpenROAD/src/tapcell/include -I/home/tamim/OpenROAD/src/OpenRCX/include -I/home/tamim/OpenROAD/src/PDNSim/include -I/home/tamim/OpenROAD/src/antennachecker/include -I/home/tamim/OpenROAD/src/resizer/include -I/home/tamim/OpenROAD/src/ioPlacer/src -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres -I/home/tamim/OpenROAD/src/OpenDB/include/opendb -I/home/tamim/OpenROAD/src/OpenDB/src/lef/lef -I/home/tamim/OpenROAD/src/OpenDB/src/def/def -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres/src -I/home/tamim/OpenROAD/src/dbSta/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute -I/home/tamim/OpenROAD/src/FastRoute/third_party/pdrev/include -I/home/tamim/OpenROAD/src/FastRoute/src -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/flute -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/../pdrev -I/home/tamim/OpenROAD/src/TritonMacroPlace/src -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ParquetFP -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon/src -I/home/tamim/OpenROAD/src/OpenPhySyn/include/OpenPhySyn -I/home/tamim/OpenROAD/src/OpenPhySyn/src -isystem /usr/include/eigen3 -O3 -DNDEBUG -Wall -Wextra -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -std=c++1z -o CMakeFiles/openroad.dir/OpenRoad.cc.o -c /home/tamim/OpenROAD/src/OpenRoad.cc\r\n[ 97%] Building CXX object src/CMakeFiles/openroad.dir/Error.cc.o\r\ncd /home/tamim/OpenROAD/build/src && /usr/bin/c++ -DOPENPHYSYN_TRANSFORM_BUILD -DOPENROAD_BUILD -I/home/tamim/OpenROAD/include -I/home/tamim/OpenROAD/src -I/home/tamim/OpenROAD/src/OpenSTA/include -I/home/tamim/OpenROAD/src/init_fp/include -I/home/tamim/OpenROAD/src/OpenDB/include -I/home/tamim/OpenROAD/src/replace/include -I/home/tamim/OpenROAD/src/opendp/include -I/home/tamim/OpenROAD/src/TritonMacroPlace/include -I/home/tamim/OpenROAD/src/FastRoute/include -I/home/tamim/OpenROAD/src/OpenPhySyn/include -I/home/tamim/OpenROAD/src/flute3 -I/home/tamim/OpenROAD/src/pdngen/include -I/home/tamim/OpenROAD/src/tapcell/include -I/home/tamim/OpenROAD/src/OpenRCX/include -I/home/tamim/OpenROAD/src/PDNSim/include -I/home/tamim/OpenROAD/src/antennachecker/include -I/home/tamim/OpenROAD/src/resizer/include -I/home/tamim/OpenROAD/src/ioPlacer/src -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres -I/home/tamim/OpenROAD/src/OpenDB/include/opendb -I/home/tamim/OpenROAD/src/OpenDB/src/lef/lef -I/home/tamim/OpenROAD/src/OpenDB/src/def/def -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres/src -I/home/tamim/OpenROAD/src/dbSta/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute -I/home/tamim/OpenROAD/src/FastRoute/third_party/pdrev/include -I/home/tamim/OpenROAD/src/FastRoute/src -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/flute -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/../pdrev -I/home/tamim/OpenROAD/src/TritonMacroPlace/src -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ParquetFP -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon/src -I/home/tamim/OpenROAD/src/OpenPhySyn/include/OpenPhySyn -I/home/tamim/OpenROAD/src/OpenPhySyn/src -isystem /usr/include/eigen3 -O3 -DNDEBUG -Wall -Wextra -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -std=c++1z -o CMakeFiles/openroad.dir/Error.cc.o -c /home/tamim/OpenROAD/src/Error.cc\r\n/home/tamim/OpenROAD/src/Error.cc: In constructor \u2018ord::Exception::Exception(const char*, ...)\u2019:\r\n/home/tamim/OpenROAD/src/Error.cc:26:12: warning: ignoring return value of \u2018int vasprintf(char**, const char*, __va_list_tag*)\u2019, declared with attribute warn_unused_result [-Wunused-result]\r\n vasprintf(&what_, fmt, args);\r\n ~~~~~~~~~^~~~~~~~~~~~~~~~~~~\r\n/home/tamim/OpenROAD/src/Error.cc: In function \u2018void ord::error(const char*, ...)\u2019:\r\n/home/tamim/OpenROAD/src/Error.cc:40:12: warning: ignoring return value of \u2018int vasprintf(char**, const char*, __va_list_tag*)\u2019, declared with attribute warn_unused_result [-Wunused-result]\r\n vasprintf(&what, fmt, args);\r\n ~~~~~~~~~^~~~~~~~~~~~~~~~~~\r\n[ 97%] Building CXX object src/CMakeFiles/openroad.dir/OpenRoadTclInitVar.cc.o\r\ncd /home/tamim/OpenROAD/build/src && /usr/bin/c++ -DOPENPHYSYN_TRANSFORM_BUILD -DOPENROAD_BUILD -I/home/tamim/OpenROAD/include -I/home/tamim/OpenROAD/src -I/home/tamim/OpenROAD/src/OpenSTA/include -I/home/tamim/OpenROAD/src/init_fp/include -I/home/tamim/OpenROAD/src/OpenDB/include -I/home/tamim/OpenROAD/src/replace/include -I/home/tamim/OpenROAD/src/opendp/include -I/home/tamim/OpenROAD/src/TritonMacroPlace/include -I/home/tamim/OpenROAD/src/FastRoute/include -I/home/tamim/OpenROAD/src/OpenPhySyn/include -I/home/tamim/OpenROAD/src/flute3 -I/home/tamim/OpenROAD/src/pdngen/include -I/home/tamim/OpenROAD/src/tapcell/include -I/home/tamim/OpenROAD/src/OpenRCX/include -I/home/tamim/OpenROAD/src/PDNSim/include -I/home/tamim/OpenROAD/src/antennachecker/include -I/home/tamim/OpenROAD/src/resizer/include -I/home/tamim/OpenROAD/src/ioPlacer/src -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres -I/home/tamim/OpenROAD/src/OpenDB/include/opendb -I/home/tamim/OpenROAD/src/OpenDB/src/lef/lef -I/home/tamim/OpenROAD/src/OpenDB/src/def/def -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres/src -I/home/tamim/OpenROAD/src/dbSta/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute -I/home/tamim/OpenROAD/src/FastRoute/third_party/pdrev/include -I/home/tamim/OpenROAD/src/FastRoute/src -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/flute -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/../pdrev -I/home/tamim/OpenROAD/src/TritonMacroPlace/src -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ParquetFP -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon/src -I/home/tamim/OpenROAD/src/OpenPhySyn/include/OpenPhySyn -I/home/tamim/OpenROAD/src/OpenPhySyn/src -isystem /usr/include/eigen3 -O3 -DNDEBUG -Wall -Wextra -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -std=c++1z -o CMakeFiles/openroad.dir/OpenRoadTclInitVar.cc.o -c /home/tamim/OpenROAD/build/src/OpenRoadTclInitVar.cc\r\n[ 98%] Building CXX object src/CMakeFiles/openroad.dir/Main.cc.o\r\ncd /home/tamim/OpenROAD/build/src && /usr/bin/c++ -DOPENPHYSYN_TRANSFORM_BUILD -DOPENROAD_BUILD -I/home/tamim/OpenROAD/include -I/home/tamim/OpenROAD/src -I/home/tamim/OpenROAD/src/OpenSTA/include -I/home/tamim/OpenROAD/src/init_fp/include -I/home/tamim/OpenROAD/src/OpenDB/include -I/home/tamim/OpenROAD/src/replace/include -I/home/tamim/OpenROAD/src/opendp/include -I/home/tamim/OpenROAD/src/TritonMacroPlace/include -I/home/tamim/OpenROAD/src/FastRoute/include -I/home/tamim/OpenROAD/src/OpenPhySyn/include -I/home/tamim/OpenROAD/src/flute3 -I/home/tamim/OpenROAD/src/pdngen/include -I/home/tamim/OpenROAD/src/tapcell/include -I/home/tamim/OpenROAD/src/OpenRCX/include -I/home/tamim/OpenROAD/src/PDNSim/include -I/home/tamim/OpenROAD/src/antennachecker/include -I/home/tamim/OpenROAD/src/resizer/include -I/home/tamim/OpenROAD/src/ioPlacer/src -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres -I/home/tamim/OpenROAD/src/OpenDB/include/opendb -I/home/tamim/OpenROAD/src/OpenDB/src/lef/lef -I/home/tamim/OpenROAD/src/OpenDB/src/def/def -I/home/tamim/OpenROAD/src/ioPlacer/third_party/munkres/src -I/home/tamim/OpenROAD/src/dbSta/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute -I/home/tamim/OpenROAD/src/FastRoute/third_party/pdrev/include -I/home/tamim/OpenROAD/src/FastRoute/src -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/include -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/flute -I/home/tamim/OpenROAD/src/FastRoute/third_party/fastroute/../pdrev -I/home/tamim/OpenROAD/src/TritonMacroPlace/src -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ParquetFP -I/home/tamim/OpenROAD/src/TritonMacroPlace/module/ABKCommon/src -I/home/tamim/OpenROAD/src/OpenPhySyn/include/OpenPhySyn -I/home/tamim/OpenROAD/src/OpenPhySyn/src -isystem /usr/include/eigen3 -O3 -DNDEBUG -Wall -Wextra -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -std=c++1z -o CMakeFiles/openroad.dir/Main.cc.o -c /home/tamim/OpenROAD/src/Main.cc\r\n[ 98%] Linking CXX executable openroad\r\ncd /home/tamim/OpenROAD/build/src && /usr/local/bin/cmake -E cmake_link_script CMakeFiles/openroad.dir/link.txt --verbose=1\r\n/usr/bin/c++ -O3 -DNDEBUG CMakeFiles/openroad.dir/OpenRoad.cc.o CMakeFiles/openroad.dir/Error.cc.o CMakeFiles/openroad.dir/OpenRoadTclInitVar.cc.o CMakeFiles/openroad.dir/Main.cc.o -o openroad init_fp/src/libinit_fp.a openroad_swig.a replace/libreplace.a opendp/libopendp.a resizer/src/libresizer.a ../libioPlacer.a flute3/libflute.a dbSta/src/libdbSta.a ../../src/OpenSTA/app/libOpenSTA.a OpenDB/src/db/libopendb.a opendb_swig.a OpenRCX/src/libOpenRCX.a TritonCTS/libTritonCTS.a FastRoute/libFastRoute.a tapcell/src/libtapcell.a TritonMacroPlace/libTritonMacroPlace.a TritonMacroPlace/module/ParquetFP/libParquetFP.a TritonMacroPlace/module/ABKCommon/libABKCommon.a PDNSim/src/libpdnsim.a OpenDB/src/zlib/libzlib.a OpenDB/src/tm/libtm.a OpenDB/src/defin/libdefin.a OpenDB/src/defout/libdefout.a OpenDB/src/lefin/liblefin.a OpenDB/src/lefout/liblefout.a antennachecker/src/libantennachecker.a OpenDB/src/zutil/libzutil.a /usr/lib/x86_64-linux-gnu/libtcl.so OpenPhySyn/libOpenPhySyn.a /usr/lib/x86_64-linux-gnu/libz.so -pthread /usr/lib/x86_64-linux-gnu/librt.so ../libMunkres.a OpenRCX/src/openrcx-swig.a OpenDB/src/db/libopendb.a OpenDB/src/zlib/libzlib.a OpenDB/src/tm/libtm.a OpenDB/src/defin/libdefin.a ../../src/OpenDB/src/def/lib/libdef.a OpenDB/src/defout/libdefout.a OpenDB/src/lefout/liblefout.a -ltcl OpenDB/src/lefin/liblefin.a OpenDB/src/zutil/libzutil.a ../../src/OpenDB/src/lef/lib/liblef.a FastRoute/third_party/fastroute/libFastRoute4.1.a flute3/libflute.a FastRoute/third_party/pdrev/libpdrev.a /usr/lib/x86_64-linux-gnu/libtcl.so -ldl OpenPhySyn/src/StandardTransforms/BufferFanoutTransform/libbuffer_fanout.a OpenPhySyn/src/StandardTransforms/GateCloningTransform/libgate_clone.a OpenPhySyn/src/StandardTransforms/PinSwapTransform/libpin_swap.a OpenPhySyn/src/StandardTransforms/ConstantPropagationTransform/libconstant_propagation.a ../../src/OpenSTA/app/libOpenSTA.a -lstdc++fs \r\n../../src/OpenSTA/app/libOpenSTA.a(Sim.cc.o): In function `sta::Sim::Sim(sta::StaState*)':\r\nSim.cc:(.text+0x11da): undefined reference to `Cudd_Init'\r\n../../src/OpenSTA/app/libOpenSTA.a(Sim.cc.o): In function `sta::Sim::clearSymtab() const':\r\nSim.cc:(.text+0x1612): undefined reference to `Cudd_RecursiveDeref'\r\n../../src/OpenSTA/app/libOpenSTA.a(Sim.cc.o): In function `sta::Sim::~Sim()':\r\nSim.cc:(.text+0x16c1): undefined reference to `Cudd_CheckZeroRef'\r\nSim.cc:(.text+0x16f7): undefined reference to `Cudd_Quit'\r\n../../src/OpenSTA/app/libOpenSTA.a(Sim.cc.o): In function `sta::Sim::ensureNode(sta::LibertyPort*) const':\r\nSim.cc:(.text+0x2194): undefined reference to `Cudd_bddNewVar'\r\nSim.cc:(.text+0x21f2): undefined reference to `Cudd_Ref'\r\n../../src/OpenSTA/app/libOpenSTA.a(Sim.cc.o): In function `sta::Sim::funcBdd(sta::FuncExpr const*, sta::Instance const*) const':\r\nSim.cc:(.text+0x22d8): undefined reference to `Cudd_ReadLogicZero'\r\nSim.cc:(.text+0x2308): undefined reference to `Cudd_ReadOne'\r\nSim.cc:(.text+0x2318): undefined reference to `Cudd_Ref'\r\nSim.cc:(.text+0x241e): undefined reference to `Cudd_RecursiveDeref'\r\nSim.cc:(.text+0x2480): undefined reference to `Cudd_Ref'\r\nSim.cc:(.text+0x2494): undefined reference to `Cudd_RecursiveDeref'\r\nSim.cc:(.text+0x24a8): undefined reference to `Cudd_RecursiveDeref'\r\nSim.cc:(.text+0x24f9): undefined reference to `Cudd_bddOr'\r\nSim.cc:(.text+0x2549): undefined reference to `Cudd_bddAnd'\r\nSim.cc:(.text+0x2570): undefined reference to `Cudd_Ref'\r\nSim.cc:(.text+0x258e): undefined reference to `Cudd_bddXor'\r\nSim.cc:(.text+0x25b0): undefined reference to `Cudd_Ref'\r\nSim.cc:(.text+0x25c4): undefined reference to `Cudd_RecursiveDeref'\r\n../../src/OpenSTA/app/libOpenSTA.a(Sim.cc.o): In function `sta::Sim::evalExpr(sta::FuncExpr const*, sta::Instance const*) const':\r\nSim.cc:(.text+0x2693): undefined reference to `Cudd_ReadLogicZero'\r\nSim.cc:(.text+0x26a8): undefined reference to `Cudd_ReadOne'\r\nSim.cc:(.text+0x26ce): undefined reference to `Cudd_RecursiveDeref'\r\nSim.cc:(.text+0x26ff): undefined reference to `Cudd_RecursiveDeref'\r\n../../src/OpenSTA/app/libOpenSTA.a(Sim.cc.o): In function `sta::Sim::functionSense(sta::FuncExpr const*, sta::Pin const*, sta::Instance const*)':\r\nSim.cc:(.text+0x3494): undefined reference to `Cudd_NodeReadIndex'\r\nSim.cc:(.text+0x34a8): undefined reference to `Cudd_Increasing'\r\nSim.cc:(.text+0x34b7): undefined reference to `Cudd_ReadOne'\r\nSim.cc:(.text+0x34d5): undefined reference to `Cudd_Decreasing'\r\nSim.cc:(.text+0x34e9): undefined reference to `Cudd_ReadOne'\r\nSim.cc:(.text+0x3505): undefined reference to `Cudd_RecursiveDeref'\r\nSim.cc:(.text+0x3538): undefined reference to `Cudd_RecursiveDeref'\r\ncollect2: error: ld returned 1 exit status\r\nsrc/CMakeFiles/openroad.dir/build.make:211: recipe for target 'src/openroad' failed\r\nmake[2]: *** [src/openroad] Error 1\r\nmake[2]: Leaving directory '/home/tamim/OpenROAD/build'\r\nCMakeFiles/Makefile2:1083: recipe for target 'src/CMakeFiles/openroad.dir/all' failed\r\nmake[1]: *** [src/CMakeFiles/openroad.dir/all] Error 2\r\nmake[1]: Leaving directory '/home/tamim/OpenROAD/build'\r\nMakefile:152: recipe for target 'all' failed\r\nmake: *** [all] Error 2\r\n```"}, {"assistant": "This may have been fixed for CUDD link failure for openroad #445."}]} +{"num": 429, "messages": [{"user": "Is it an old command? "}, {"assistant": "No, it's a new command. It's only available on the `openroad` branch.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/ddd40dd9d0a25193a3a0caf984df863e996f85a7/src/resizer/src/Resizer.tcl#L281-L284"}]} +{"num": 430, "messages": [{"user": "Hi,\r\nI modified the resize.tcl script to have buffers placed at each input pin + antenna cells. (the script just searches by names the input buffers and then adds the antenna cells, similar to what is done in antenna_fix.tcl). \r\n\r\n````\r\n...\r\n# Set the buffer cell\r\nset buffer_cell [get_lib_cell [lindex $::env(MIN_BUF_CELL_AND_PORTS) 0]]\r\nset_dont_use $::env(DONT_USE_CELLS)\r\n\r\nputs \"Perform input port buffering...\"\r\nbuffer_ports -inputs -buffer_cell $buffer_cell\r\n\r\nputs \"Adding antenna cells to inputs buffers....\"\r\n#antenna cell name is hard-coded for now, TODO: set up a env variable\r\nset antenna_cell_name \"ANTENNA_X1\"\r\nset db [::ord::get_db]\r\nset block [[$db getChip] getBlock]\r\nset antenna_master [$db findMaster $antenna_cell_name]\r\nset input_antenna_cells_count 0\r\nforeach inst [$block getInsts] {\r\n #puts \"instance name: [$inst getName]\"\r\n if { [regexp {^input[0-9]+$} [$inst getName] whole number] } {\r\n puts \"matched name: $whole\"\r\n set buffer_iterm [$inst findITerm \"A\"]\r\n set input_net [$buffer_iterm getNet]\r\n puts \"net name: [$input_net getName]\"\r\n\r\n set antenna_inst_name \"ANTENNA\"\r\n append antenna_inst_name \"_\" [$input_net getName]\r\n\r\n set inst_loc_x [lindex [$inst getLocation] 0]\r\n set inst_loc_y [lindex [$inst getLocation] 1]\r\n set inst_ori [$inst getOrient]\r\n\r\n set antenna_inst [odb::dbInst_create $block $antenna_master $antenna_inst_name]\r\n set antenna_iterm [$antenna_inst findITerm \"A\"]\r\n\r\n $antenna_inst setLocation $inst_loc_x $inst_loc_y\r\n $antenna_inst setOrient $inst_ori\r\n $antenna_inst setPlacementStatus PLACED\r\n odb::dbITerm_connect $antenna_iterm $input_net\r\n\r\n set input_antenna_cells_count [expr $input_antenna_cells_count + 1]\r\n puts \"Added [$antenna_inst getName] to [$input_net getName] net.\"\r\n }\r\n}\r\nputs \"Added [expr $input_antenna_cells_count] antenna cells.\"\r\n\r\nputs \"Perform buffer insertion...\"\r\nset_max_fanout $::env(MAX_FANOUT) [current_design]\r\nrepair_design -max_wire_length $::env(MAX_WIRE_LENGTH) -buffer_cell $buffer_cell\r\n...\r\n````\r\nI tested this with gcd example (nangate45). It works nice, but i am facing an issue with the detail placement afterwards (see screenshots attached). The placer places the antenna cells on the \"wrong\" side of the buffer:\r\nA: This is not optimum (the router could connect both terminals with METAL1 if it was placed on the right side, instead of having to go in METAL3)\r\nB: In this case, it even causes a \"functional\" issue. If the wire from the pin is very long (in METAL2), it could cause an antenna error because there is a METAL3 connection between the antenna diode and the gate to protect...\r\n\r\nIs there a way I could hint the placer how to place this ? (I thought of using the orientation to modify the location of the antenna cell wrt the buffer, but there is no notion of the instance orientation at the resize level yet...)\r\nThank you\r\n\r\nfull script: [resize.tcl.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/5040410/resize.tcl.txt)\r\n\r\n![input_buffer_and_antenna_placed](https://user-images.githubusercontent.com/66719560/89629692-8ff74200-d89e-11ea-9aaa-a907aa69fbd2.png)\r\n![input_buffer_and_antenna_routed](https://user-images.githubusercontent.com/66719560/89629711-95548c80-d89e-11ea-8ac7-d86356c6cedb.png)\r\n\r\n"}, {"assistant": "the hint would be to place the diode on the side you want it to end up on.\r\nif you put the diode in an empty site (not simple) the detailed placer won't move it"}, {"user": "The problem is that i do not know yet on which side it should go. The orientation of the buffer is only decided at the placement step ( i am guessing to try to reduce congestion). So i will only know the desired position after placement...\r\nI was wondering if there is a way of putting a different weight for a specific net (and depending on metal \"height\"). That way, when the placer decides on the orientation of the buffer (or the localization of the antenna cell wrt the buffer), the optimization will lead it to keep this net at lower metals."}, {"assistant": "The orientation won't change unless you call optimize_mirroring, so don't do that.\r\nNo weights used in detailed placement.\r\n"}]} +{"num": 445, "messages": [{"user": "When openroad is compiled with CUDD option, it fails at the link phase.\r\nCan you add following code in the OpenRoad/src/CMakeLists.txt file to add cudd library during openroad link phase?\r\n\r\nif (CUDD_LIB)\r\n target_link_libraries(openroad ${CUDD_LIB})\r\nendif()\r\n"}, {"assistant": "097098c4 link CUDD_LIB if defined"}, {"user": "Thanks"}, {"assistant": "This fixes the error."}]} +{"num": 450, "messages": [{"user": "In my process, Met2 min width is 0.28, min Met2 area is 0.202 and manufacturing grid is 0.005. ioPlacer calculates the height of pin as 0.722, which is close to 0.202/0.28. However, since the manufacturing grid is not 0.001, drc gives offgrid error. Is it possible to ceil the height of the pin to integer multiple of manufacturing grid and also set coordinates of the pin on the manufacturing grid? "}, {"assistant": "Can you provide a simple test case?"}, {"user": "Hi, I created a patch which sets pin height according to manufacturing grid. Once the height is set, positions are also on the grid.\r\n[ioPlacerOffGridPatch.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/5080851/ioPlacerOffGridPatch.zip)\r\n\r\n"}, {"user": "Please check the attached file. I have to add area property for metal2 and metal3 in the technology lef file to show the issue.\r\n[offgridissue.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/5080924/offgridissue.zip).\r\n\r\n"}, {"user": "The patch that I sent was for old version. Please change tech with _tech in the patch before you apply it."}, {"assistant": "I have the same issue here. The problem is in line 613 and 638 of IOPlacer.cpp. The shape size is calculated by:\r\n\r\n int height = int(std::max(2.0 * halfWidth, ceil(_core.getMinAreaX() / (2.0 * halfWidth))));\r\n\r\nwithout any check of the manufacturinggrid. In case the layer minimum area is set in the TLEF file the resulting height may result in a value that is not on the manufacturinggrid. This results in a DRC violation of the design. The height value should be round up to the next manufacturinggrid value. \r\n\r\nFrom the IOPlacer.cpp source code it seems that the vertical-length / horizontal-length can be set by a parameter. But after a first review, I did not find a way to set this parameter from OpenROAD. The required procs are not forwarded to the OpenROAD interface. Is there a way to set this value?\r\n\r\n"}, {"assistant": "The fix for the issue is already available at the public repo. Can it be close?"}]} +{"num": 454, "messages": [{"user": "When running a global placement on my design, I get an error message (when fastroute is invoked apparently):\r\n\r\nInitializing nets...\r\nChecking pin placement...\r\nChecking pin placement... Done!\r\n > ----Checking sinks/source...\r\n[ERROR] Net CLKIN has invalid sinks/source distribution\r\n #Sinks: 0; #sources: 1\r\n[ERROR] Net DBG0 has invalid sinks/source distribution\r\n #Sinks: 0; #sources: 0\r\n[ERROR] Net DBG1 has invalid sinks/source distributio\r\n\r\n....\r\n\r\n\r\n\r\nA testcase is available at https://github.com/rbarzic/issues-openroad. directory replace1. It should be self contained\r\nI'm using Openroad, branch openroad sha1 811dc2ff"}, {"user": "Apparently it seems to be a regression as I was able to try the same example with an openroad version from April (sha1 : 9183af4f) and I don't have error (It looks like some extra checks were added after this date)"}, {"assistant": "Might be fixed by The-OpenROAD-Project/OpenROAD#395 (@MarceloDanigno ?)"}, {"user": "I don't think this is related- The-OpenROAD-Project/OpenROAD#395 seems to be about TritonCTS. Here there is a check that has been added to check for partially connected nets but it seems to not take in account the case of a net connected to the pad window of an I/O cell - Net will have only one connection to an instance in this case "}, {"assistant": "@rbarzic Could you move this issue to FastRoute repository? It seems to be a wrong verification in FastRoute"}, {"user": "@eder-matheus - Apparently, according to https://docs.github.com/en/github/managing-your-work-on-github/transferring-an-issue-to-another-repository, I may not have the right to transfert the issue myself"}, {"assistant": "@rbarzic Were you able to find any kind of workaround to keep progressing? \r\n\r\nAsking as I'm running into the same issue and just want to flush the flow out."}, {"user": "No. And I didn't try a newer version of OpenRoad either\n\nOn Wed, Aug 5, 2020 at 4:04 PM lsteveol wrote:\n\n> @rbarzic Were you able to find any kind of\n> workaround to keep progressing?\n>\n> Asking as I'm running into the same issue and just want to flush the flow\n> out.\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "All of the code that was printing these messages was removed in\r\n6fe55605 Wed Aug 19 19:12:25 2020 -0300 [FastRoute] Remove classes Node, Segment and SteinerTree\r\n"}]} +{"num": 455, "messages": [{"user": "Even if I supply pins in the different layer the router is assuming them in the first layer and routing because of which many higher-layer pins remain unconnected. How to fix it?"}, {"assistant": "@mukul19147 This is a known issue in FastRoute code. We've implemented a post-process workaround that inserts guides for pin access to pins in superior meta layers. Take a look at this function: https://github.com/The-OpenROAD-Project/FastRoute/blob/openroad/src/FastRouteKernel.cpp#L1318"}, {"user": "Okay so VIAs are added to cover the uncovered pins. But if we have a constraint on a net that that none of its segments should be below a particular layer than than this router can't be used. How to incorporate this change?"}, {"assistant": "@eder-matheus \r\nIs required any further response?"}, {"assistant": "@vijayank88 @mukul19147 I would only add an observation regarding the `set_routing_layers` command, where you can set specific layer ranges for signal and clock nets. But note that even with the layer range defined, the global router needs to create guides to the pin layers for pin access. So you may see routing out of the layer range only for pin access."}, {"assistant": "@arthurjolo your current work should resolve this"}]} +{"num": 456, "messages": [{"user": "I'm getting the following error for https://github.com/tamimcse/test5 (compiled `openroad` with compiler optimization off, otherwise it crashes while starting FastRoute).\r\n\r\n```\r\n17. Printing statistics.\r\n\r\n=== _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy ===\r\n\r\n Number of wires: 13443\r\n Number of wire bits: 14573\r\n Number of public wires: 2460\r\n Number of public wire bits: 3590\r\n Number of memories: 0\r\n Number of memory bits: 0\r\n Number of processes: 0\r\n Number of cells: 11967\r\n AND2_X1 388\r\n AND2_X2 31\r\n AND2_X4 5\r\n AND3_X1 64\r\n AND3_X2 3\r\n AND3_X4 3\r\n AND4_X1 3\r\n AOI211_X2 25\r\n AOI21_X1 905\r\n AOI21_X2 221\r\n AOI21_X4 53\r\n AOI221_X1 63\r\n AOI221_X2 13\r\n AOI222_X1 1\r\n AOI22_X1 152\r\n BUF_X1 580\r\n BUF_X2 12\r\n BUF_X4 25\r\n CLKBUF_X1 122\r\n CLKBUF_X2 196\r\n DFF_X1 1514\r\n INV_X1 264\r\n INV_X2 2\r\n LOGIC0_X1 1\r\n MUX2_X1 1533\r\n MUX2_X2 7\r\n NAND2_X1 1067\r\n NAND2_X2 12\r\n NAND2_X4 4\r\n NAND3_X1 128\r\n NAND3_X2 9\r\n NAND3_X4 2\r\n NAND4_X1 26\r\n NAND4_X2 1\r\n NOR2_X1 1200\r\n NOR2_X2 34\r\n NOR2_X4 4\r\n NOR3_X1 145\r\n NOR3_X2 8\r\n NOR3_X4 1\r\n NOR4_X1 47\r\n NOR4_X2 1\r\n OAI211_X2 3\r\n OAI211_X4 1\r\n OAI21_X1 609\r\n OAI21_X2 232\r\n OAI21_X4 36\r\n OAI221_X1 84\r\n OAI221_X2 1\r\n OAI22_X1 93\r\n OAI33_X1 3\r\n OR2_X1 312\r\n OR2_X2 13\r\n OR2_X4 13\r\n OR3_X1 28\r\n OR3_X2 2\r\n OR3_X4 3\r\n OR4_X1 24\r\n XNOR2_X1 964\r\n XNOR2_X2 2\r\n XOR2_X1 664\r\n XOR2_X2 5\r\n\r\n Chip area for module '\\_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy': 20108.004000\r\n\r\n18. Executing Verilog backend.\r\nDumping module `\\_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy'.\r\n\r\nWarnings: 13 unique messages, 77 total\r\nEnd of script. Logfile hash: ff77991497, CPU: user 22.12s system 0.08s, MEM: 114.82 MB peak\r\nYosys 0.9+1706 (git sha1 b7419544, gcc 7.4.0-1ubuntu1~18.04.1 -fPIC -Os)\r\nTime spent: 25% 27x opt_clean (5 sec), 19% 25x opt_merge (4 sec), ...\r\n0:25.97elapsed 99%CPU 117584memKB\r\nmkdir -p ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy ./reports/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/1_1_yosys.v results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/1_synth.v\r\nmkdir -p ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy ./reports/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\ncp designs/src/sail/sail.sdc results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/1_synth.sdc\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/floorplan.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_1_floorplan.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nInfo: Added 592 rows of 4369 sites.\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _21751_ (rising edge-triggered flip-flop clocked by core_clock)\r\nEndpoint: _21813_ (rising edge-triggered flip-flop clocked by core_clock)\r\nPath Group: core_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock core_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _21751_/CK (DFF_X1)\r\n 0.08 0.08 v _21751_/Q (DFF_X1)\r\n 0.03 0.11 v _12699_/Z (BUF_X4)\r\n 0.02 0.13 ^ _17174_/ZN (NOR2_X2)\r\n 0.02 0.15 v _17175_/ZN (OAI21_X2)\r\n 0.11 0.26 ^ _17185_/ZN (AOI221_X1)\r\n 0.02 0.28 v _17189_/ZN (NOR3_X2)\r\n 0.04 0.32 ^ _17191_/ZN (OAI21_X2)\r\n 0.02 0.34 v _17196_/ZN (AOI21_X2)\r\n 0.06 0.40 ^ _17199_/ZN (AOI21_X1)\r\n 0.03 0.43 v _17205_/ZN (AOI21_X2)\r\n 0.02 0.45 ^ _17208_/ZN (NAND2_X2)\r\n 0.01 0.46 v _17214_/ZN (AOI21_X2)\r\n 0.06 0.53 ^ _17215_/ZN (AOI21_X1)\r\n 0.03 0.55 v _17219_/ZN (OAI21_X2)\r\n 0.04 0.60 ^ _17224_/ZN (AOI21_X1)\r\n 0.02 0.61 v _17225_/ZN (NOR2_X1)\r\n 0.02 0.64 ^ _17228_/ZN (NAND2_X1)\r\n 0.02 0.66 v _17234_/ZN (NAND3_X1)\r\n 0.03 0.69 ^ _17235_/ZN (OAI21_X1)\r\n 0.03 0.72 v _17239_/ZN (OAI21_X2)\r\n 0.04 0.76 ^ _17245_/ZN (AOI21_X2)\r\n 0.02 0.79 v _17249_/ZN (OAI21_X2)\r\n 0.06 0.84 ^ _17255_/ZN (OAI21_X1)\r\n 0.03 0.87 v _17259_/ZN (OAI21_X2)\r\n 0.04 0.91 ^ _17264_/ZN (AOI21_X1)\r\n 0.03 0.95 ^ _17265_/ZN (OR2_X2)\r\n 0.02 0.96 v _17269_/ZN (OAI21_X2)\r\n 0.06 1.02 ^ _17275_/ZN (OAI21_X1)\r\n 0.03 1.05 v _17279_/ZN (OAI21_X2)\r\n 0.04 1.09 ^ _17285_/ZN (OAI21_X2)\r\n 0.02 1.11 v _17289_/ZN (OAI21_X2)\r\n 0.06 1.17 ^ _17295_/ZN (OAI21_X1)\r\n 0.03 1.20 v _17299_/ZN (OAI21_X2)\r\n 0.02 1.22 ^ _17304_/ZN (OAI21_X1)\r\n 0.04 1.26 ^ _17305_/ZN (AND2_X2)\r\n 0.02 1.28 v _17309_/ZN (OAI21_X2)\r\n 0.04 1.31 v _17311_/ZN (XNOR2_X1)\r\n 0.00 1.31 v _21813_/D (DFF_X1)\r\n 1.31 data arrival time\r\n\r\n 1.00 1.00 clock core_clock (rise edge)\r\n 0.00 1.00 clock network delay (ideal)\r\n 0.00 1.00 clock reconvergence pessimism\r\n 1.00 ^ _21813_/CK (DFF_X1)\r\n -0.04 0.96 library setup time\r\n 0.96 data required time\r\n---------------------------------------------------------\r\n 0.96 data required time\r\n -1.31 data arrival time\r\n---------------------------------------------------------\r\n -0.35 slack (VIOLATED)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_tns\r\n--------------------------------------------------------------------------\r\ntns -71.14\r\n\r\n==========================================================================\r\nreport_wns\r\n--------------------------------------------------------------------------\r\nwns -0.35\r\n\r\n==========================================================================\r\nreport_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 20108 u^2 3% utilization.\r\n0:07.03elapsed 100%CPU 87376memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/io_placement.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_2_floorplan_io.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_1_floorplan.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 11967 components and 65389 component-terminals.\r\nNotice 0: Created 14573 nets and 41455 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_1_floorplan.def\r\nWARNING: force pin spread option has no effect when using random pin placement\r\n > Running IO placement\r\n * Num of slots 21102\r\n * Num of I/O 1173\r\n * Num of I/O w/sink 1130\r\n * Num of I/O w/o sink 43\r\n * Slots Per Section 200\r\n * Slots Increase Factor 0.01\r\n * Usage Per Section 0.8\r\n * Usage Increase Factor 0.01\r\n * Force Pin Spread 1\r\n\r\nWARNING: running random pin placement\r\nRandomMode Even\r\n > IO placement done.\r\n0:01.67elapsed 99%CPU 71716memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/tdms_place.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_3_tdms_place.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_2_floorplan_io.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 11967 components and 65389 component-terminals.\r\nNotice 0: Created 14573 nets and 41455 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_2_floorplan_io.def\r\nNo macros found: Skipping global_placement\r\n0:01.68elapsed 99%CPU 76744memKB\r\n./util/fixIoPins.py --inputDef results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_3_floorplan_tdms.def --outputDef results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_3_floorplan_tdms.def --margin 70\r\nfixIoPins.py : Fixing Pins in Def file\r\nReplacements made - West:337 South:249 East:338 North:249\r\nfixIoPins.py : Finished\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/macro_place.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_4_mplace.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_3_floorplan_tdms.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 11967 components and 65389 component-terminals.\r\nNotice 0: Created 14573 nets and 41455 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_3_floorplan_tdms.def\r\nNo macros found: Skipping macro_placement\r\n0:01.71elapsed 99%CPU 76880memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/tapcell.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_5_tapcell.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_4_floorplan_macro.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 11967 components and 65389 component-terminals.\r\nNotice 0: Created 14573 nets and 41455 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_4_floorplan_macro.def\r\nRunning tapcell...\r\nStep 1: Cut rows...\r\n[INFO] Macro blocks found: 0\r\n[INFO] #Original rows: 592\r\n[INFO] #Cut rows: 0\r\nStep 2: Insert endcaps...\r\n[INFO]#Endcaps inserted: 1184\r\nStep 3: Insert tapcells...\r\n[INFO] #Tapcells inserted: 1782\r\nRunning tapcell... Done!\r\n0:03.05elapsed 99%CPU 85532memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/pdn.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_6_pdn.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_5_floorplan_tapcell.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 14933 components and 71321 component-terminals.\r\nNotice 0: Created 14573 nets and 41455 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_5_floorplan_tapcell.def\r\n[INFO] [PDNG-0016] Power Delivery Network Generator: Generating PDN\r\n[INFO] [PDNG-0016] config: ./platforms/nangate45/pdn.cfg\r\n[INFO] [PDNG-0008] Design Name is _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\n[INFO] [PDNG-0009] Reading technology data\r\n[INFO] [PDNG-0011] ****** INFO ******\r\nType: stdcell, grid\r\n Stdcell Rails\r\n Layer: metal1 - width: 0.170 pitch: 2.400 offset: 0.000 \r\n Straps\r\n Layer: metal4 - width: 0.480 pitch: 56.000 offset: 2.000 \r\n Layer: metal7 - width: 1.400 pitch: 40.000 offset: 2.000 \r\n Connect: {metal1 metal4} {metal4 metal7}\r\nType: macro, macro_1\r\n Macro orientation: R0 R180 MX MY\r\n Straps\r\n Layer: metal5 - width: 0.930 pitch: 10.000 offset: 2.000 \r\n Layer: metal6 - width: 0.930 pitch: 10.000 offset: 2.000 \r\n Connect: {metal4_PIN_ver metal5} {metal5 metal6} {metal6 metal7}\r\nType: macro, macro_2\r\n Macro orientation: R90 R270 MXR90 MYR90\r\n Straps\r\n Layer: metal6 - width: 0.930 pitch: 40.000 offset: 2.000 \r\n Connect: {metal4_PIN_hor metal6} {metal6 metal7}\r\n[INFO] [PDNG-0012] **** END INFO ****\r\n[INFO] [PDNG-0013] Inserting stdcell grid - grid\r\n[INFO] [PDNG-0015] Writing to database\r\n0:04.41elapsed 99%CPU 214516memKB\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_6_floorplan_pdn.def results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_floorplan.def\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/global_place.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_1_place_gp.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_floorplan.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 14933 components and 71321 component-terminals.\r\nNotice 0: Created 2 special nets and 29866 connections.\r\nNotice 0: Created 14573 nets and 41455 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_floorplan.def\r\n[INFO] DBU = 2000\r\n[INFO] SiteSize = (380, 2800)\r\n[INFO] CoreAreaLxLy = (20140, 22400)\r\n[INFO] CoreAreaUxUy = (1680360, 1680000)\r\n[INFO] NumInstances = 14933\r\n[INFO] NumPlaceInstances = 11967\r\n[INFO] NumFixedInstances = 2966\r\n[INFO] NumDummyInstances = 0\r\n[INFO] NumNets = 14573\r\n[INFO] NumPins = 42628\r\n[INFO] DieAreaLxLy = (0, 0)\r\n[INFO] DieAreaUxUy = (1700260, 1701600)\r\n[INFO] CoreAreaLxLy = (20140, 22400)\r\n[INFO] CoreAreaUxUy = (1680360, 1680000)\r\n[INFO] CoreArea = 2751980672000\r\n[INFO] NonPlaceInstsArea = 3155824000\r\n[INFO] PlaceInstsArea = 131363568000\r\n[INFO] Util(%) = 4.778899\r\n[INFO] StdInstsArea = 131363568000\r\n[INFO] MacroInstsArea = 0\r\n[InitialPlace] Iter: 1 CG Error: 0.000100334 HPWL: 2022488920\r\n[InitialPlace] Iter: 2 CG Error: 0.000139521 HPWL: 1537499160\r\n[InitialPlace] Iter: 3 CG Error: 6.49797e-05 HPWL: 1514822679\r\n[InitialPlace] Iter: 4 CG Error: 2.07069e-05 HPWL: 1501081972\r\n[InitialPlace] Iter: 5 CG Error: 1.10423e-06 HPWL: 1498972802\r\n[INFO] FillerInit: NumGCells = 77591\r\n[INFO] FillerInit: NumGNets = 14573\r\n[INFO] FillerInit: NumGPins = 42628\r\n[INFO] TargetDensity = 0.300000\r\n[INFO] AveragePlaceInstArea = 10977151\r\n[INFO] IdealBinArea = 36590500\r\n[INFO] IdealBinCnt = 75210\r\n[INFO] TotalBinArea = 2751980672000\r\n[INFO] BinCnt = (256, 256)\r\n[INFO] BinSize = (6486, 6475)\r\n[INFO] NumBins = 65536\r\n[NesterovSolve] Iter: 1 overflow: 0.931238 HPWL: 1706882374\r\n[NesterovSolve] Iter: 10 overflow: 0.812751 HPWL: 1737430256\r\n[NesterovSolve] Iter: 20 overflow: 0.799369 HPWL: 1720221021\r\n[NesterovSolve] Iter: 30 overflow: 0.796443 HPWL: 1718354683\r\n[NesterovSolve] Iter: 40 overflow: 0.796511 HPWL: 1717269197\r\n[NesterovSolve] Iter: 50 overflow: 0.796285 HPWL: 1717615191\r\n[NesterovSolve] Iter: 60 overflow: 0.796683 HPWL: 1717782650\r\n[NesterovSolve] Iter: 70 overflow: 0.796748 HPWL: 1717561877\r\n[NesterovSolve] Iter: 80 overflow: 0.797027 HPWL: 1717561206\r\n[NesterovSolve] Iter: 90 overflow: 0.796839 HPWL: 1717485089\r\n[NesterovSolve] Iter: 100 overflow: 0.79666 HPWL: 1717648707\r\n[NesterovSolve] Iter: 110 overflow: 0.796461 HPWL: 1717866988\r\n[NesterovSolve] Iter: 120 overflow: 0.796226 HPWL: 1718050434\r\n[NesterovSolve] Iter: 130 overflow: 0.795838 HPWL: 1718435821\r\n[NesterovSolve] Iter: 140 overflow: 0.7952 HPWL: 1718991393\r\n[NesterovSolve] Iter: 150 overflow: 0.794196 HPWL: 1720010754\r\n[NesterovSolve] Iter: 160 overflow: 0.792409 HPWL: 1721697244\r\n[NesterovSolve] Iter: 170 overflow: 0.789482 HPWL: 1725686156\r\n[NesterovSolve] Iter: 180 overflow: 0.785397 HPWL: 1732671171\r\n[NesterovSolve] Iter: 190 overflow: 0.778423 HPWL: 1738846670\r\n[NesterovSolve] Iter: 200 overflow: 0.76695 HPWL: 1741887798\r\n[NesterovSolve] Iter: 210 overflow: 0.751864 HPWL: 1738894216\r\n[NesterovSolve] Iter: 220 overflow: 0.72797 HPWL: 1736694632\r\n[NesterovSolve] Iter: 230 overflow: 0.697332 HPWL: 1747097217\r\n[NesterovSolve] Iter: 240 overflow: 0.659341 HPWL: 1747762923\r\n[NesterovSolve] Iter: 250 overflow: 0.622562 HPWL: 1756171908\r\n[NesterovSolve] Iter: 260 overflow: 0.575861 HPWL: 1768060851\r\n[NesterovSolve] Iter: 270 overflow: 0.530657 HPWL: 1782592772\r\n[NesterovSolve] Iter: 280 overflow: 0.498348 HPWL: 1787622273\r\n[NesterovSolve] Iter: 290 overflow: 0.457615 HPWL: 1801502386\r\n[NesterovSolve] Iter: 300 overflow: 0.419398 HPWL: 1806246477\r\n[NesterovSolve] Iter: 310 overflow: 0.385812 HPWL: 1808845744\r\n[NesterovSolve] Iter: 320 overflow: 0.352467 HPWL: 1815729862\r\n[NesterovSolve] Iter: 330 overflow: 0.321249 HPWL: 1816966951\r\n[NesterovSolve] Iter: 340 overflow: 0.291189 HPWL: 1819104244\r\n[NesterovSolve] Iter: 350 overflow: 0.261277 HPWL: 1821137388\r\n[NesterovSolve] Iter: 360 overflow: 0.233592 HPWL: 1823344995\r\n[NesterovSolve] Iter: 370 overflow: 0.209445 HPWL: 1825168674\r\n[NesterovSolve] Iter: 380 overflow: 0.184938 HPWL: 1826947881\r\n[NesterovSolve] Iter: 390 overflow: 0.164151 HPWL: 1828545675\r\n[NesterovSolve] Iter: 400 overflow: 0.1454 HPWL: 1829962703\r\n[NesterovSolve] Iter: 410 overflow: 0.129408 HPWL: 1831631518\r\n[NesterovSolve] Iter: 420 overflow: 0.114807 HPWL: 1832998826\r\n[NesterovSolve] Iter: 430 overflow: 0.101463 HPWL: 1827749598\r\n[NesterovSolve] Finished with Overflow: 0.099852\r\n1:34.69elapsed 99%CPU 161996memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/resize.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_2_resizer.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_1_place_gp.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 14933 components and 71321 component-terminals.\r\nNotice 0: Created 2 special nets and 29866 connections.\r\nNotice 0: Created 14573 nets and 41455 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_1_place_gp.def\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _21751_ (rising edge-triggered flip-flop clocked by core_clock)\r\nEndpoint: _21813_ (rising edge-triggered flip-flop clocked by core_clock)\r\nPath Group: core_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock core_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _21751_/CK (DFF_X1)\r\n 0.08 0.08 v _21751_/Q (DFF_X1)\r\n 0.03 0.11 v _12699_/Z (BUF_X4)\r\n 0.02 0.13 ^ _17174_/ZN (NOR2_X2)\r\n 0.02 0.15 v _17175_/ZN (OAI21_X2)\r\n 0.11 0.25 ^ _17185_/ZN (AOI221_X1)\r\n 0.02 0.27 v _17189_/ZN (NOR3_X2)\r\n 0.03 0.31 ^ _17191_/ZN (OAI21_X2)\r\n 0.02 0.32 v _17196_/ZN (AOI21_X2)\r\n 0.06 0.38 ^ _17199_/ZN (AOI21_X1)\r\n 0.02 0.41 v _17205_/ZN (AOI21_X2)\r\n 0.02 0.43 ^ _17208_/ZN (NAND2_X2)\r\n 0.01 0.44 v _17214_/ZN (AOI21_X2)\r\n 0.06 0.50 ^ _17215_/ZN (AOI21_X1)\r\n 0.03 0.53 v _17219_/ZN (OAI21_X2)\r\n 0.04 0.57 ^ _17224_/ZN (AOI21_X1)\r\n 0.02 0.58 v _17225_/ZN (NOR2_X1)\r\n 0.02 0.61 ^ _17228_/ZN (NAND2_X1)\r\n 0.02 0.63 v _17234_/ZN (NAND3_X1)\r\n 0.03 0.66 ^ _17235_/ZN (OAI21_X1)\r\n 0.03 0.68 v _17239_/ZN (OAI21_X2)\r\n 0.04 0.72 ^ _17245_/ZN (AOI21_X2)\r\n 0.02 0.74 v _17249_/ZN (OAI21_X2)\r\n 0.05 0.80 ^ _17255_/ZN (OAI21_X1)\r\n 0.02 0.82 v _17259_/ZN (OAI21_X2)\r\n 0.04 0.87 ^ _17264_/ZN (AOI21_X1)\r\n 0.03 0.90 ^ _17265_/ZN (OR2_X2)\r\n 0.02 0.91 v _17269_/ZN (OAI21_X2)\r\n 0.05 0.97 ^ _17275_/ZN (OAI21_X1)\r\n 0.03 0.99 v _17279_/ZN (OAI21_X2)\r\n 0.04 1.03 ^ _17285_/ZN (OAI21_X2)\r\n 0.02 1.06 v _17289_/ZN (OAI21_X2)\r\n 0.05 1.11 ^ _17295_/ZN (OAI21_X1)\r\n 0.02 1.13 v _17299_/ZN (OAI21_X2)\r\n 0.02 1.16 ^ _17304_/ZN (OAI21_X1)\r\n 0.04 1.19 ^ _17305_/ZN (AND2_X2)\r\n 0.02 1.21 v _17309_/ZN (OAI21_X2)\r\n 0.04 1.24 v _17311_/ZN (XNOR2_X1)\r\n 0.00 1.24 v _21813_/D (DFF_X1)\r\n 1.24 data arrival time\r\n\r\n 1.00 1.00 clock core_clock (rise edge)\r\n 0.00 1.00 clock network delay (ideal)\r\n 0.00 1.00 clock reconvergence pessimism\r\n 1.00 ^ _21813_/CK (DFF_X1)\r\n -0.04 0.96 library setup time\r\n 0.96 data required time\r\n---------------------------------------------------------\r\n 0.96 data required time\r\n -1.24 data arrival time\r\n---------------------------------------------------------\r\n -0.29 slack (VIOLATED)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_tns\r\n--------------------------------------------------------------------------\r\ntns -35.40\r\n\r\n==========================================================================\r\nreport_wns\r\n--------------------------------------------------------------------------\r\nwns -0.29\r\n\r\n==========================================================================\r\nreport_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 20897 u^2 3% utilization.\r\n\r\n==========================================================================\r\ninstance_count\r\n--------------------------------------------------------------------------\r\n14933\r\n\r\n==========================================================================\r\npin_count\r\n--------------------------------------------------------------------------\r\n41455\r\n\r\nPerform port buffering...\r\nInserted 1091 input buffers.\r\nInserted 81 output buffers.\r\nRepair max cap...\r\nRepair max slew...\r\nRepair max fanout...\r\nPerform resizing...\r\nWarning: resize.tcl, 79 resize -dont_use is deprecated. Use the set_dont_use commands instead.\r\nResized 2673 instances.\r\nRepair tie lo fanout...\r\nRepair tie hi fanout...\r\nRepair hold violations...\r\nInserted 0 hold buffers.\r\n\r\n==========================================================================\r\nreport_floating_nets\r\n--------------------------------------------------------------------------\r\nWarning: found 1511 floatiing nets.\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _22362_ (rising edge-triggered flip-flop clocked by core_clock)\r\nEndpoint: _22870_ (rising edge-triggered flip-flop clocked by core_clock)\r\nPath Group: core_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock core_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _22362_/CK (DFF_X1)\r\n 0.09 0.09 v _22362_/Q (DFF_X1)\r\n 0.04 0.12 v _14368_/ZN (XNOR2_X2)\r\n 0.04 0.16 ^ _14395_/ZN (OAI21_X2)\r\n 0.03 0.19 v _14462_/ZN (AOI221_X2)\r\n 0.06 0.25 ^ _14493_/ZN (NOR3_X1)\r\n 0.02 0.27 v _14495_/ZN (OAI21_X1)\r\n 0.04 0.31 v _14550_/ZN (AND2_X1)\r\n 0.04 0.35 ^ _14603_/ZN (OAI21_X1)\r\n 0.02 0.36 v _14643_/ZN (AOI21_X1)\r\n 0.06 0.42 v _14644_/ZN (OR2_X1)\r\n 0.04 0.46 ^ _14662_/ZN (OAI21_X1)\r\n 0.02 0.49 v _14703_/ZN (AOI21_X1)\r\n 0.04 0.53 ^ _14721_/ZN (OAI21_X1)\r\n 0.02 0.55 v _14768_/ZN (AOI21_X1)\r\n 0.06 0.60 v _14769_/ZN (OR2_X1)\r\n 0.04 0.65 ^ _14819_/ZN (OAI21_X1)\r\n 0.02 0.66 v _14834_/ZN (AOI21_X1)\r\n 0.04 0.71 ^ _14835_/ZN (NOR2_X1)\r\n 0.02 0.73 v _14885_/ZN (AOI21_X1)\r\n 0.06 0.79 ^ _14914_/ZN (OAI21_X1)\r\n 0.03 0.82 v _14985_/ZN (NAND3_X1)\r\n 0.04 0.86 ^ _15007_/ZN (NOR2_X1)\r\n 0.05 0.90 ^ _15009_/ZN (AND2_X1)\r\n 0.06 0.97 ^ _15072_/ZN (AND3_X1)\r\n 0.03 1.00 v _15124_/ZN (NAND3_X1)\r\n 0.05 1.05 ^ _15186_/ZN (NOR2_X1)\r\n 0.03 1.09 v _15249_/ZN (NAND3_X1)\r\n 0.06 1.15 ^ _15279_/ZN (NOR2_X1)\r\n 0.05 1.19 ^ _15280_/ZN (XNOR2_X1)\r\n 0.02 1.21 v _15281_/ZN (AOI21_X1)\r\n 0.04 1.25 ^ _15283_/ZN (AOI21_X1)\r\n 0.02 1.27 v _15284_/ZN (OAI21_X1)\r\n 0.04 1.31 ^ _15290_/ZN (AOI21_X1)\r\n 0.01 1.32 v _15291_/ZN (NOR3_X1)\r\n 0.04 1.36 ^ _15292_/ZN (AOI21_X1)\r\n 0.01 1.37 v _15293_/ZN (INV_X1)\r\n 0.00 1.37 v _22870_/D (DFF_X1)\r\n 1.37 data arrival time\r\n\r\n 1.00 1.00 clock core_clock (rise edge)\r\n 0.00 1.00 clock network delay (ideal)\r\n 0.00 1.00 clock reconvergence pessimism\r\n 1.00 ^ _22870_/CK (DFF_X1)\r\n -0.04 0.96 library setup time\r\n 0.96 data required time\r\n---------------------------------------------------------\r\n 0.96 data required time\r\n -1.37 data arrival time\r\n---------------------------------------------------------\r\n -0.41 slack (VIOLATED)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_tns\r\n--------------------------------------------------------------------------\r\ntns -54.91\r\n\r\n==========================================================================\r\nreport_wns\r\n--------------------------------------------------------------------------\r\nwns -0.41\r\n\r\n==========================================================================\r\nreport_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 21436 u^2 3% utilization.\r\n\r\n==========================================================================\r\ninstance_count\r\n--------------------------------------------------------------------------\r\n16105\r\n\r\n==========================================================================\r\npin_count\r\n--------------------------------------------------------------------------\r\n43799\r\n\r\n0:21.54elapsed 100%CPU 135696memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/detail_place.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_3_opendp.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_2_place_resized.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 16105 components and 76009 component-terminals.\r\nNotice 0: Created 2 special nets and 32210 connections.\r\nNotice 0: Created 15745 nets and 43799 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_2_place_resized.def\r\nDesign Stats\r\n--------------------------------\r\ntotal instances 16105\r\nmulti row instances 0\r\nfixed instances 2966\r\nnets 15747\r\ndesign area 687995.2 u^2\r\nfixed area 789.0 u^2\r\nmovable area 20646.9 u^2\r\nutilization 3 %\r\nutilization padded 4 %\r\nrows 592\r\nrow height 1.4 u\r\n\r\nPlacement Analysis\r\n--------------------------------\r\ntotal displacement 12857.1 u\r\naverage displacement 0.8 u\r\nmax displacement 6.6 u\r\noriginal HPWL 919572.4 u\r\nlegalized HPWL 924146.0 u\r\ndelta HPWL 0 %\r\n\r\n0:02.62elapsed 99%CPU 197260memKB\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_3_place_dp.def results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.def\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_floorplan.sdc results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.sdc\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/cts.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_1_cts.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 16105 components and 76009 component-terminals.\r\nNotice 0: Created 2 special nets and 32210 connections.\r\nNotice 0: Created 15745 nets and 43799 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.def\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _22362_ (rising edge-triggered flip-flop clocked by core_clock)\r\nEndpoint: _22870_ (rising edge-triggered flip-flop clocked by core_clock)\r\nPath Group: core_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock core_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _22362_/CK (DFF_X1)\r\n 0.09 0.09 v _22362_/Q (DFF_X1)\r\n 0.04 0.13 v _14368_/ZN (XNOR2_X2)\r\n 0.04 0.17 ^ _14395_/ZN (OAI21_X2)\r\n 0.03 0.20 v _14462_/ZN (AOI221_X2)\r\n 0.07 0.26 ^ _14493_/ZN (NOR3_X1)\r\n 0.02 0.29 v _14495_/ZN (OAI21_X1)\r\n 0.04 0.32 v _14550_/ZN (AND2_X1)\r\n 0.05 0.37 ^ _14603_/ZN (OAI21_X1)\r\n 0.02 0.39 v _14643_/ZN (AOI21_X1)\r\n 0.06 0.44 v _14644_/ZN (OR2_X1)\r\n 0.05 0.49 ^ _14662_/ZN (OAI21_X1)\r\n 0.03 0.52 v _14703_/ZN (AOI21_X1)\r\n 0.05 0.57 ^ _14721_/ZN (OAI21_X1)\r\n 0.02 0.58 v _14768_/ZN (AOI21_X1)\r\n 0.06 0.64 v _14769_/ZN (OR2_X1)\r\n 0.05 0.69 ^ _14819_/ZN (OAI21_X1)\r\n 0.02 0.71 v _14834_/ZN (AOI21_X1)\r\n 0.05 0.75 ^ _14835_/ZN (NOR2_X1)\r\n 0.03 0.78 v _14885_/ZN (AOI21_X1)\r\n 0.06 0.84 ^ _14914_/ZN (OAI21_X1)\r\n 0.04 0.88 v _14985_/ZN (NAND3_X1)\r\n 0.04 0.92 ^ _15007_/ZN (NOR2_X1)\r\n 0.05 0.97 ^ _15009_/ZN (AND2_X1)\r\n 0.07 1.04 ^ _15072_/ZN (AND3_X1)\r\n 0.04 1.08 v _15124_/ZN (NAND3_X1)\r\n 0.06 1.14 ^ _15186_/ZN (NOR2_X1)\r\n 0.04 1.17 v _15249_/ZN (NAND3_X1)\r\n 0.07 1.24 ^ _15279_/ZN (NOR2_X1)\r\n 0.05 1.29 ^ _15280_/ZN (XNOR2_X1)\r\n 0.02 1.31 v _15281_/ZN (AOI21_X1)\r\n 0.04 1.35 ^ _15283_/ZN (AOI21_X1)\r\n 0.02 1.37 v _15284_/ZN (OAI21_X1)\r\n 0.04 1.41 ^ _15290_/ZN (AOI21_X1)\r\n 0.01 1.42 v _15291_/ZN (NOR3_X1)\r\n 0.04 1.47 ^ _15292_/ZN (AOI21_X1)\r\n 0.01 1.47 v _15293_/ZN (INV_X1)\r\n 0.00 1.47 v _22870_/D (DFF_X1)\r\n 1.47 data arrival time\r\n\r\n 1.00 1.00 clock core_clock (rise edge)\r\n 0.00 1.00 clock network delay (ideal)\r\n 0.00 1.00 clock reconvergence pessimism\r\n 1.00 ^ _22870_/CK (DFF_X1)\r\n -0.04 0.96 library setup time\r\n 0.96 data required time\r\n---------------------------------------------------------\r\n 0.96 data required time\r\n -1.47 data arrival time\r\n---------------------------------------------------------\r\n -0.51 slack (VIOLATED)\r\n\r\n\r\n *****************\r\n * TritonCTS 2.0 *\r\n *****************\r\n *****************************\r\n * Import characterization *\r\n *****************************\r\n Reading LUT file \"./platforms/nangate45/tritonCTS/lut.txt\"\r\n Min. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n 2 8 1 52 1 24\r\n [WARNING] 180 wires are pure wire and no slew degration.\r\n TritonCTS forced slew degradation on these wires.\r\n Num wire segments: 4994\r\n Num keys in characterization LUT: 1677\r\n Actual min input cap: 8\r\n Reading solution list file \"./platforms/nangate45/tritonCTS/sol_list.txt\"\r\n **********************\r\n * Find clock roots *\r\n **********************\r\n User did not specify clock roots.\r\n Using OpenSTA to find clock roots.\r\n Looking for clock sources...\r\n Clock names: clock \r\n ************************\r\n * Populate TritonCTS *\r\n ************************\r\n Initializing clock nets\r\n Number of user-input clocks: 1 ( \"clock\" )\r\n Looking for clock nets in the design\r\n Net \"clock\" found\r\nclock\r\n ****************************\r\n * Check characterization *\r\n ****************************\r\n The chacterization used 1 buffer(s) types. All of them are in the loaded DB.\r\n ***********************\r\n * Build clock trees *\r\n ***********************\r\n Generating H-Tree topology for net clock...\r\n Tot. number of sinks: 1514\r\n Wire segment unit: 20000 dbu (10 um)\r\n Original sink region: [(467210, 524830), (1219230, 1205570)]\r\n Normalized sink region: [(23.3605, 26.2415), (60.9615, 60.2785)]\r\n Width: 37.601\r\n Height: 34.037\r\n Level 1\r\n Direction: Horizontal\r\n # sinks per sub-region: 757\r\n Sub-region size: 18.8005 X 34.037\r\n Segment length (rounded): 10\r\n Key: 3192 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\n Key: 0 outSlew: 2 load: 1 length: 2 isBuffered: 0\r\n Level 2\r\n Direction: Vertical\r\n # sinks per sub-region: 379\r\n Sub-region size: 18.8005 X 17.0185\r\n Segment length (rounded): 8\r\n Key: 3206 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\n Level 3\r\n Direction: Horizontal\r\n # sinks per sub-region: 190\r\n Sub-region size: 9.40025 X 17.0185\r\n Segment length (rounded): 4\r\n Key: 1170 outSlew: 12 load: 1 length: 4 isBuffered: 1\r\n Level 4\r\n Direction: Vertical\r\n # sinks per sub-region: 95\r\n Sub-region size: 9.40025 X 8.50925\r\n Segment length (rounded): 4\r\n Key: 1242 outSlew: 12 load: 1 length: 4 isBuffered: 1\r\n Level 5\r\n Direction: Horizontal\r\n # sinks per sub-region: 48\r\n Sub-region size: 4.70012 X 8.50925\r\n Segment length (rounded): 2\r\n Key: 548 outSlew: 2 load: 1 length: 2 isBuffered: 1\r\n Level 6\r\n Direction: Vertical\r\n # sinks per sub-region: 24\r\n Sub-region size: 4.70012 X 4.25462\r\n Segment length (rounded): 2\r\n Key: 0 outSlew: 2 load: 1 length: 2 isBuffered: 0\r\n [WARNING] Creating fake entries in the LUT.\r\n Level 7\r\n Direction: Horizontal\r\n # sinks per sub-region: 12\r\n Sub-region size: 2.35006 X 4.25462\r\n Segment length (rounded): 1\r\n Key: 5030 outSlew: 12 load: 1 length: 1 isBuffered: 1\r\n Stop criterion found. Max number of sinks is (15)\r\n Building clock sub nets...\r\n Number of sinks covered: 1514\r\n Clock topology of net \"clock\" done.\r\n ****************\r\n * Post CTS opt *\r\n ****************\r\n Avg. source sink dist: 41899.5 dbu.\r\n Num outlier sinks: 5\r\n ********************\r\n * Write data to DB *\r\n ********************\r\n Writing clock net \"clock\" to DB\r\n Created 196 clock buffers.\r\n Created 196 clock nets.\r\n ... End of TritonCTS execution.\r\nDesign Stats\r\n--------------------------------\r\ntotal instances 16301\r\nmulti row instances 0\r\nfixed instances 2966\r\nnets 15943\r\ndesign area 687995.2 u^2\r\nfixed area 789.0 u^2\r\nmovable area 20809.7 u^2\r\nutilization 3 %\r\nutilization padded 4 %\r\nrows 592\r\nrow height 1.4 u\r\n\r\nPlacement Analysis\r\n--------------------------------\r\ntotal displacement 171.7 u\r\naverage displacement 0.0 u\r\nmax displacement 2.8 u\r\noriginal HPWL 935639.3 u\r\nlegalized HPWL 935647.4 u\r\ndelta HPWL 0 %\r\n\r\n0:08.61elapsed 100%CPU 209404memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/fillcell.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_2_cts_fillcell.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_1_cts.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 16301 components and 76793 component-terminals.\r\nNotice 0: Created 2 special nets and 32602 connections.\r\nNotice 0: Created 15941 nets and 44191 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_1_cts.def\r\nPlaced 118929 filler instances.\r\n0:03.17elapsed 99%CPU 337432memKB\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_2_cts_fillcell.def results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_cts.def\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/global_route.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/5_1_fastroute.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_cts.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: \t\tCreated 100000 Insts\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 135230 components and 314651 component-terminals.\r\nNotice 0: Created 2 special nets and 270460 connections.\r\nNotice 0: Created 15941 nets and 44191 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_cts.def\r\n\r\n *****************\r\n * FastRoute *\r\n *****************\r\n\r\n[PARAMS] Min routing layer: 2\r\n[PARAMS] Max routing layer: 10\r\n[PARAMS] Global adjustment: 0.15\r\n[PARAMS] Unidirectional routing: 1\r\n[PARAMS] Clock net routing: 0\r\n[PARAMS] Grid origin: (-1, -1)\r\nInitializing grid...\r\n[INFO] #DB Obstructions: 0\r\n[INFO] #DB Obstacles: 91449\r\n[INFO] #DB Macros: 0\r\nInitializing grid... Done!\r\nSearching for transition layers...\r\n[INFO] Found 0 transition layers\r\nSearching for transition layers... Done!\r\nInitializing routing layers...\r\nInitializing routing layers... Done!\r\nInitializing routing tracks...\r\nInitializing routing tracks... Done!\r\nSetting capacities...\r\nSetting capacities... Done!\r\nSetting spacings and widths...\r\nSetting spacings and widths... Done!\r\nInitializing nets...\r\nChecking pin placement...\r\nChecking pin placement... Done!\r\nInitializing nets... Done!\r\nAdjusting grid...\r\nAdjusting grid... Done!\r\nComputing track adjustments...\r\nComputing track adjustments... Done!\r\nComputing obstacles adjustments...\r\n[INFO] Processing 488762 obstacles in layer 1\r\n[INFO] Processing 30 obstacles in layer 4\r\n[INFO] Processing 42 obstacles in layer 7\r\nComputing obstacles adjustments... Done!\r\nComputing user defined adjustments...\r\nComputing user defined adjustments... Done!\r\nComputing user defined layers adjustments...\r\n[INFO] Reducing resources of layer 2 in 50%\r\n[INFO] Reducing resources of layer 3 in 50%\r\nComputing user defined layers adjustments... Done!\r\n[INFO] Elapsed time: 1.92481\r\nRunning FastRoute...\r\n\r\n\r\nFinal usage/overflow report: \r\n\r\n[Overflow Report] Total Usage : 456462\r\n[Overflow Report] Total Capacity: 4390909\r\n[Overflow Report] Max H Overflow: 0\r\n[Overflow Report] Max V Overflow: 0\r\n[Overflow Report] Max Overflow : 0\r\n[Overflow Report] H Overflow : 0\r\n[Overflow Report] V Overflow : 0\r\n[Overflow Report] Final Overflow: 0\r\n\r\n[INFO] Final usage : 456462\r\n[INFO] Final number of vias : 80252\r\n[INFO] Final usage 3D : 697218\r\nGetting results...\r\nGetting results... Done!\r\n\r\nRunning FastRoute... Done!\r\n[INFO] Total wirelength: 1917140.375000 um\r\n[INFO] Elapsed time: 5.391794\r\nWriting guides...\r\n[INFO] Num routed nets: 15662\r\n[ERROR] Net __Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy_i0.Datapath_i.fu__Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy_419510_419627.out1\\[10\\]\ufffd+ has segments vector empty\r\nCommand exited with non-zero status 1\r\n0:16.97elapsed 99%CPU 414492memKB\r\nMakefile:326: recipe for target 'results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/route.guide' failed\r\nmake: *** [results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/route.guide] Error 1\r\n\r\n```"}, {"user": "I found the problem. The error occurs when a `Net` `name` exceeds 200 characters. Currently the `Net name` is as following:\r\n```\r\ntypedef struct\r\n{\r\n char name[200]; // net name\r\n int netIDorg; // orginal net ID in the input file\r\n short numPins; // number of pins in the net\r\n ...\r\n} Net;\r\n```\r\n\r\nThe following patch solves the problem:\r\n```\r\ndiff --git a/third_party/fastroute/src/DataType.h b/third_party/fastroute/src/DataType.h\r\nindex 0f8421a..ef80e1d 100644\r\n--- a/third_party/fastroute/src/DataType.h\r\n+++ b/third_party/fastroute/src/DataType.h\r\n@@ -35,6 +35,7 @@\r\n #define MAXDEMAND 500 // MAX # Segments over an edge\r\n #define FILESTRLEN 100 // MAX length of file name\r\n #define BIG_INT 1e7 // big integer used as infinity\r\n+#define MAX_NET_NAME 800\r\n \r\n #define TRUE 1\r\n #define FALSE 0\r\n@@ -70,7 +71,7 @@ typedef struct\r\n \r\n typedef struct\r\n {\r\n- char name[200]; // net name\r\n+ char name[MAX_NET_NAME]; // net name\r\n int netIDorg; // orginal net ID in the input file\r\n short numPins; // number of pins in the net\r\n short deg; // net degree (number of MazePoints connecting by the net, pins in same MazePoints count only 1)\r\ndiff --git a/third_party/fastroute/src/FastRoute.cpp b/third_party/fastroute/src/FastRoute.cpp\r\nindex d16dbe1..5afd9b7 100644\r\n--- a/third_party/fastroute/src/FastRoute.cpp\r\n+++ b/third_party/fastroute/src/FastRoute.cpp\r\n@@ -585,6 +585,11 @@ void FT::addNet(char *name, int netIdx, int nPins, int minWidth, PIN pins[], flo\r\n MD = std::max(MD, pinInd);\r\n TD += pinInd;\r\n // std::cout << \"Net name: \" << nets[newnetID]->name << \"; num pins: \" << nets[newnetID]->numPins << \"\\n\";\r\n+ if (strlen(name) >= MAX_NET_NAME - 1) {\r\n+ std::cout << \"[ERROR] The name of the net is larger than \" <<\r\n+ MAX_NET_NAME << \". Please increase the size.\\n\";\r\n+ exit (1);\r\n+ }\r\n strcpy(nets[newnetID]->name, name);\r\n nets[newnetID]->netIDorg = netID;\r\n nets[newnetID]->numPins = numPins;\r\n```"}, {"assistant": "Fixed using a std::string so there is no length limit."}]} +{"num": 457, "messages": [{"user": "OpenROAD is crashing while starting FastRoute for https://github.com/tamimcse/test/blob/master/top.v. The Verilog is generated using Bambu HLS. The OpenROAD script is https://github.com/tamimcse/test/blob/master/bash_script.sh. The output is as following: You will see the crash at the very end.\r\n\r\n`.....\r\n......\r\nyosys> opt_clean -purge\r\n\r\nExecuting OPT_CLEAN pass (remove unused cells and wires).\r\nFinding unused cells or wires in module _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy..\r\nRemoved 96 unused cells and 9494 unused wires.\r\n\r\ny_typical.lib iberty /opt/panda/share/panda//nangate45/lib/NangateOpenCellLibrary\r\n\r\nPrinting statistics.\r\n=== _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy ===\r\n\r\nNumber of wires: 20066\r\nNumber of wire bits: 21196\r\nNumber of public wires: 2469\r\nNumber of public wire bits: 3599\r\nNumber of memories: 0\r\nNumber of memory bits: 0\r\nNumber of processes: 0\r\nNumber of cells: 18580\r\nAND2_X1 1925\r\nAND2_X2 49\r\nAND2_X4 45\r\nAND3_X1 488\r\nAND3_X2 3\r\nAND3_X4 6\r\nAND4_X1 120\r\nAND4_X2 3\r\nAND4_X4 3\r\nAOI211_X1 272\r\nAOI211_X2 3\r\nAOI21_X1 882\r\nAOI21_X2 3\r\nAOI221_X1 1\r\nAOI221_X2 2\r\nAOI221_X4 33\r\nAOI22_X1 22\r\nBUF_X1 4070\r\nBUF_X16 2\r\nBUF_X2 115\r\nBUF_X4 273\r\nBUF_X8 5\r\nCLKBUF_X2 58\r\nCLKBUF_X3 3\r\nDFF_X1 1514\r\nINV_X1 807\r\nINV_X2 18\r\nINV_X32 5\r\nINV_X4 6\r\nLOGIC0_X1 1\r\nMUX2_X1 1143\r\nMUX2_X2 4\r\nNAND2_X1 1288\r\nNAND2_X2 3\r\nNAND2_X4 1\r\nNAND3_X1 451\r\nNAND3_X2 1\r\nNAND4_X1 84\r\nNAND4_X2 1\r\nNOR2_X1 1262\r\nNOR2_X2 11\r\nNOR2_X4 9\r\nNOR3_X1 335\r\nNOR3_X2 1\r\nNOR3_X4 1\r\nNOR4_X1 58\r\nNOR4_X2 2\r\nNOR4_X4 2\r\nOAI211_X1 356\r\nOAI211_X2 3\r\nOAI21_X1 615\r\nOAI21_X2 1\r\nOAI221_X1 44\r\nOAI22_X1 30\r\nOAI22_X2 1\r\nOR2_X1 228\r\nOR2_X2 5\r\nOR2_X4 2\r\nOR3_X1 236\r\nOR4_X1 111\r\nOR4_X2 1\r\nXNOR2_X1 668\r\nXOR2_X1 873\r\nXOR2_X2 12\r\n\r\nChip area for module '_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy': 25630.164000\r\n\r\nS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy_mapped.vPhPtS_PjS_S1_S_S1_S_S1\r\n21. Executing Verilog backend.\r\nDumping module `_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy'.\r\n\r\nyosys> exit\r\n\r\nWarnings: 8 unique messages, 72 total\r\nEnd of script. Logfile hash: 9dd2f6b66b, CPU: user 35.81s system 0.18s, MEM: 104.99 MB peak\r\nYosys 0.9+1706 (git sha1 b7419544, gcc 7.4.0-1ubuntu1~18.04.1 -fPIC -Os)\r\nTime spent: 28% 30x opt_clean (9 sec), 17% 25x opt_merge (6 sec), ...\r\nOpenROAD 1.1.0 484b8f0\r\nLicense GPLv3: GNU GPL version 3 http://gnu.org/licenses/gpl.html\r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged.lef\r\nStartpoint: 34756 (rising edge-triggered flip-flop clocked by clock)\r\nEndpoint: 36070 (rising edge-triggered flip-flop clocked by clock)\r\nPath Group: clock\r\nPath Type: max\r\n\r\nDelay Time Description\r\n0.00 0.00 clock clock (rise edge)\r\n0.00 0.00 clock network delay (ideal)\r\n0.00 0.00 ^ 34756/CK (DFF_X1)\r\n0.08 0.08 ^ 34756/Q (DFF_X1)\r\n0.17 0.26 ^ 30643/Z (BUF_X1)\r\n0.02 0.28 v 17612/ZN (NOR2_X4)\r\n0.05 0.33 v 17614/ZN (AND2_X4)\r\n0.03 0.36 ^ 17703/ZN (NOR2_X2)\r\n0.02 0.38 v 17719/ZN (INV_X1)\r\n0.05 0.43 ^ 17720/ZN (AOI221_X2)\r\n0.04 0.47 ^ 17721/ZN (AND2_X2)\r\n0.03 0.50 ^ 17727/ZN (AND2_X4)\r\n0.03 0.53 ^ 17749/ZN (AND2_X4)\r\n0.03 0.56 ^ 17750/Z (BUF_X4)\r\n0.04 0.59 ^ 21064/ZN (AND3_X4)\r\n0.04 0.64 ^ 21069/ZN (AND3_X2)\r\n0.04 0.67 ^ 21345/ZN (AND2_X4)\r\n0.03 0.70 ^ 21451/Z (BUF_X8)\r\n0.06 0.76 v 21452/Z (MUX2_X1)\r\n0.03 0.79 v 21453/ZN (AND2_X1)\r\n0.06 0.85 ^ 21456/ZN (AOI211_X2)\r\n0.01 0.86 v 21467/ZN (NOR3_X1)\r\n0.04 0.90 v 21487/ZN (OR2_X1)\r\n0.06 0.96 v 21488/Z (MUX2_X1)\r\n0.03 0.98 v 32559/Z (BUF_X1)\r\n0.00 0.98 v 36070/D (DFF_X1)\r\n0.98 data arrival time\r\n\r\n1.00 1.00 clock clock (rise edge)\r\n0.00 1.00 clock network delay (ideal)\r\n0.00 1.00 clock reconvergence pessimism\r\n1.00 ^ 36070/CK (DFF_X1)\r\n-0.04 0.96 library setup time\r\n0.96 data required time\r\n 0.96 data required time\r\n -0.98 data arrival time\r\n -0.02 slack (VIOLATED)\r\nDesign area 102521 u^2 100% utilization.\r\nInfo: Added 428 rows of 3158 sites.\r\nWARNING: force pin spread option has no effect when using random pin placement\r\n\r\nRunning IO placement\r\n\r\nNum of slots 7698\r\nNum of I/O 1173\r\nNum of I/O w/sink 1130\r\nNum of I/O w/o sink 43\r\nSlots Per Section 200\r\nSlots Increase Factor 0.01\r\nUsage Per Section 0.8\r\nUsage Increase Factor 0.01\r\nForce Pin Spread 1\r\nWARNING: running random pin placement\r\nRandomMode Even\r\n\r\nIO placement done.\r\nOpenROAD 1.1.0 484b8f0\r\nLicense GPLv3: GNU GPL version 3 http://gnu.org/licenses/gpl.html\r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0:\r\nReading DEF file: OpenROAD_results/2_2_floorplan_io.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 18580 components and 95604 component-terminals.\r\nNotice 0: Created 21186 nets and 58444 connections.\r\nNotice 0: Finished DEF file: OpenROAD_results/2_2_floorplan_io.def\r\ninvalid command name \"STEP 3: Timing Driven Mixed Sized Placement\"\r\nNo macros found: Skipping global_placement\r\nfixIoPins.py : Fixing Pins in Def file\r\nReplacements made - West:337 South:249 East:338 North:249\r\nfixIoPins.py : Finished\r\nOpenROAD 1.1.0 484b8f0\r\nLicense GPLv3: GNU GPL version 3 http://gnu.org/licenses/gpl.html\r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0:\r\nReading DEF file: OpenROAD_results/2_3_floorplan_tdms.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 18580 components and 95604 component-terminals.\r\nNotice 0: Created 21186 nets and 58444 connections.\r\nNotice 0: Finished DEF file: OpenROAD_results/2_3_floorplan_tdms.def\r\nNo macros found: Skipping macro_placement\r\n##Power Delivery Network Generator: Generating PDN\r\n\r\nconfig: /opt/panda/share/panda//nangate45/pdn.cfg\r\nDesign Name is _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nReading BEOL LEF and gathering information ...\r\n****** INFO ******\r\nType: stdcell, grid\r\nStdcell Rails\r\nLayer: metal1 - Width: 0.170 Pitch: 2.400 Offset: 0.000\r\nStraps\r\nLayer: metal4 - Width: 0.480 Pitch: 56.000 Offset: 2.000\r\nLayer: metal7 - Width: 1.400 Pitch: 40.000 Offset: 2.000\r\nConnect: {metal1 metal4} {metal4 metal7}\r\nType: macro, macro_1\r\nMacro orientation: R0 R180 MX MY\r\nStraps\r\nLayer: metal5 - Width: 0.930 Pitch: 40.000 Offset: 2.000\r\nLayer: metal6 - Width: 0.930 Pitch: 40.000 Offset: 2.000\r\nConnect: {metal4_PIN_ver metal5} {metal5 metal6} {metal6 metal7}\r\nType: macro, macro_2\r\nMacro orientation: R90 R270 MXR90 MYR90\r\nStraps\r\nLayer: metal6 - Width: 0.930 Pitch: 40.000 Offset: 2.000\r\nConnect: {metal4_PIN_hor metal6} {metal6 metal7}\r\n**** END INFO ****\r\nInserting stdcell grid - grid\r\nWriting to database\r\nRunning tapcell...\r\nStep 1: Cut rows...\r\n---- Macro blocks found: 0\r\n---- #Original rows: 428\r\n---- #Cut rows: 0\r\nStep 2: Insert endcaps...\r\n---- #Endcaps inserted: 856\r\nStep 3: Insert tapcells...\r\n---- #Tapcells inserted: 860\r\nRunning tapcell... Done!\r\n[INFO] TargetDensity = 0.700000\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\nmkdir: cannot create directory \u2018/dev/null\u2019: Not a directory\r\n[PROC] Begin Filling Replace Structure ...\r\n[INFO] DEF DBU = 2000\r\n[INFO] RowHeight = 2800.000000\r\n[INFO] ScaleDownUnit = 311.111115\r\n[INFO] CoreAreaLxLy = (20140.000000, 22400.000000)\r\n[INFO] CoreAreaUxUy = (1220180.000000, 1220800.000000)\r\n[INFO] OffsetCoordi = (2260.000000, 0.000000)\r\n[INFO] ScaleDownRowHeight = 9.000000\r\n[INFO] Modules = 18580\r\n[INFO] Terminals = 2889\r\n[PROC] Begin Generate Nets ...\r\n[INFO] NumNets = 21186\r\n[INFO] NumPins = 59617\r\n[PROC] End Generate Nets\r\n[INFO] Inserted Dummy Terms = 0\r\n[PROC] Begin Generate Rows ...\r\n[INFO] RowSize = (1.221429, 9.000000)\r\n[INFO] NumRows = 428\r\n[PROC] End Generate Rows\r\n[INFO] AspectRatio = 0.998633\r\n[INFO] RowMinXY = (72.000000, 79.264282)\r\n[INFO] RowMaxXY = (3929.271240, 3931.264160)\r\n[INFO] NumPlaceStdCells = 18580\r\n[INFO] NumPlaceMacros = 0\r\n[INFO] RowSize = (1.221429, 9.000000)\r\n[INFO] NumRows = 428\r\n[INFO] GlobalAreaLxLy = (7.489285, 7.489285)\r\n[INFO] GlobalAreaUxUy = (3993.717773, 3996.610596)\r\n[INFO] PlaceAreaLxLy = (72.000000, 79.264160)\r\n[INFO] PlaceAreaUxUy = (3929.271240, 3931.264160)\r\n[PROC] End Filling Replace Structure\r\nPROC: Conjugate Gradient (CG) method to obtain the IP\r\nINFO: The Initial HPWL is 524644.543317\r\nINFO: The Matrix Size is 18580\r\nINFO: IP 0, CG Error 0.000027, HPWL 545957.517281, CPUtime 0.17\r\nINFO: IP 1, CG Error 0.000006, HPWL 526126.977911, CPUtime 0.21\r\nINFO: IP 2, CG Error 0.000001, HPWL 523085.066241, CPUtime 0.22\r\nINFO: IP 3, CG Error 0.000001, HPWL 522274.195831, CPUtime 0.21\r\nINFO: IP 4, CG Error 0.000001, HPWL 521964.732325, CPUtime 0.19\r\nINFO: IP 5, CG Error 0.000000, HPWL 521564.830225, CPUtime 0.21\r\n===HPWL(MICRON)====================================\r\nMode : Initial Placement\r\nHPWL : 735601.8216\r\nx= 369036.8821 y= 366564.9395\r\n[INFO] TotalPlaceArea = 14858209.000000\r\n[INFO] TotalFixedArea = 18862.050781\r\n[INFO] TotalWhiteSpaceArea = 14839347.000000\r\n[INFO] TotalPlaceMacrosArea = 0.000000\r\n[INFO] TotalPlaceStdCellsArea = 2692896.250000\r\n[INFO] Util(%) = 18.123962\r\n[INFO] 80pCellArea = 139.526581\r\n[INFO] FillerInit: TotalFillerArea = 7694647.000000\r\n[INFO] FillerInit: NumFillerCells = 55146\r\n[INFO] FillerInit: FillerCellArea = 139.533539\r\n[INFO] FillerInit: FillerCellSize = (15.503726, 9.000000)\r\n[INFO] FillerInit: NumCells = 73726\r\n[INFO] FillerInit: NumModules = 18580\r\n[INFO] FillerInit: NumFillers = 55146\r\nINFO: D_MSH = 1024\r\nINFO: MSH(X, Y) = (32, 32)\r\nINFO: dim_bin_cGP2D.(x,y) = (256, 256)\r\ncell Init 2D:\r\ntier->bin_stp: (15.0675 15.0469)\r\ntier->half_bin_stp: (7.5337 7.5234)\r\nPROC: Start NESTEROV's Optimization\r\nPROC: Global Lagrangian Multiplier is Applied\r\n[INFO] Timing: WNS = 1.29948e-11\r\n[INFO] Timing: TNS = 0\r\n[INFO] Nesterov: 0 OverFlow: 0.9854 ScaledHpwl: 3353720.0000\r\n[INFO] Timing: WNS = -9.08862e-12\r\n[INFO] Timing: TNS = -1.37044e-11\r\n[INFO] Nesterov: 10 OverFlow: 0.7612 ScaledHpwl: 4656717.5000\r\n[INFO] Nesterov: 20 OverFlow: 0.7271 ScaledHpwl: 4600205.0000\r\n[INFO] Nesterov: 30 OverFlow: 0.7259 ScaledHpwl: 4532463.0000\r\n[INFO] Nesterov: 40 OverFlow: 0.7285 ScaledHpwl: 4490022.5000\r\n[INFO] Nesterov: 50 OverFlow: 0.7235 ScaledHpwl: 4512176.5000\r\n[INFO] Nesterov: 60 OverFlow: 0.7214 ScaledHpwl: 4498370.5000\r\n[INFO] Nesterov: 70 OverFlow: 0.7225 ScaledHpwl: 4486582.0000\r\n[INFO] Nesterov: 80 OverFlow: 0.7223 ScaledHpwl: 4493103.0000\r\n[INFO] Nesterov: 90 OverFlow: 0.7214 ScaledHpwl: 4493090.0000\r\n[INFO] Nesterov: 100 OverFlow: 0.7219 ScaledHpwl: 4488126.0000\r\n[INFO] Nesterov: 110 OverFlow: 0.7223 ScaledHpwl: 4489798.5000\r\n[INFO] Nesterov: 120 OverFlow: 0.7219 ScaledHpwl: 4492216.0000\r\n[INFO] Nesterov: 130 OverFlow: 0.7219 ScaledHpwl: 4491156.5000\r\n[INFO] Nesterov: 140 OverFlow: 0.7219 ScaledHpwl: 4491949.0000\r\n[INFO] Nesterov: 150 OverFlow: 0.7213 ScaledHpwl: 4494138.0000\r\n[INFO] Nesterov: 160 OverFlow: 0.7207 ScaledHpwl: 4495404.0000\r\n[INFO] Nesterov: 170 OverFlow: 0.7195 ScaledHpwl: 4498037.5000\r\n[INFO] Nesterov: 180 OverFlow: 0.7177 ScaledHpwl: 4502708.0000\r\n[INFO] Nesterov: 190 OverFlow: 0.7145 ScaledHpwl: 4507794.5000\r\n[INFO] Nesterov: 200 OverFlow: 0.7106 ScaledHpwl: 4516302.0000\r\n[INFO] Nesterov: 210 OverFlow: 0.7026 ScaledHpwl: 4528353.0000\r\n[INFO] Nesterov: 220 OverFlow: 0.6926 ScaledHpwl: 4537470.5000\r\n[INFO] Nesterov: 230 OverFlow: 0.6770 ScaledHpwl: 4543792.0000\r\n[INFO] Nesterov: 240 OverFlow: 0.6535 ScaledHpwl: 4535685.5000\r\n[INFO] Timing: WNS = -5.55014e-11\r\n[INFO] Timing: TNS = -5.22214e-10\r\n[INFO] Nesterov: 250 OverFlow: 0.6285 ScaledHpwl: 4530373.0000\r\n[INFO] Nesterov: 260 OverFlow: 0.5989 ScaledHpwl: 4576544.0000\r\n[INFO] Nesterov: 270 OverFlow: 0.5632 ScaledHpwl: 4603646.0000\r\n[INFO] Nesterov: 280 OverFlow: 0.5264 ScaledHpwl: 4607634.0000\r\n[INFO] Nesterov: 290 OverFlow: 0.4824 ScaledHpwl: 4654078.0000\r\n[INFO] Timing: WNS = -1.06277e-10\r\n[INFO] Timing: TNS = -2.22512e-09\r\n[INFO] Nesterov: 300 OverFlow: 0.4519 ScaledHpwl: 4672081.0000\r\n[INFO] Nesterov: 310 OverFlow: 0.4206 ScaledHpwl: 4691820.0000\r\n[INFO] Nesterov: 320 OverFlow: 0.3851 ScaledHpwl: 4693493.0000\r\n[INFO] Nesterov: 330 OverFlow: 0.3558 ScaledHpwl: 4698090.0000\r\n[INFO] Nesterov: 340 OverFlow: 0.3219 ScaledHpwl: 4705394.0000\r\n[INFO] Nesterov: 350 OverFlow: 0.2854 ScaledHpwl: 4708784.0000\r\n[INFO] Timing: WNS = -1.50303e-10\r\n[INFO] Timing: TNS = -2.67458e-09\r\n[INFO] Nesterov: 360 OverFlow: 0.2545 ScaledHpwl: 4708413.0000\r\n[INFO] Nesterov: 370 OverFlow: 0.2222 ScaledHpwl: 4707805.5000\r\n[INFO] Timing: WNS = -1.4887e-10\r\n[INFO] Timing: TNS = -2.59766e-09\r\n[INFO] Nesterov: 380 OverFlow: 0.1903 ScaledHpwl: 4707631.5000\r\n[INFO] Nesterov: 390 OverFlow: 0.1622 ScaledHpwl: 4708060.5000\r\n[INFO] Nesterov: 400 OverFlow: 0.1380 ScaledHpwl: 4708762.5000\r\n[INFO] Nesterov: 410 OverFlow: 0.1158 ScaledHpwl: 4712566.5000\r\n[INFO] Nesterov: 420 OverFlow: 0.0998 ScaledHpwl: 4717579.5000\r\n[INFO] Timing: WNS = -1.53279e-10\r\n[INFO] Timing: TNS = -2.60917e-09\r\nHP wire length: 731895\r\nWorst slack: -1.53e-01\r\nTotal negative slack: -2.61e+00\r\nWarning: cell 'OAI211_X1}' not found.\r\nError: get_property is not an object.\r\nInserted 1091 input buffers.\r\nInserted 81 output buffers.\r\nResized 5488 instances.\r\nInserted 0 hold buffers.\r\n-------------------- Design Stats ------------------------------\r\ncore area : (20140, 22400) (1220180, 1220800)\r\ntotal cells : 21468\r\nmulti cells : 0\r\nfixed cells : 1716\r\nnets : 22360\r\ndesign area : 1438127936000.000\r\ntotal fixed area : 1825824000.000\r\ntotal movable area : 284683840000.000\r\ndesign utilization : 19.821\r\nrows : 428\r\nrow height : 2800\r\nCheck Legality\r\nrow check ==> PASS\r\nsite check ==> PASS\r\npower check ==> PASS\r\nedge_check ==> PASS\r\nplaced_check ==>> PASS\r\noverlap_check ==> PASS\r\n-------------------- Placement Analysis ------------------------\r\ntotal displacement : 62095532\r\naverage displacement : 2892\r\nmax displacement : 66070\r\noriginal HPWL : 738875.682\r\nlegalized HPWL : 752026.493\r\ndelta HPWL : 2%\r\nTritonCTS 2.0 *\r\nCurrent time: Thu Mar 19 22:26:59 2020\r\n\r\nImport characterization *\r\nReading LUT file \"/opt/panda/share/panda//nangate45/tritonCTS/lut.txt\"\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 52 1 24\r\n[WARNING] 180 wires are pure wire and no slew degration.\r\nTritonCTS forced slew degradation on these wires.\r\nNum wire segments: 4994\r\nNum keys in characterization LUT: 1677\r\nActual min input cap: 8\r\nReading solution list file \"/opt/panda/share/panda//nangate45/tritonCTS/sol_list.txt\"\r\n\r\nFind clock roots *\r\nUser did not specify clock roots.\r\nUsing OpenSTA to find clock roots.\r\nLooking for clock sources...\r\nClock names: clock\r\n\r\nPopulate TritonCTS *\r\nInitializing clock nets\r\nNumber of user-input clocks: 1 ( \"clock\" )\r\nLooking for clock nets in the design\r\nNet \"clock\" found\r\nclock\r\n\r\nCheck characterization *\r\nThe chacterization used 1 buffer(s) types. All of them are in the loaded DB.\r\nBuild clock trees *\r\nGenerating H-Tree topology for net clock...\r\nTot. number of sinks: 1514\r\nWire segment unit: 20000 dbu (10 um)\r\nOriginal sink region: [(231990, 315170), (972610, 919970)]\r\nNormalized sink region: [(12, 16), (49, 46)]\r\nWidth: 37\r\nHeight: 30\r\nLevel 1\r\nDirection: Horizontal\r\n# sinks per sub-region: 757\r\nSub-region size: 19 X 30\r\nSegment length (rounded): 10\r\nKey: 3192 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\nKey: 0 outSlew: 2 load: 1 length: 2 isBuffered: 0\r\nLevel 2\r\nDirection: Vertical\r\n# sinks per sub-region: 379\r\nSub-region size: 19 X 15\r\nSegment length (rounded): 8\r\nKey: 3206 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\nLevel 3\r\nDirection: Horizontal\r\n# sinks per sub-region: 190\r\nSub-region size: 9 X 15\r\nSegment length (rounded): 4\r\nKey: 1170 outSlew: 12 load: 1 length: 4 isBuffered: 1\r\nLevel 4\r\nDirection: Vertical\r\n# sinks per sub-region: 95\r\nSub-region size: 9 X 8\r\nSegment length (rounded): 4\r\nKey: 1242 outSlew: 12 load: 1 length: 4 isBuffered: 1\r\nLevel 5\r\nDirection: Horizontal\r\n# sinks per sub-region: 48\r\nSub-region size: 5 X 8\r\nSegment length (rounded): 2\r\nKey: 548 outSlew: 2 load: 1 length: 2 isBuffered: 1\r\n[WARNING] Creating fake entries in the LUT.\r\nLevel 6\r\nDirection: Vertical\r\n# sinks per sub-region: 24\r\nSub-region size: 5 X 4\r\nSegment length (rounded): 1\r\nKey: 5029 outSlew: 12 load: 1 length: 1 isBuffered: 1\r\nLevel 7\r\nDirection: Horizontal\r\n# sinks per sub-region: 12\r\nSub-region size: 2 X 4\r\nSegment length (rounded): 1\r\nKey: 5039 outSlew: 12 load: 1 length: 1 isBuffered: 1\r\nStop criterion found. Max number of sinks is (15)\r\nBuilding clock sub nets...\r\nNumber of sinks covered: 1514\r\nClock topology of net \"clock\" done.\r\n\r\nPost CTS opt *\r\nAvg. source sink dist: 46402 dbu.\r\nNum outlier sinks: 3\r\n\r\nWrite data to DB *\r\nWriting clock net \"clock\" to DB\r\nCreated 258 clock buffers.\r\nCreated 258 clock nets.\r\n\r\nCurrent time: Thu Mar 19 22:26:59 2020\r\n... End of TritonCTS execution.\r\n-------------------- Design Stats ------------------------------\r\ncore area : (20140, 22400) (1220180, 1220800)\r\ntotal cells : 21726\r\nmulti cells : 0\r\nfixed cells : 1716\r\nnets : 22618\r\ndesign area : 1438127936000.000\r\ntotal fixed area : 1825824000.000\r\ntotal movable area : 287720496000.000\r\ndesign utilization : 20.032\r\nrows : 428\r\nrow height : 2800\r\nCheck Legality\r\nrow check ==> PASS\r\nsite check ==> PASS\r\npower check ==> PASS\r\nedge_check ==> PASS\r\nplaced_check ==>> PASS\r\noverlap_check ==> PASS\r\n-------------------- Placement Analysis ------------------------\r\ntotal displacement : 1657681\r\naverage displacement : 76\r\nmax displacement : 16853\r\noriginal HPWL : 763228.133\r\nlegalized HPWL : 763580.620\r\ndelta HPWL : 0%\r\nAdjust layer 2 in 70.0%\r\nAdjust layer 3 in 70.0%\r\n*** buffer overflow detected ***: openroad terminated`"}, {"assistant": "Hi, @tamimcse.\r\n\r\nAre you generating the output files from each step (e.g: 3_placed.def after placement, 4_cts.def after clock tree synthesis, etc.)? If so, can you send me the input files for FastRoute?"}, {"user": "I added the DEF files at https://github.com/tamimcse/test/tree/master/OpenROAD_results. I also updated the openroad script (https://github.com/tamimcse/test/blob/master/bash_script.sh). Please let me know if you need any other information."}, {"assistant": "When I try to run \"bash_script.sh\", I get these following errors:\r\n./bash_script.sh: 14: ./bash_script.sh: /scripts/mergeLef.py: not found\r\n./bash_script.sh: 15: ./bash_script.sh: /scripts/padLefMacro.py: not found\r\n./bash_script.sh: 16: ./bash_script.sh: /scripts/modifyLefSpacing.py: not found\r\n./bash_script.sh: 17: ./bash_script.sh: /scripts/mergeLib.pl: not found\r\n./bash_script.sh: 18: ./bash_script.sh: /scripts/markDontUse.py: not found\r\n\r\nIf you can send me these files, and if you can send me the LEF files used as input for FastRoute, it would be great"}, {"assistant": "@tamimcse if you use OpenROAD-flow, you can run `make global_route_issue` which will produce a tar.gz file with everything @eder-matheus needs to debug. Please browse the tar to make sure it doesn't package any proprietary / NDA materials or things you do not want to share. If you are able to reproduce the issue with nangate45 on an open-source netlist, then there should be no IP issues."}, {"user": "OK, never mind about the previous scripts. I added all the scripts in https://github.com/tamimcse/test/tree/master/sail_sim. Here you want to run `synthesize_Synthesis__Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy.sh`. It sets some environment variables, then runs HLS_output/Synthesis/bash_flow/bash_script.sh. This is the actual openroad script. It generates output in OpenROAD_results folder. Here you will find the intermediate DEF files. Please let me know if face any issue running it."}, {"assistant": "I'm having some trouble running your script. Does it need to be run in a Docker or something like that? Also, do I need to clone and build the OpenROAD-flow at some place inside your repo?"}, {"user": "No, you don't need OpenROAD-flow or docker at all. However you will need to install openroad, yosys and TritonRoute separately. Those binaries needs to be accessible via PATH variable (they are accessible by default). \r\n\r\nThen you simply can clone `https://github.com/tamimcse/test`. Then enter `test/sail_sim/` and then run `sh synthesize_Synthesis__Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy.sh`. This should run openroad. \r\n\r\nHere we don't use the TCL scripts of OpenROAD-flow. We rather use shell script (`HLS_output/Synthesis/bash_flow/bash_script.sh`) which is analogous to OpenROAD-flow TCL scripts."}, {"assistant": "Hi, @tamimcse.\r\n\r\nSorry for the delay with it. I spent the last days fixing some memory issues in FastRoute code, that was probably the cause of the crash that you are facing. Can you try to update your submodule of FastRoute with the last \"openroad\" branch updates?"}, {"user": "Thanks @eder-matheus for looking into the issue!!!!\r\n\r\nI updated OpenROAD to \"openroad\" branch along with all the sub-modules as following:\r\n`git fetch origin`\r\n`git checkout -f --recurse-submodules origin/openroad`\r\n\r\nThe new version is successfully compiled and installed . But I'm still getting the same error.\r\n\r\nNote that if I change `uint32_t C112[SIZE112]` to `uint8_t C112[SIZE112]` in https://github.com/tamimcse/test/blob/master/module.cpp, the generated verilog works properly with OpenROAD. So I guess, it's causing error at some boundary condition or exceeding memory.. \r\n\r\nCurrent output is as following:\r\n\r\n``` Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _34076_/CK (DFF_X1)\r\n 0.08 0.08 ^ _34076_/Q (DFF_X1)\r\n 0.21 0.29 ^ _31306_/Z (BUF_X1)\r\n 0.05 0.35 v _20241_/ZN (INV_X1)\r\n 0.07 0.42 v _20242_/Z (MUX2_X1)\r\n 0.04 0.46 ^ _20243_/ZN (NOR2_X1)\r\n 0.04 0.50 v _20244_/ZN (NAND3_X1)\r\n 0.05 0.55 ^ _20246_/ZN (NOR2_X1)\r\n 0.03 0.57 v _30089_/ZN (XNOR2_X1)\r\n 0.03 0.60 v _30092_/ZN (AND2_X1)\r\n 0.04 0.64 ^ _30094_/ZN (NOR2_X1)\r\n 0.01 0.66 v _30097_/ZN (NOR2_X1)\r\n 0.07 0.73 v _30103_/ZN (OR3_X1)\r\n 0.02 0.74 ^ _30109_/ZN (NAND3_X1)\r\n 0.04 0.78 ^ _30111_/ZN (AND2_X1)\r\n 0.01 0.79 v _30114_/ZN (INV_X1)\r\n 0.03 0.82 v _30115_/ZN (AND2_X1)\r\n 0.04 0.85 ^ _30117_/ZN (NOR2_X1)\r\n 0.01 0.86 v _30120_/ZN (INV_X1)\r\n 0.03 0.89 v _30121_/ZN (AND2_X1)\r\n 0.04 0.93 ^ _30123_/ZN (NOR2_X1)\r\n 0.01 0.94 v _30126_/ZN (INV_X1)\r\n 0.03 0.97 v _30127_/ZN (AND2_X1)\r\n 0.03 1.00 ^ _30138_/ZN (OAI211_X1)\r\n 0.04 1.04 ^ _30141_/ZN (AND2_X1)\r\n 0.01 1.05 v _30146_/ZN (NOR3_X1)\r\n 0.04 1.09 ^ _30147_/ZN (NOR2_X1)\r\n 0.01 1.09 v _30151_/ZN (INV_X1)\r\n 0.03 1.13 v _30152_/ZN (AND2_X1)\r\n 0.04 1.16 ^ _30154_/ZN (NOR2_X1)\r\n 0.01 1.18 v _30162_/ZN (INV_X1)\r\n 0.02 1.19 ^ _30178_/ZN (NAND4_X1)\r\n 0.05 1.24 ^ _30182_/ZN (AND2_X1)\r\n 0.01 1.25 v _30191_/ZN (INV_X1)\r\n 0.03 1.28 v _30208_/ZN (AND4_X1)\r\n 0.04 1.32 ^ _30213_/ZN (NOR2_X1)\r\n 0.01 1.33 v _30216_/ZN (INV_X1)\r\n 0.04 1.37 v _30240_/ZN (AND4_X1)\r\n 0.03 1.41 ^ _30257_/ZN (OAI211_X1)\r\n 0.05 1.45 ^ _30259_/ZN (AND2_X1)\r\n 0.01 1.46 v _30269_/ZN (INV_X1)\r\n 0.03 1.50 v _30270_/ZN (AND3_X1)\r\n 0.03 1.53 ^ _30276_/ZN (OAI21_X1)\r\n 0.02 1.55 v _30279_/ZN (NAND2_X1)\r\n 0.04 1.59 v _30281_/ZN (XNOR2_X1)\r\n 0.03 1.61 v _33350_/Z (BUF_X1)\r\n 0.00 1.61 v _34112_/D (DFF_X1)\r\n 1.61 data arrival time\r\n\r\n 10.00 10.00 clock clock (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n 0.00 10.00 clock reconvergence pessimism\r\n 10.00 ^ _34112_/CK (DFF_X1)\r\n -0.04 9.96 library setup time\r\n 9.96 data required time\r\n---------------------------------------------------------\r\n 9.96 data required time\r\n -1.61 data arrival time\r\n---------------------------------------------------------\r\n 8.35 slack (MET)\r\n\r\n\r\nDesign area 22338 u^2 100% utilization.\r\nInfo: Added 428 rows of 3158 sites.\r\nWARNING: force pin spread option has no effect when using random pin placement\r\n > Running IO placement\r\n * Num of slots 15394\r\n * Num of I/O 1173\r\n * Num of I/O w/sink 1130\r\n * Num of I/O w/o sink 43\r\n * Slots Per Section 200\r\n * Slots Increase Factor 0.01\r\n * Usage Per Section 0.8\r\n * Usage Increase Factor 0.01\r\n * Force Pin Spread 1\r\n\r\nWARNING: running random pin placement\r\nRandomMode Even\r\n > IO placement done.\r\nOpenROAD 1.1.0 10f3b9acb7\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: \r\nReading DEF file: OpenROAD_results/2_2_floorplan_io.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 17409 components and 91049 component-terminals.\r\nNotice 0: Created 19466 nets and 56231 connections.\r\nNotice 0: Finished DEF file: OpenROAD_results/2_2_floorplan_io.def\r\ninvalid command name \"STEP\"\r\nNo macros found: Skipping global_placement\r\nfixIoPins.py : Fixing Pins in Def file\r\nReplacements made - West:337 South:249 East:338 North:249\r\nfixIoPins.py : Finished\r\nOpenROAD 1.1.0 10f3b9acb7\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: OpenROAD_objects/merged_padded.lef\r\nNotice 0: \r\nReading DEF file: OpenROAD_results/2_3_floorplan_tdms.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 17409 components and 91049 component-terminals.\r\nNotice 0: Created 19466 nets and 56231 connections.\r\nNotice 0: Finished DEF file: OpenROAD_results/2_3_floorplan_tdms.def\r\nNo macros found: Skipping macro_placement\r\n[INFO] [PDNG-0016] Power Delivery Network Generator: Generating PDN\r\n[INFO] [PDNG-0016] config: /opt/panda/share/panda//nangate45/pdn.cfg\r\n[INFO] [PDNG-0008] Design Name is _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\n[INFO] [PDNG-0009] Reading technology data\r\n[INFO] [PDNG-0011] ****** INFO ******\r\nType: stdcell, grid\r\n Stdcell Rails\r\n Layer: metal1 - width: 0.170 pitch: 2.400 offset: 0.000 \r\n Straps\r\n Layer: metal4 - width: 0.480 pitch: 56.000 offset: 2.000 \r\n Layer: metal7 - width: 1.400 pitch: 40.000 offset: 2.000 \r\n Connect: {metal1 metal4} {metal4 metal7}\r\nType: macro, macro_1\r\n Macro orientation: R0 R180 MX MY\r\n Straps\r\n Layer: metal5 - width: 0.930 pitch: 40.000 offset: 2.000 \r\n Layer: metal6 - width: 0.930 pitch: 40.000 offset: 2.000 \r\n Connect: {metal4_PIN_ver metal5} {metal5 metal6} {metal6 metal7}\r\nType: macro, macro_2\r\n Macro orientation: R90 R270 MXR90 MYR90\r\n Straps\r\n Layer: metal6 - width: 0.930 pitch: 40.000 offset: 2.000 \r\n Connect: {metal4_PIN_hor metal6} {metal6 metal7}\r\n[INFO] [PDNG-0012] **** END INFO ****\r\n[INFO] [PDNG-0013] Inserting stdcell grid - grid\r\n[INFO] [PDNG-0015] Writing to database\r\nRunning tapcell...\r\nStep 1: Cut rows...\r\n---- Macro blocks found: 0\r\n---- #Original rows: 428\r\n---- #Cut rows: 0\r\nStep 2: Insert endcaps...\r\n---- #Endcaps inserted: 856\r\nStep 3: Insert tapcells...\r\n---- #Tapcells inserted: 860\r\nRunning tapcell... Done!\r\n[INFO] DBU = 2000\r\n[INFO] SiteSize = (380, 2800)\r\n[INFO] CoreAreaLxLy = (20140, 22400)\r\n[INFO] CoreAreaUxUy = (1220180, 1220800)\r\n[INFO] NumInstances = 19125\r\n[INFO] NumPlaceInstances = 17409\r\n[INFO] NumFixedInstances = 1716\r\n[INFO] NumDummyInstances = 0\r\n[INFO] NumNets = 19466\r\n[INFO] NumPins = 57404\r\n[INFO] DieAreaLxLy = (0, 0)\r\n[INFO] DieAreaUxUy = (1240300, 1241200)\r\n[INFO] CoreAreaLxLy = (20140, 22400)\r\n[INFO] CoreAreaUxUy = (1220180, 1220800)\r\n[INFO] CoreArea = 1438127936000\r\n[INFO] NonPlaceInstsArea = 1825824000\r\n[INFO] PlaceInstsArea = 237538000000\r\n[INFO] Util(%) = 16.538166\r\n[INFO] StdInstsArea = 237538000000\r\n[INFO] MacroInstsArea = 0\r\n[InitialPlace] Iter: 1 CG Error: 5.52228e-05 HPWL: 1569160840\r\n[InitialPlace] Iter: 2 CG Error: 4.02264e-05 HPWL: 1219611974\r\n[InitialPlace] Iter: 3 CG Error: 4.07536e-05 HPWL: 1207505910\r\n[InitialPlace] Iter: 4 CG Error: 2.11109e-05 HPWL: 1194744199\r\n[InitialPlace] Iter: 5 CG Error: 3.63708e-06 HPWL: 1190679609\r\n[INFO] FillerInit: NumGCells = 76082\r\n[INFO] FillerInit: NumGNets = 19466\r\n[INFO] FillerInit: NumGPins = 57404\r\n[INFO] TargetDensity = 0.700000\r\n[INFO] AveragePlaceInstArea = 13644551\r\n[INFO] IdealBinArea = 19492216\r\n[INFO] IdealBinCnt = 73779\r\n[INFO] TotalBinArea = 1438127936000\r\n[INFO] BinCnt = (256, 256)\r\n[INFO] BinSize = (4688, 4682)\r\n[INFO] NumBins = 65536\r\n[NesterovSolve] Iter: 1 overflow: 0.964526 HPWL: 1036220622\r\n[NesterovSolve] Iter: 10 overflow: 0.830565 HPWL: 1339885339\r\n[NesterovSolve] Iter: 20 overflow: 0.825848 HPWL: 1303117475\r\n[NesterovSolve] Iter: 30 overflow: 0.819748 HPWL: 1312621182\r\n[NesterovSolve] Iter: 40 overflow: 0.819763 HPWL: 1307866028\r\n[NesterovSolve] Iter: 50 overflow: 0.818867 HPWL: 1308254686\r\n[NesterovSolve] Iter: 60 overflow: 0.819504 HPWL: 1307724202\r\n[NesterovSolve] Iter: 70 overflow: 0.820079 HPWL: 1307567762\r\n[NesterovSolve] Iter: 80 overflow: 0.820425 HPWL: 1307545423\r\n[NesterovSolve] Iter: 90 overflow: 0.820436 HPWL: 1307552361\r\n[NesterovSolve] Iter: 100 overflow: 0.81996 HPWL: 1307728474\r\n[NesterovSolve] Iter: 110 overflow: 0.819682 HPWL: 1307794642\r\n[NesterovSolve] Iter: 120 overflow: 0.819445 HPWL: 1308306437\r\n[NesterovSolve] Iter: 130 overflow: 0.819083 HPWL: 1308768772\r\n[NesterovSolve] Iter: 140 overflow: 0.81869 HPWL: 1309771193\r\n[NesterovSolve] Iter: 150 overflow: 0.817734 HPWL: 1311639084\r\n[NesterovSolve] Iter: 160 overflow: 0.816285 HPWL: 1314553239\r\n[NesterovSolve] Iter: 170 overflow: 0.813562 HPWL: 1318759018\r\n[NesterovSolve] Iter: 180 overflow: 0.809711 HPWL: 1325187385\r\n[NesterovSolve] Iter: 190 overflow: 0.803913 HPWL: 1333757207\r\n[NesterovSolve] Iter: 200 overflow: 0.795142 HPWL: 1344644878\r\n[NesterovSolve] Iter: 210 overflow: 0.782059 HPWL: 1354888246\r\n[NesterovSolve] Iter: 220 overflow: 0.763298 HPWL: 1360572001\r\n[NesterovSolve] Iter: 230 overflow: 0.738809 HPWL: 1368005403\r\n[NesterovSolve] Iter: 240 overflow: 0.707271 HPWL: 1388321838\r\n[NesterovSolve] Iter: 250 overflow: 0.670973 HPWL: 1410634941\r\n[NesterovSolve] Iter: 260 overflow: 0.627411 HPWL: 1417518611\r\n[NesterovSolve] Iter: 270 overflow: 0.575522 HPWL: 1447558459\r\n[NesterovSolve] Iter: 280 overflow: 0.541366 HPWL: 1463319187\r\n[NesterovSolve] Iter: 290 overflow: 0.491534 HPWL: 1497530175\r\n[NesterovSolve] Iter: 300 overflow: 0.456894 HPWL: 1499899544\r\n[NesterovSolve] Iter: 310 overflow: 0.42316 HPWL: 1494621772\r\n[NesterovSolve] Iter: 320 overflow: 0.387449 HPWL: 1495946159\r\n[NesterovSolve] Iter: 330 overflow: 0.344574 HPWL: 1503991648\r\n[NesterovSolve] Iter: 340 overflow: 0.319009 HPWL: 1500030974\r\n[NesterovSolve] Iter: 350 overflow: 0.29366 HPWL: 1500165547\r\n[NesterovSolve] Iter: 360 overflow: 0.26929 HPWL: 1500273933\r\n[NesterovSolve] Iter: 370 overflow: 0.243193 HPWL: 1500573738\r\n[NesterovSolve] Iter: 380 overflow: 0.219838 HPWL: 1501122293\r\n[NesterovSolve] Iter: 390 overflow: 0.195448 HPWL: 1501909092\r\n[NesterovSolve] Iter: 400 overflow: 0.175295 HPWL: 1502706957\r\n[NesterovSolve] Iter: 410 overflow: 0.155015 HPWL: 1503792725\r\n[NesterovSolve] Iter: 420 overflow: 0.136574 HPWL: 1504933822\r\n[NesterovSolve] Iter: 430 overflow: 0.119692 HPWL: 1506120724\r\n[NesterovSolve] Iter: 440 overflow: 0.105736 HPWL: 1502199782\r\n[NesterovSolve] Finished with Overflow: 0.0992929\r\nWarning: cell 'OAI211_X1}' not found.\r\nError: get_property is not an object.\r\nError: -buffer_cell required for buffer insertion.\r\ninvalid command name \"legalize_placement\"\r\nInserted 1091 input buffers.\r\nInserted 81 output buffers.\r\nResized 5671 instances.\r\nInserted 0 hold buffers.\r\n *****************\r\n * TritonCTS 2.0 *\r\n *****************\r\n *****************************\r\n * Import characterization *\r\n *****************************\r\n Reading LUT file \"/opt/panda/share/panda//nangate45/tritonCTS/lut.txt\"\r\n Min. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n 2 8 1 52 1 24\r\n [WARNING] 180 wires are pure wire and no slew degration.\r\n TritonCTS forced slew degradation on these wires.\r\n Num wire segments: 4994\r\n Num keys in characterization LUT: 1677\r\n Actual min input cap: 8\r\n Reading solution list file \"/opt/panda/share/panda//nangate45/tritonCTS/sol_list.txt\"\r\n **********************\r\n * Find clock roots *\r\n **********************\r\n User did not specify clock roots.\r\n Using OpenSTA to find clock roots.\r\n Looking for clock sources...\r\n Clock names: clock \r\n ************************\r\n * Populate TritonCTS *\r\n ************************\r\n Initializing clock nets\r\n Number of user-input clocks: 1 ( \"clock\" )\r\n Looking for clock nets in the design\r\n Net \"clock\" found\r\nclock\r\ninvalid command name \"legalize_placement\"\r\nAdjust layer 2 in 70.0%\r\nAdjust layer 3 in 70.0%\r\n*** buffer overflow detected ***: openroad terminated\r\n```"}, {"assistant": "Hi @tamimcse, you can use three tick marks ( \\`\\`\\` ) before and after text to create a multi-line code block. It helps with your log readability, thanks.\r\n\r\n\\`\\`\\`\r\nexample\r\nexample\r\nexample\r\n\\`\\`\\`\r\n\r\n```\r\nexample\r\nexample\r\nexample\r\n```"}, {"user": "This error doesn't occur if compiler optimization is turned off. I compiled openroad using following command and it worked fine.\r\n```\r\ncmake -DCMAKE_BUILD_TYPE=DEBUG \\\r\n -DCMAKE_C_FLAGS_DEBUG=\"-g -O0\" \\\r\n -DCMAKE_CXX_FLAGS_DEBUG=\"-g -O0\"\r\n```\r\nProbably the error is occurring not due to exceeding memory but for other causes. \r\n\r\nFew days ago, I encountered `buffer overflow detected` while working on an another project. It was working fine in the compiler unoptimized version, but when turn on compiler optimization, I got `buffer overflow detected`. The cause was as following:\r\n\r\n```\r\nint insert_something(int a) {\r\n //return statement is missing here.\r\n insert_something_inner (a);\r\n}\r\n\r\nint insert_something_inner(int a) {\r\n //body of the function\r\n}\r\n```\r\n\r\nAfter adding the `return` statement, the `buffer overflow detected` error disappeared. I guess, FastRoute may have similar issue."}, {"assistant": "I fixed a lot of memory issues in FastRoute. Are you facing this issue with the latest version of the repository?"}, {"user": "Yeah, this issue still exists (if I use compiler optimization). Here is the output of `openroad` branch:\r\n\r\nOpenROAD-Flow makefile, sdc and verilog would be found at https://github.com/tamimcse/test5\r\n\r\n```\r\ntamim@tamim-HP-ENVY-17-Notebook-PC:~/OpenROAD-flow/flow$ make\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/global_place.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_1_place_gp.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_floorplan.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 21392 components and 100636 component-terminals.\r\nNotice 0: Created 5 special nets and 42784 connections.\r\nNotice 0: Created 21032 nets and 57852 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_floorplan.def\r\n[INFO] DBU = 2000\r\n[INFO] SiteSize = (380, 2800)\r\n[INFO] CoreAreaLxLy = (20140, 22400)\r\n[INFO] CoreAreaUxUy = (1680360, 1680000)\r\n[INFO] NumInstances = 21392\r\n[INFO] NumPlaceInstances = 18426\r\n[INFO] NumFixedInstances = 2966\r\n[INFO] NumDummyInstances = 0\r\n[INFO] NumNets = 21032\r\n[INFO] NumPins = 59025\r\n[INFO] DieAreaLxLy = (0, 0)\r\n[INFO] DieAreaUxUy = (1700260, 1701600)\r\n[INFO] CoreAreaLxLy = (20140, 22400)\r\n[INFO] CoreAreaUxUy = (1680360, 1680000)\r\n[INFO] CoreArea = 2751980672000\r\n[INFO] NonPlaceInstsArea = 3155824000\r\n[INFO] PlaceInstsArea = 184237984000\r\n[INFO] Util(%) = 6.702427\r\n[INFO] StdInstsArea = 184237984000\r\n[INFO] MacroInstsArea = 0\r\n[InitialPlace] Iter: 1 CG Error: 9.40766e-05 HPWL: 2055551700\r\n[InitialPlace] Iter: 2 CG Error: 6.13227e-05 HPWL: 1573406637\r\n[InitialPlace] Iter: 3 CG Error: 4.61366e-05 HPWL: 1558483869\r\n[InitialPlace] Iter: 4 CG Error: 3.50621e-05 HPWL: 1539441037\r\n[InitialPlace] Iter: 5 CG Error: 2.49481e-06 HPWL: 1533589517\r\n[INFO] FillerInit: NumGCells = 85894\r\n[INFO] FillerInit: NumGNets = 21032\r\n[INFO] FillerInit: NumGPins = 59025\r\n[INFO] TargetDensity = 0.300000\r\n[INFO] AveragePlaceInstArea = 9998805\r\n[INFO] IdealBinArea = 33329348\r\n[INFO] IdealBinCnt = 82569\r\n[INFO] TotalBinArea = 2751980672000\r\n[INFO] BinCnt = (256, 256)\r\n[INFO] BinSize = (6486, 6475)\r\n[INFO] NumBins = 65536\r\n[NesterovSolve] Iter: 1 overflow: 0.963505 HPWL: 1419871020\r\n[NesterovSolve] Iter: 10 overflow: 0.816161 HPWL: 1814183015\r\n[NesterovSolve] Iter: 20 overflow: 0.803429 HPWL: 1766025678\r\n[NesterovSolve] Iter: 30 overflow: 0.797274 HPWL: 1769386195\r\n[NesterovSolve] Iter: 40 overflow: 0.795752 HPWL: 1763412967\r\n[NesterovSolve] Iter: 50 overflow: 0.795315 HPWL: 1762737228\r\n[NesterovSolve] Iter: 60 overflow: 0.795221 HPWL: 1762160440\r\n[NesterovSolve] Iter: 70 overflow: 0.795573 HPWL: 1761999390\r\n[NesterovSolve] Iter: 80 overflow: 0.795823 HPWL: 1761988992\r\n[NesterovSolve] Iter: 90 overflow: 0.796156 HPWL: 1762065001\r\n[NesterovSolve] Iter: 100 overflow: 0.79616 HPWL: 1762355857\r\n[NesterovSolve] Iter: 110 overflow: 0.796008 HPWL: 1762502022\r\n[NesterovSolve] Iter: 120 overflow: 0.795763 HPWL: 1762810688\r\n[NesterovSolve] Iter: 130 overflow: 0.795452 HPWL: 1763402817\r\n[NesterovSolve] Iter: 140 overflow: 0.794881 HPWL: 1764448629\r\n[NesterovSolve] Iter: 150 overflow: 0.794087 HPWL: 1766434592\r\n[NesterovSolve] Iter: 160 overflow: 0.792781 HPWL: 1769499142\r\n[NesterovSolve] Iter: 170 overflow: 0.790413 HPWL: 1774028536\r\n[NesterovSolve] Iter: 180 overflow: 0.787446 HPWL: 1781176208\r\n[NesterovSolve] Iter: 190 overflow: 0.781903 HPWL: 1791570477\r\n[NesterovSolve] Iter: 200 overflow: 0.773854 HPWL: 1803027216\r\n[NesterovSolve] Iter: 210 overflow: 0.761658 HPWL: 1818037661\r\n[NesterovSolve] Iter: 220 overflow: 0.746063 HPWL: 1827765410\r\n[NesterovSolve] Iter: 230 overflow: 0.720665 HPWL: 1835018094\r\n[NesterovSolve] Iter: 240 overflow: 0.69075 HPWL: 1848180481\r\n[NesterovSolve] Iter: 250 overflow: 0.652511 HPWL: 1884601640\r\n[NesterovSolve] Iter: 260 overflow: 0.615183 HPWL: 1902035485\r\n[NesterovSolve] Iter: 270 overflow: 0.570578 HPWL: 1921197698\r\n[NesterovSolve] Iter: 280 overflow: 0.525552 HPWL: 1943840730\r\n[NesterovSolve] Iter: 290 overflow: 0.481713 HPWL: 1952233273\r\n[NesterovSolve] Iter: 300 overflow: 0.465906 HPWL: 1948373256\r\n[NesterovSolve] Iter: 310 overflow: 0.420875 HPWL: 1960660895\r\n[NesterovSolve] Iter: 320 overflow: 0.388099 HPWL: 1961787861\r\n[NesterovSolve] Iter: 330 overflow: 0.35254 HPWL: 1960484946\r\n[NesterovSolve] Iter: 340 overflow: 0.327271 HPWL: 1956596977\r\n[NesterovSolve] Iter: 350 overflow: 0.29797 HPWL: 1954685760\r\n[NesterovSolve] Iter: 360 overflow: 0.273946 HPWL: 1952369229\r\n[NesterovSolve] Iter: 370 overflow: 0.249655 HPWL: 1950605865\r\n[NesterovSolve] Iter: 380 overflow: 0.224101 HPWL: 1949860309\r\n[NesterovSolve] Iter: 390 overflow: 0.199229 HPWL: 1950348258\r\n[NesterovSolve] Iter: 400 overflow: 0.177861 HPWL: 1950952318\r\n[NesterovSolve] Iter: 410 overflow: 0.157597 HPWL: 1951768343\r\n[NesterovSolve] Iter: 420 overflow: 0.139674 HPWL: 1952989006\r\n[NesterovSolve] Iter: 430 overflow: 0.121866 HPWL: 1954568954\r\n[NesterovSolve] Iter: 440 overflow: 0.107102 HPWL: 1956132144\r\n[NesterovSolve] Finished with Overflow: 0.0999852\r\n0:29.54elapsed 99%CPU 201212memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/resize.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_2_resizer.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_1_place_gp.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 21392 components and 100636 component-terminals.\r\nNotice 0: Created 5 special nets and 42784 connections.\r\nNotice 0: Created 21032 nets and 57852 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_1_place_gp.def\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _34448_ (rising edge-triggered flip-flop clocked by core_clock)\r\nEndpoint: _35788_ (rising edge-triggered flip-flop clocked by core_clock)\r\nPath Group: core_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock core_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _34448_/CK (DFF_X1)\r\n 0.08 0.08 ^ _34448_/Q (DFF_X1)\r\n 0.17 0.26 ^ _30335_/Z (BUF_X1)\r\n 0.01 0.27 v _17452_/ZN (NOR2_X4)\r\n 0.04 0.32 v _17454_/ZN (AND2_X4)\r\n 0.03 0.35 ^ _17544_/ZN (NOR2_X4)\r\n 0.01 0.36 v _17565_/ZN (INV_X2)\r\n 0.03 0.39 v _17566_/ZN (AND3_X2)\r\n 0.03 0.42 ^ _17572_/ZN (NOR2_X2)\r\n 0.03 0.45 ^ _17578_/ZN (AND2_X4)\r\n 0.03 0.48 ^ _17585_/ZN (AND2_X2)\r\n 0.04 0.52 ^ _17597_/ZN (AND2_X2)\r\n 0.03 0.55 ^ _17598_/ZN (AND2_X4)\r\n 0.02 0.57 ^ _17599_/Z (BUF_X8)\r\n 0.04 0.61 ^ _18829_/ZN (AND3_X4)\r\n 0.04 0.64 ^ _21215_/ZN (AND2_X4)\r\n 0.03 0.67 ^ _21304_/Z (BUF_X8)\r\n 0.06 0.73 v _22227_/Z (MUX2_X1)\r\n 0.04 0.77 v _22228_/ZN (OR2_X2)\r\n 0.03 0.81 v _22229_/ZN (AND3_X2)\r\n 0.04 0.84 v _22235_/ZN (OR2_X4)\r\n 0.05 0.90 v _22236_/Z (MUX2_X2)\r\n 0.05 0.95 v _22237_/Z (MUX2_X2)\r\n 0.03 0.97 v _32395_/Z (BUF_X1)\r\n 0.00 0.97 v _35788_/D (DFF_X1)\r\n 0.97 data arrival time\r\n\r\n 1.00 1.00 clock core_clock (rise edge)\r\n 0.00 1.00 clock network delay (ideal)\r\n 0.00 1.00 clock reconvergence pessimism\r\n 1.00 ^ _35788_/CK (DFF_X1)\r\n -0.04 0.96 library setup time\r\n 0.96 data required time\r\n---------------------------------------------------------\r\n 0.96 data required time\r\n -0.97 data arrival time\r\n---------------------------------------------------------\r\n -0.01 slack (VIOLATED)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_tns\r\n--------------------------------------------------------------------------\r\ntns -0.03\r\n\r\n==========================================================================\r\nreport_wns\r\n--------------------------------------------------------------------------\r\nwns -0.01\r\n\r\n==========================================================================\r\nreport_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 27243 u^2 4% utilization.\r\n\r\n==========================================================================\r\ninstance_count\r\n--------------------------------------------------------------------------\r\n21392\r\n\r\n==========================================================================\r\npin_count\r\n--------------------------------------------------------------------------\r\n57852\r\n\r\nPerform port buffering...\r\nInserted 1091 input buffers.\r\nInserted 81 output buffers.\r\nRepair max cap...\r\nFound 21 max capacitance violations.\r\nInserted 43 buffers in 21 nets.\r\nRepair max slew...\r\nRepair max fanout...\r\nPerform resizing...\r\nWarning: resize.tcl, 79 resize -dont_use is deprecated. Use the set_dont_use commands instead.\r\nResized 6150 instances.\r\nRepair tie lo fanout...\r\nRepair tie hi fanout...\r\nRepair hold violations...\r\nInserted 0 hold buffers.\r\n\r\n==========================================================================\r\nreport_floating_nets\r\n--------------------------------------------------------------------------\r\nWarning: found 1510 floatiing nets.\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _34447_ (rising edge-triggered flip-flop clocked by core_clock)\r\nEndpoint: _35788_ (rising edge-triggered flip-flop clocked by core_clock)\r\nPath Group: core_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock core_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _34447_/CK (DFF_X1)\r\n 0.08 0.08 v _34447_/Q (DFF_X1)\r\n 0.02 0.10 v _30333_/Z (CLKBUF_X1)\r\n 0.04 0.14 v max_cap1211/Z (CLKBUF_X1)\r\n 0.02 0.16 ^ _17451_/ZN (INV_X1)\r\n 0.02 0.18 v _17452_/ZN (NOR2_X1)\r\n 0.04 0.22 v _17454_/ZN (AND2_X1)\r\n 0.05 0.27 ^ _17544_/ZN (NOR2_X1)\r\n 0.01 0.29 v _17565_/ZN (INV_X1)\r\n 0.03 0.32 v _17566_/ZN (AND3_X1)\r\n 0.03 0.35 ^ _17572_/ZN (NOR2_X1)\r\n 0.04 0.39 ^ _17578_/ZN (AND2_X1)\r\n 0.03 0.42 ^ _17585_/ZN (AND2_X1)\r\n 0.05 0.47 ^ _17597_/ZN (AND2_X1)\r\n 0.04 0.51 ^ _17598_/ZN (AND2_X1)\r\n 0.03 0.54 ^ _17599_/Z (BUF_X2)\r\n 0.05 0.59 ^ _18829_/ZN (AND3_X1)\r\n 0.05 0.64 ^ _21215_/ZN (AND2_X1)\r\n 0.05 0.69 ^ _21304_/Z (BUF_X2)\r\n 0.06 0.75 v _22227_/Z (MUX2_X1)\r\n 0.04 0.79 v _22228_/ZN (OR2_X1)\r\n 0.03 0.83 v _22229_/ZN (AND3_X1)\r\n 0.04 0.87 v _22235_/ZN (OR2_X1)\r\n 0.06 0.92 v _22236_/Z (MUX2_X1)\r\n 0.06 0.98 v _22237_/Z (MUX2_X1)\r\n 0.03 1.01 v _32395_/Z (CLKBUF_X1)\r\n 0.00 1.01 v _35788_/D (DFF_X1)\r\n 1.01 data arrival time\r\n\r\n 1.00 1.00 clock core_clock (rise edge)\r\n 0.00 1.00 clock network delay (ideal)\r\n 0.00 1.00 clock reconvergence pessimism\r\n 1.00 ^ _35788_/CK (DFF_X1)\r\n -0.04 0.96 library setup time\r\n 0.96 data required time\r\n---------------------------------------------------------\r\n 0.96 data required time\r\n -1.01 data arrival time\r\n---------------------------------------------------------\r\n -0.04 slack (VIOLATED)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_tns\r\n--------------------------------------------------------------------------\r\ntns -0.32\r\n\r\n==========================================================================\r\nreport_wns\r\n--------------------------------------------------------------------------\r\nwns -0.04\r\n\r\n==========================================================================\r\nreport_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 28283 u^2 4% utilization.\r\n\r\n==========================================================================\r\ninstance_count\r\n--------------------------------------------------------------------------\r\n22607\r\n\r\n==========================================================================\r\npin_count\r\n--------------------------------------------------------------------------\r\n60282\r\n\r\n0:11.90elapsed 99%CPU 173608memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/detail_place.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_3_opendp.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_2_place_resized.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 22607 components and 105496 component-terminals.\r\nNotice 0: Created 5 special nets and 45214 connections.\r\nNotice 0: Created 22247 nets and 60282 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_2_place_resized.def\r\nDesign Stats\r\n--------------------------------\r\ntotal instances 22607\r\nmulti row instances 0\r\nfixed instances 2966\r\nnets 22252\r\ndesign area 687995.2 u^2\r\nfixed area 789.0 u^2\r\nmovable area 27494.3 u^2\r\nutilization 4 %\r\nutilization padded 6 %\r\nrows 592\r\nrow height 1.4 u\r\n\r\nPlacement Analysis\r\n--------------------------------\r\ntotal displacement 18612.4 u\r\naverage displacement 0.8 u\r\nmax displacement 6.6 u\r\noriginal HPWL 984736.1 u\r\nlegalized HPWL 990222.5 u\r\ndelta HPWL 1 %\r\n\r\n0:01.44elapsed 100%CPU 224160memKB\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_3_place_dp.def results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.def\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/2_floorplan.sdc results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.sdc\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/cts.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_1_cts.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 22607 components and 105496 component-terminals.\r\nNotice 0: Created 5 special nets and 45214 connections.\r\nNotice 0: Created 22247 nets and 60282 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/3_place.def\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _34447_ (rising edge-triggered flip-flop clocked by core_clock)\r\nEndpoint: _35788_ (rising edge-triggered flip-flop clocked by core_clock)\r\nPath Group: core_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock core_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 ^ _34447_/CK (DFF_X1)\r\n 0.08 0.08 v _34447_/Q (DFF_X1)\r\n 0.03 0.11 v _30333_/Z (CLKBUF_X1)\r\n 0.04 0.15 v max_cap1211/Z (CLKBUF_X1)\r\n 0.02 0.17 ^ _17451_/ZN (INV_X1)\r\n 0.02 0.19 v _17452_/ZN (NOR2_X1)\r\n 0.05 0.24 v _17454_/ZN (AND2_X1)\r\n 0.06 0.30 ^ _17544_/ZN (NOR2_X1)\r\n 0.02 0.32 v _17565_/ZN (INV_X1)\r\n 0.03 0.35 v _17566_/ZN (AND3_X1)\r\n 0.04 0.39 ^ _17572_/ZN (NOR2_X1)\r\n 0.05 0.44 ^ _17578_/ZN (AND2_X1)\r\n 0.04 0.47 ^ _17585_/ZN (AND2_X1)\r\n 0.06 0.53 ^ _17597_/ZN (AND2_X1)\r\n 0.04 0.57 ^ _17598_/ZN (AND2_X1)\r\n 0.04 0.61 ^ _17599_/Z (BUF_X2)\r\n 0.05 0.67 ^ _18829_/ZN (AND3_X1)\r\n 0.06 0.73 ^ _21215_/ZN (AND2_X1)\r\n 0.05 0.78 ^ _21304_/Z (BUF_X2)\r\n 0.06 0.84 v _22227_/Z (MUX2_X1)\r\n 0.04 0.88 v _22228_/ZN (OR2_X1)\r\n 0.03 0.91 v _22229_/ZN (AND3_X1)\r\n 0.04 0.96 v _22235_/ZN (OR2_X1)\r\n 0.06 1.01 v _22236_/Z (MUX2_X1)\r\n 0.06 1.07 v _22237_/Z (MUX2_X1)\r\n 0.03 1.10 v _32395_/Z (CLKBUF_X1)\r\n 0.00 1.10 v _35788_/D (DFF_X1)\r\n 1.10 data arrival time\r\n\r\n 1.00 1.00 clock core_clock (rise edge)\r\n 0.00 1.00 clock network delay (ideal)\r\n 0.00 1.00 clock reconvergence pessimism\r\n 1.00 ^ _35788_/CK (DFF_X1)\r\n -0.04 0.96 library setup time\r\n 0.96 data required time\r\n---------------------------------------------------------\r\n 0.96 data required time\r\n -1.10 data arrival time\r\n---------------------------------------------------------\r\n -0.14 slack (VIOLATED)\r\n\r\n\r\n *****************\r\n * TritonCTS 2.0 *\r\n *****************\r\n *****************************\r\n * Import characterization *\r\n *****************************\r\n Reading LUT file \"./platforms/nangate45/tritonCTS/lut.txt\"\r\n Min. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n 2 8 1 52 1 24\r\n [WARNING] 180 wires are pure wire and no slew degration.\r\n TritonCTS forced slew degradation on these wires.\r\n Num wire segments: 4994\r\n Num keys in characterization LUT: 1677\r\n Actual min input cap: 8\r\n Reading solution list file \"./platforms/nangate45/tritonCTS/sol_list.txt\"\r\n **********************\r\n * Find clock roots *\r\n **********************\r\n User did not specify clock roots.\r\n Using OpenSTA to find clock roots.\r\n Looking for clock sources...\r\n Clock names: clock \r\n ************************\r\n * Populate TritonCTS *\r\n ************************\r\n Initializing clock nets\r\n Number of user-input clocks: 1 ( \"clock\" )\r\n Looking for clock nets in the design\r\n Net \"clock\" found\r\nclock\r\n ****************************\r\n * Check characterization *\r\n ****************************\r\n The chacterization used 1 buffer(s) types. All of them are in the loaded DB.\r\n ***********************\r\n * Build clock trees *\r\n ***********************\r\n Generating H-Tree topology for net clock...\r\n Tot. number of sinks: 1514\r\n Wire segment unit: 20000 dbu (10 um)\r\n Original sink region: [(405270, 452030), (1264070, 1289570)]\r\n Normalized sink region: [(20.2635, 22.6015), (63.2035, 64.4785)]\r\n Width: 42.94\r\n Height: 41.877\r\n Level 1\r\n Direction: Horizontal\r\n # sinks per sub-region: 757\r\n Sub-region size: 21.47 X 41.877\r\n Segment length (rounded): 10\r\n Key: 3192 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\n Key: 0 outSlew: 2 load: 1 length: 2 isBuffered: 0\r\n Level 2\r\n Direction: Vertical\r\n # sinks per sub-region: 379\r\n Sub-region size: 21.47 X 20.9385\r\n Segment length (rounded): 10\r\n Key: 3206 outSlew: 1 load: 1 length: 8 isBuffered: 1\r\n Key: 436 outSlew: 1 load: 1 length: 2 isBuffered: 1\r\n Level 3\r\n Direction: Horizontal\r\n # sinks per sub-region: 190\r\n Sub-region size: 10.735 X 20.9385\r\n Segment length (rounded): 6\r\n Key: 2280 outSlew: 22 load: 1 length: 6 isBuffered: 1\r\n Level 4\r\n Direction: Vertical\r\n # sinks per sub-region: 95\r\n Sub-region size: 10.735 X 10.4692\r\n Segment length (rounded): 6\r\n Key: 1990 outSlew: 2 load: 1 length: 6 isBuffered: 1\r\n Level 5\r\n Direction: Horizontal\r\n # sinks per sub-region: 48\r\n Sub-region size: 5.3675 X 10.4692\r\n Segment length (rounded): 2\r\n Key: 0 outSlew: 2 load: 1 length: 2 isBuffered: 0\r\n Level 6\r\n Direction: Vertical\r\n # sinks per sub-region: 24\r\n Sub-region size: 5.3675 X 5.23462\r\n Segment length (rounded): 2\r\n Key: 34 outSlew: 3 load: 1 length: 2 isBuffered: 0\r\n [WARNING] Creating fake entries in the LUT.\r\n Level 7\r\n Direction: Horizontal\r\n # sinks per sub-region: 12\r\n Sub-region size: 2.68375 X 5.23462\r\n Segment length (rounded): 1\r\n Key: 5031 outSlew: 12 load: 1 length: 1 isBuffered: 1\r\n Stop criterion found. Max number of sinks is (15)\r\n Building clock sub nets...\r\n Number of sinks covered: 1514\r\n Clock topology of net \"clock\" done.\r\n ****************\r\n * Post CTS opt *\r\n ****************\r\n Avg. source sink dist: 56566.9 dbu.\r\n Num outlier sinks: 7\r\n ********************\r\n * Write data to DB *\r\n ********************\r\n Writing clock net \"clock\" to DB\r\n Created 170 clock buffers.\r\n Created 170 clock nets.\r\n ... End of TritonCTS execution.\r\nDesign Stats\r\n--------------------------------\r\ntotal instances 22777\r\nmulti row instances 0\r\nfixed instances 2966\r\nnets 22422\r\ndesign area 687995.2 u^2\r\nfixed area 789.0 u^2\r\nmovable area 27638.5 u^2\r\nutilization 4 %\r\nutilization padded 6 %\r\nrows 592\r\nrow height 1.4 u\r\n\r\nPlacement Analysis\r\n--------------------------------\r\ntotal displacement 161.6 u\r\naverage displacement 0.0 u\r\nmax displacement 2.6 u\r\noriginal HPWL 1004050.8 u\r\nlegalized HPWL 1004064.7 u\r\ndelta HPWL 0 %\r\n\r\n0:03.31elapsed 100%CPU 238972memKB\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/fillcell.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_2_cts_fillcell.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_1_cts.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 22777 components and 106176 component-terminals.\r\nNotice 0: Created 5 special nets and 45554 connections.\r\nNotice 0: Created 22417 nets and 60622 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_1_cts.def\r\nPlaced 132710 filler instances.\r\n0:01.69elapsed 99%CPU 370676memKB\r\ncp results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_2_cts_fillcell.def results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_cts.def\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/global_route.tcl) 2>&1 | tee ./logs/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/5_1_fastroute.log\r\nOpenROAD 1.1.0 ef1118f201\r\nLicense GPLv3: GNU GPL version 3 \r\n\r\nThis is free software, and you are free to change and redistribute it\r\nunder certain conditions; type `show_copying' for details. \r\nThis program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_cts.def\r\nNotice 0: Design: _Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy\r\nNotice 0: \t\tCreated 100000 Insts\r\nNotice 0: Created 1173 pins.\r\nNotice 0: Created 155487 components and 371596 component-terminals.\r\nNotice 0: Created 5 special nets and 310974 connections.\r\nNotice 0: Created 22417 nets and 60622 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/4_cts.def\r\n*** buffer overflow detected ***: openroad terminated\r\nCommand terminated by signal 6\r\n0:06.82elapsed 98%CPU 387316memKB\r\nMakefile:326: recipe for target 'results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/route.guide' failed\r\nmake: *** [results/nangate45/_Z4sailPhPtS_PjS_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S1_S_S_S_yy/route.guide] Error 134\r\n```\r\n"}, {"assistant": "I see. Sorry to ask for it again, but can you point me how do I reproduce this issue on my side, step by step? (e.g., what repositories I should clone, how should I the directories, etc...)"}, {"user": "This is how I did it:\r\n\r\n1. Installed OpenROAD `openroad` branch\r\n2. Also installed Yosys and TritonRoute from source code. Installing Yosys via `apt-get` doesn't work.\r\n2. Cloned OpenROAD-Flow `openroad` branch\r\n3. I went to `OpenROAD-flow/flow/designs/src`. Created a folder same `sail`. Entered inside `sail`. Cloned https://github.com/tamimcse/test5 there. This will fetch the makefile, sdc and verilog file.\r\n4. Then opened `OpenROAD-flow/flow/Makefile`. Set `DESIGN_CONFIG ?= ./designs/src/sail/sail.mk`. It points to `gcd.mk` by default, so we needed to update it. \r\n5. Now execute `source setup_env.sh` in `OpenROAD-Flow`\r\n6. Then execute `make` in `OpenROAD-Flow/flow`\r\nThe `make` will execute OpenROAD flow on our files."}, {"assistant": "Thank you very much! I will try it on my end and let you know when I have updates"}, {"assistant": "@eder-matheus is this resolved?"}, {"assistant": "Required repo link missing to reproduce the issue.\r\nTry with latest OpenROAD flow, please re-open with test case again, if there is crash still."}]} +{"num": 458, "messages": [{"user": "In my process, Met2 min width is 0.28, min Met2 area is 0.202 and manufacturing grid is 0.005. ioPlacer calculates the height of pin as 0.722, which is close to 0.202/0.28. However, since the manufacturing grid is not 0.001, drc gives offgrid error. Is it possible to ceil the height of the pin to integer multiple of manufacturing grid?"}, {"user": "Same issue as #450 "}]} +{"num": 459, "messages": [{"user": "I set the \"--block-area\" ,input a file name, follow the format.\r\nHowever, it doesn't work.\r\nDo ioPlacer actually realize this function?"}, {"assistant": "@chansondog Could you provide a testcase?"}, {"user": "I'm sorry for the late reply to your message.\r\nI take the ` ./tests/src/test_def/input.def` `./tests/src/test_def/input.lef` as my testfile.\r\nand i create a flle named `block` trying to block the left side , the content is `0 0 0 201600`, because the `DIEAREA ( 0 0 ) ( 200260 201600 )` inside the input.def.\r\nThen i input the command `./ioPlacer -l input.lef -d input.def -o output.def -h 2 -v 3 -b block` , it seems doesn't work.\r\nMay be it loss the `IOPlacement.h`?\r\nThanks for your reply"}, {"user": "> @chansondog Could you provide a testcase?"}, {"assistant": "@chansondog Thanks for your reply. I've found that we didn't port this function to ioPlacer when we integrated the tool with OpenDB. I will try to restore this function over the weekend"}, {"assistant": "@eder-matheus is this resolved?"}, {"assistant": "@maliberty @sjchanson The \"-exclude\" option is responsible for this functionality now."}]} +{"num": 460, "messages": [{"user": "What is the preferred build branch for beta testers?\r\n\r\nFollowing README, I get the following error on executing make from main:\r\n\r\n0:fatal error: tcl.h: No such file or directory\r\n #include \r\n\r\nLooks like Ubuntu 18.04 has the tcl.h here: /usr/include/tcl/tcl.h\r\n"}, {"assistant": "Hi, @aolofsson.\r\n\r\nPlease download and build the [OpenROAD app](https://github.com/The-OpenROAD-Project/OpenROAD) (Instructions on how to build are on the README there.)\r\n\r\nIn the OpenROAD app, ioPlacer will be to you available as `io_placer` command.\r\n\r\nPlease let me know if you have additional questions.\r\n\r\nThanks,\r\n--Mateus\r\n\r\n\r\n"}, {"assistant": "To add, the [OpenROAD-flow repo](https://github.com/The-OpenROAD-Project/OpenROAD-flow) is the preferred way of interacting with the tools. This repo contains instructions on how to build the tools and set up the flow.\r\n\r\nThe OpenROAD-flow repo points to the `alpha2.1` branch of the [OpenROAD](https://github.com/The-OpenROAD-Project/OpenROAD) repo, which is currently the most stable branch."}, {"user": "@mpfogaca, Thanks! Yes, I figured that, but I am failing building those repos as well. Opening a separate issue there:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/issues/96\r\n\r\nNot sure I understand how the top app could build, but the sub component not build unless there is a difference in the README procedure and the method used by the calling repo?\r\n\r\nThank you,\r\nAndreas\r\n\r\n\r\n"}, {"assistant": "ioPlacer is no longer a standalone submodule"}]} +{"num": 461, "messages": [{"user": "https://github.com/The-OpenROAD-Project/PDNSim/blob/master/CMakeLists.txt#L24\r\n\r\nNames can be libtcl86, libtcl87, etc.\r\n\r\nYou have FindTCL.cmake, and should use library names returned by it.\r\n"}, {"assistant": "The PDNSim repository is not used by OpenROAD; the code has been incorporated into the OpenROAD repo.\r\nIt doesn't make any sense to transfer this from The-OpenROAD-Project/PDNSim because it is irrelevant."}]} +{"num": 462, "messages": [{"user": "Hello,\r\nTritonMacroPlace doesn't find solutions on a trivial design with only 1 macro.\r\n\r\nScreenshot: https://user-images.githubusercontent.com/19731159/70730282-5ec57280-1d0d-11ea-8cd3-d59e820781d6.png\r\n\r\nThings tried:\r\n- padding the macro so that its width and height are multiple of a site width and height\r\ntrivial (empty) sdc file\r\n- reducing halo and channel widths down to very low values (1)\r\n- reducing utilization down to 10%\r\n- removing all stdcells before running mplace:\r\n\r\nScreenshot: https://user-images.githubusercontent.com/19731159/70730280-5ec57280-1d0d-11ea-878d-25e03c49618f.png\r\n\r\n\r\nAll still lead to 0 solutions\r\n\r\nLog file and script used: [mplace.zip](https://github.com/The-OpenROAD-Project/TritonMacroPlace/files/3956806/mplace.zip)\r\n\r\nI know screenshots aren't the best way to fully communicate the issue. So, please let me know if further information is needed.\r\n\r\nThanks!"}, {"assistant": "Thanks for your issue report. I have a quick question.\r\n1) Would it be ok to get the input files? It would be really helpful for me to debug the tool.\r\n2) If not possible, could you give me the dimension of macro and DIEAREA definitions in your LEF/DEF? \r\n(e.g. MACRO macro; SIZE xxx by yyy values in LEF // DIEAREA ( xxx yyy ) ( zzz uuu ) )\r\n+ On the attached screenshot, does the upper-right rectangle mean macro and the bottom-left rectangle mean die area?\r\n\r\n3) Could you try again with HALO and Channel width as 0, just in case? I guess the HALO and Channel with 1 micron may exceed the die area. (1 micron is, still huge value)"}, {"user": "1. I can share the LEF of the sram block:\r\n~~[sram_16_256_8_scn4m_subm.zip](https://github.com/The-OpenROAD-Project/TritonMacroPlace/files/3960864/sram_16_256_8_scn4m_subm.zip)~~ (check below)\r\n\r\nAnd here's the trivial verilog test design:\r\n[design.zip](https://github.com/The-OpenROAD-Project/TritonMacroPlace/files/3960880/design.zip)\r\n\r\n2.\r\n- 1000 DB units = 1 um\r\n- Macro size => `SIZE 718.56 BY 932.4 ;` \r\n- `DIEAREA ( 0 0 ) ( 1166630 1166630 ) ;`\r\n- There's a core margin of 3.36 um (3360 in DB units).\r\n\r\n- Yes, exactly top-right is the macro, and bottom-left is the die area.\r\n\r\n3. I have also tried that and it was to no avail.\r\n\r\nNote: I found a probably very relevant issue in the logs of tdms-replace used to \r\n\r\nThanks a lot!"}, {"user": "Update: I think due to some assumptions about the first metal layer made by tdms-replace (e.g., requiring OBS and PINs on the first metal layer,... -- A potential issue?), replace was misreading the height of the macro. I have adjusted that in the LEF file and the placement found by replace now looks more natural:\r\n[Screenshot from 2019-12-13 16-33-20](https://user-images.githubusercontent.com/19731159/70811847-1d959700-1dcf-11ea-85d7-bf3854726f36.png)\r\n\r\nBut still MacroPlacer doesn't find solutions...\r\n[sram.zip](https://github.com/The-OpenROAD-Project/TritonMacroPlace/files/3961491/sram.zip)\r\n[mplace.log](https://github.com/The-OpenROAD-Project/TritonMacroPlace/files/3961500/mplace.log)\r\n\r\nThanks"}, {"assistant": "I have a similar problem in my generated testcases (gcd-mem5 nangate45). \r\nI'll double-check this issue and get back to you later"}, {"assistant": "I still can't see any DIEAREA definition from your input.\r\nCould you re-generate your log file with the following recent commit?\r\n\r\nhttps://github.com/The-OpenROAD-Project/TritonMacroPlace/commit/906d2edc3639820eda1d160aa4ecb7a1d8b27a79\r\n\r\nOr, If you can send all of the input (*.lef, *.def, *.v, *.lib), It would be great. "}, {"user": "Here it is:\r\n[mplace.log](https://github.com/The-OpenROAD-Project/TritonMacroPlace/files/3963788/mplace.log)\r\n\r\nMore relevant settings:\r\nCore utilization = 50%, Placement density = 0.2\r\n\r\nI unfortunately cannot provide the other lef and lib files as they're proprietary; I could only share the lef file of the sram block and the verilog test design I used. I apologize for this and can understand if you don't have enough data to proceed...\r\n\r\nThank you."}, {"user": "This is pretty outdated and is now irrelevant, so I am closing this. Thanks."}]} +{"num": 463, "messages": [{"user": "I have a problem with the floorplan phase of OpenRoad-flow. \r\n\r\nIts macro positioning is always wrong and it reports segment fault. \r\n\r\nFinally I found **IP_global.cfg**, but I don't understand what the parameter inside means\r\n\r\n> // Global Fin \r\n> set ::FIN_PITCH 0.0025\r\n> // Global Row Height\r\n> set ::ROW_HEIGHT 1.4\r\n> // Global Placment Height\r\n> set ::SITE_WIDTH 0.19\r\n> // Global Halo Width Vertical Edge\r\n> set ::HALO_WIDTH_V 15.12\r\n> // Global Halo Width Horizontal Edge\r\n> set ::HALO_WIDTH_H 22.4\r\n> //Global Vertical Channel Width\r\n> set ::CHANNEL_WIDTH_V 19.95\r\n> //Global Horizontal Channel Width\r\n> set ::CHANNEL_WIDTH_H 18.8\r\n\r\n\u30fd(\u273f\uff9f\u25bd\uff9f)\u30ce Hope to get help form you.\r\n"}, {"assistant": "look in src/TritonMacroPlace/doc/"}, {"user": "Thank you for solving the problem \uff01"}]} +{"num": 464, "messages": [{"user": "Hi \r\nI am noticing, RePlAce is ripping of all placement blockages which I added on top of macros. It also shortens the PG to small rectangle pieces. \r\nNot only that, it places cells on top of macros. This is a mixed-size placement. \r\nI am attaching lef/def for you to have a look. Can you please help know if I am missing anything?\r\n\r\n[picosoc.tar.gz](https://github.com/abk-openroad/RePlAce/files/2675922/picosoc.tar.gz)\r\n"}, {"assistant": "Thanks for reporting this issue... \r\n\r\nIt seems that it is related to https://github.com/abk-openroad/RePlAce/blob/85f1416243e1807e53c788e25fd7354c33343e03/src/lefdefIO.cpp#L317-L319 \r\n\r\nI'll check this in a couple of days later... I have final projects right now.."}, {"user": "Thanks \r\nWill wait"}, {"user": "Hi\r\nCan you please help update on this issue? If it works for this test case, I think it will work on the bigger test case we have"}, {"user": "Hi @mgwoo \r\nCan you please let know if this is fixed ?"}, {"assistant": "Hi @kunalg123,\r\n\r\nSorry for the late reply. I'm working on three projects simultaneously these days. \r\nI've enabled BLOCKAGES internally, but RePlAce cannot handle overlapped blockages. (this is causing a wrong density calculation to RePlAce)\r\n\r\nThis should be solved by integrating geometric engine in the near future, but this is not easy work.\r\nThanks,"}, {"user": "Thanks for the update Mingyu"}, {"user": "Hi Mingyu\r\nI think this is partly resolved. I used new version of RePlAce and observe that at-least the PG is retained. But logic cells are still getting placed on top of macros. \r\n\r\n"}, {"assistant": "Replace doesn't guarantee it will never violate a blockage. Recently opendp added code to push such instances off the macro. Is that sufficient for your needs?"}]} +{"num": 465, "messages": [{"user": "To run the README instructions out-of-box, the two shell scripts in \"prerequisites\" need to be set as executable."}, {"assistant": "Incorporated in my PR"}, {"assistant": "Replace is no longer a standalone module."}]} +{"num": 466, "messages": [{"user": "Hey (it's me again),\r\n I'm able to run both DAC2012 bookshelf format (on 1.0.0) and ISPD2018 (on 1.1.0) with the routability option enabled and in order to verify it was working I was looking for a print that was non-zero for hv_inflation_ratio but all I see is 0, 0 for all the prints. Am I missing something? I want to play with the max_inflation_ratio parameter to see how results change, but I don't know how to confirm that this argument is taking effect.\r\nThanks!\r\nArEsKay3"}, {"user": "Is it the case that this `//new` section is overwriting any control by the code which looks at max_inflation_ratio?\r\nI'm still confused why the `cout <<\"hv_inflation_ratio = \"<&1\r\n 70 Done | tee ./logs/nangate45/LargePinCount/3_1_RePlAce.log\r\n\r\n```\r\n"}, {"user": "Similarly, this test fails:\r\n\r\n```\r\n# make DESIGN_CONFIG=designs/test-2-large-pin-count-some-meat.mk\r\n[deleted]\r\nINFO: Total 0 worst path!\r\n/bin/bash: line 17: 139 Segmentation fault (core dumped) RePlAce -bmflag etc -lef ./objects/nangate45/LargePinCount/merged_padded.lef -def ./results/nangate45/LargePinCount/2_floorplan.def -verilog ./results/nangate45/LargePinCount/2_floorplan.v -lib ./platforms/nangate45/NangateOpenCellLibrary_typical.lib -sdc ./results/nangate45/LargePinCount/2_floorplan.sdc -output ./results/nangate45/LargePinCount/replace -t 1 -timing -resPerMicron 1.59 -capPerMicron 0.235146e-12 -skipIP -plot -experi output -den 0.509 -initCoef 0.00002 -onlyGP 2>&1\r\n 140 Done | tee ./logs/nangate45/LargePinCount/3_1_RePlAce.log\r\nmake: *** [results/nangate45/LargePinCount/3_1_place_gp.def] Error 139\r\n```\r\n"}, {"user": "Similarly, this test test fails:\r\n\r\n```\r\n# make DESIGN_CONFIG=designs/test-3-small-pin-count.mk\r\n[deleted]\r\nNO PATH !\r\nINFO: Total 0 worst path!\r\n/bin/bash: line 17: 207 Segmentation fault (core dumped) RePlAce -bmflag etc -lef ./objects/nangate45/SmallPinCount/merged_padded.lef -def ./results/nangate45/SmallPinCount/2_floorplan.def -verilog ./results/nangate45/SmallPinCount/2_floorplan.v -lib ./platforms/nangate45/NangateOpenCellLibrary_typical.lib -sdc ./results/nangate45/SmallPinCount/2_floorplan.sdc -output ./results/nangate45/SmallPinCount/replace -t 1 -timing -resPerMicron 1.59 -capPerMicron 0.235146e-12 -skipIP -plot -experi output -den 0.509 -initCoef 0.00002 -onlyGP 2>&1\r\n 208 Done | tee ./logs/nangate45/SmallPinCount/3_1_RePlAce.log\r\nmake: *** [results/nangate45/SmallPinCount/3_1_place_gp.def] Error 139\r\n```"}, {"assistant": "Excuse me\uff0c have u solved this problem? I met a problem like this too."}, {"assistant": "Please try again with tip of master.\r\nThere was a bug fix for lef/def parser."}, {"user": "manual retesting of submitted test cases make no sense, it willjust break\nwith some future commit. this has to be automated. meanwhile, it doesnt\nmake much of a difference if it is retested or not.\n\ni have posted an issue to install an automated pull request pre merge\npolicy, really it is two for the par these days, and i understand it is\nopenroad's intention to step up the game to do this.\n\nfre. 15. nov. 2019, 13:36 skrev Christos Gantidis :\n\n> Please try again with tip of master.\n> There was a bug fix for lef/def parser.\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "The thing is that this was caused only with later version of gcc from what I can tell.\r\n\r\nFrom the requirements at the home page I see \r\n\r\n> GCC compiler and libstdc++ static library >= 4.8.5\r\n\r\nSo if gcc4.8.5 didn't produce this behavior, would the automated test catch it?\r\n\r\nIs there a way to define the tests to be run with binaries compiled with a set of gcc versions?\r\n\r\n> \r\n> manual retesting of submitted test cases make no sense, it willjust break with some future commit. this has to be automated. meanwhile, it doesnt make much of a difference if it is retested or not. i have posted an issue to install an automated pull request pre merge policy, really it is two for the par these days, and i understand it is openroad's intention to step up the game to do this. fre. 15. nov. 2019, 13:36 skrev Christos Gantidis [\u2026](#)\r\n> : Please try again with tip of master. There was a bug fix for lef/def parser. \u2014 You are receiving this because you authored the thread. Reply to this email directly, view it on GitHub <#49?email_source=notifications&email_token=AAVLJZWJP52NL5MJ2TJ44MDQT2QWFA5CNFSM4IKRFA7KYY3PNVWWK3TUL52HS4DFVREXG43VMVBW63LNMVXHJKTDN5WW2ZLOORPWSZGOEEFOAMI#issuecomment-554360881>, or unsubscribe .\r\n\r\n"}, {"user": "Good points. I guess what one can do is to test automtically one or more\nenvironments, welcome pull requests that look good and address other\nenvironments, but dot break the automqtically tested environments.\n\nfre. 15. nov. 2019, 15:12 skrev Christos Gantidis :\n\n> The thing is that this was caused only with later version of gcc from what\n> I can tell.\n>\n> From the requirements at the home page I see\n>\n> GCC compiler and libstdc++ static library >= 4.8.5\n>\n> So if gcc4.8.5 didn't produce this behavior, would the automated test\n> catch it?\n>\n> Is there a way to define the tests to be run with binaries compiled with a\n> set of gcc versions?\n>\n> manual retesting of submitted test cases make no sense, it willjust break\n> with some future commit. this has to be automated. meanwhile, it doesnt\n> make much of a difference if it is retested or not. i have posted an issue\n> to install an automated pull request pre merge policy, really it is two for\n> the par these days, and i understand it is openroad's intention to step up\n> the game to do this. fre. 15. nov. 2019, 13:36 skrev Christos Gantidis <\n> notifications@github.com\n> \u2026 <#m_-4612108274634302616_>\n> : Please try again with tip of master. There was a bug fix for lef/def\n> parser. \u2014 You are receiving this because you authored the thread. Reply to\n> this email directly, view it on GitHub <#49\n> ?email_source=notifications&email_token=AAVLJZWJP52NL5MJ2TJ44MDQT2QWFA5CNFSM4IKRFA7KYY3PNVWWK3TUL52HS4DFVREXG43VMVBW63LNMVXHJKTDN5WW2ZLOORPWSZGOEEFOAMI#issuecomment-554360881>,\n> or unsubscribe\n> https://github.com/notifications/unsubscribe-auth/AAVLJZVECVLNBZFLYGGKQKLQT2QWFANCNFSM4IKRFA7A\n> .\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "Is there anything to be fixed in this issue? It isn't clear to me."}, {"user": "@maliberty Run the test on the latest version of OpenROAD to see if the problem is still there."}, {"assistant": "Having heard nothing since Aug I'm closing this issue."}]} +{"num": 470, "messages": [{"user": "Hello,\r\n\r\nWhy is it assumed that block macros are supposed to have metal1 OBS? (i.e., the size of a macro seems to be calculated based on its obstructions on metal1)\r\n\r\nFor example, to reproduce, \r\n1. Try to place a macro that has no OBS shapes on the first metal layer. -> replace exits complaining that the macro has no metal1 OBS statements.\r\n2. Insert a dummy OBS statement on metal1 (e.g., `RECT 0 0 0 0 ;`) -> replace reports such macros as having 0 height.\r\n\r\nThanks."}, {"assistant": "We are moving away from having replace handle macro placement."}]} +{"num": 471, "messages": [{"user": "clang-8 fails:\r\n\r\n```\r\n/usr/ports/cad/replace/work/RePlAce-1.1.1-34-g253e2d3/src/plot.cpp:505:7: error: no matching member function for call to 'draw_text'\r\n img.draw_text(50, 50, imgName.c_str(), black, NULL, 1, 100);\r\n ~~~~^~~~~~~~~\r\n/usr/ports/cad/replace/work/RePlAce-1.1.1-34-g253e2d3/module/CImg/CImg.h:44634:14: note: candidate function template not viable: no known conversion from 'nullptr_t' to 'const int' for 5th argument\r\n CImg& draw_text(const int x0, const int y0,\r\n ^\r\n/usr/ports/cad/replace/work/RePlAce-1.1.1-34-g253e2d3/module/CImg/CImg.h:44563:14: note: candidate template ignored: could not match 'const tc2 *' against 'nullptr_t'\r\n CImg& draw_text(const int x0, const int y0,\r\n ^\r\n/usr/ports/cad/replace/work/RePlAce-1.1.1-34-g253e2d3/module/CImg/CImg.h:44579:14: note: candidate template ignored: could not match 'CImgList' against 'int'\r\n CImg& draw_text(const int x0, const int y0,\r\n ^\r\n/usr/ports/cad/replace/work/RePlAce-1.1.1-34-g253e2d3/module/CImg/CImg.h:44595:14: note: candidate template ignored: could not match 'const tc *' against 'nullptr_t'\r\n CImg& draw_text(const int x0, const int y0,\r\n ^\r\n```\r\n\r\n\r\nSee a full log with some other errors: https://people.freebsd.org/~yuri/replace.log\r\n"}, {"assistant": "Sorry for my slowness. \r\nCould you take a look at the renewed master branch? The new version will no longer have this problem. (CImg library will be optional)"}, {"assistant": "CImg is off by default"}]} +{"num": 472, "messages": [{"user": "You have\r\n```\r\nset(REPLACE_HOME ${PROJECT_SOURCE_DIR}/src/replace )\r\n...\r\nadd_custom_command(OUTPUT ${REPLACE_WRAP}\r\n COMMAND ${SWIG_EXECUTABLE} -tcl8 -c++ -o ${REPLACE_WRAP} ${REPLACE_HOME}/src/replace.i\r\n WORKING_DIRECTORY ${REPLACE_HOME}\r\n DEPENDS ${REPLACE_HOME}/src/replace.i ${REPLACE_HOME}/include/replace/Replace.h\r\n)\r\n```\r\nwhich looks for ```replace.i``` in ```${PROJECT_SOURCE_DIR}/src/replace/src/replace.i``` which doesn't exist.\r\n\r\n1.1.1-277-gcf289bb"}, {"assistant": "Could you compile on the top-level app repo? (e.g. https://github.com/The-OpenROAD-Project/OpenROAD). I had updated it in the [README.md](https://github.com/The-OpenROAD-Project/RePlAce#how-to-download-and-build)\r\n\r\nThe standalone mode will not be supported anymore."}, {"user": "> The standalone mode will not be supported anymore.\r\n\r\nqflow also suggests that ```replace``` can be used with it as a standalone tool.\r\n\r\nBesides, isn't it just an obvious bug with a path that needs to be fixed?"}, {"assistant": "> Besides, isn't it just an obvious bug with a path that needs to be fixed?\r\n\r\nThis is not a bug in the top-level app.\r\n${PROJECT_SOURCE_DIR} is OpenROAD source dir and has the RePlAce submodule in the OpenROAD/src/replace.\r\n\r\nOpenROAD/src/replace/src/replace.i is correct path to point the replace.i"}, {"user": "> This is not a bug in the top-level app.\r\n> ${PROJECT_SOURCE_DIR} is OpenROAD source dir and has the RePlAce submodule in the OpenROAD/src/replace.\r\n\r\n> OpenROAD/src/replace/src/replace.i is correct path to point the replace.i\r\n\r\nYou don't have to hardcode global paths in a project. The desired behavior can be achieved by setting a cmake variable from outside.\r\n"}, {"assistant": "I don't see anything here to fix."}]} +{"num": 473, "messages": [{"user": "I believe the error message below is simply because there's no way this placement of the RAMs is going to work.\r\n\r\nCan the error message be improved for the user?\r\n\r\n\r\n\r\n\r\n```\r\n[ERROR] RePlAce divergence detected. \r\n Please decrease max_phi_cof value (REPL-4)\r\n```\r\n\r\n2_floorplan.def:\r\n\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/80678340-0378fe80-8abb-11ea-8b3e-e794fbce8d29.png)\r\n\r\n"}, {"assistant": "There have been improvements to the messages suggesting what knobs might help."}]} +{"num": 476, "messages": [{"user": "I added -constr -s path/to/sdc/constraint.sdc to the script of yosys, but whatever I put in the file, the log always indicates \"SDC File Parsing Failed!\". Is there any information about what is wrong? E.g.: syntax, brackets evaluation?"}, {"assistant": "This is a yosys question, not really an OpenROAD question.\r\n\r\nBut the answer is that ABC, the logic optimizer that yosys uses, has very little support for SDC constraints. The only thing that OpenROAD-flow attempts to pass in is 1 clock constraint, a driving cell, and a load. If you want improved support, you'll have to request that from the folks at [yosys](https://github.com/YosysHQ/yosys) and [ABC](https://github.com/berkeley-abc/abc).\r\n\r\nIf it's any consolation, some internal benchmarking has shown that ABC output doesn't change much regardless of the timing constraints, and OpenROAD does a good job of physically-aware resizing/buffering, which ABC can't do."}]} +{"num": 478, "messages": [{"user": "Hi,\r\nWhen adding buffer cells to the input pins (using ``` buffer_ports -inputs ``` command), they get resized by the resizer script. Even tough i specified MIN_BUF_CELL_AND_PORTS = BUFX1, some of the input pins buffers get resized to BUFX2 or BUFX4. \r\nThis can be seen also on gcd example with the following resize.tcl script , where the BUFX1 input buffers get resized to CLK_BUFX1's\r\n```\r\n...\r\n# Set the buffer cell\r\nset buffer_cell [get_lib_cell [lindex $::env(MIN_BUF_CELL_AND_PORTS) 0]]\r\nset_dont_use $::env(DONT_USE_CELLS)\r\n\r\nputs \"Perform input port buffering...\"\r\nbuffer_ports -inputs -buffer_cell $buffer_cell\r\n\r\nset db [::ord::get_db]\r\nset block [[$db getChip] getBlock]\r\nforeach inst [$block getInsts] {\r\n #puts \"instance name: [$inst getName]\"\r\n if { [regexp {^input[0-9]+$} [$inst getName] whole number] } {\r\n puts \"matched name: $whole\"\r\n set inst_master [$inst getMaster]\r\n put \"master is : [$inst_master getName]\"\r\n #i tried marking the input buffer as FIXED, to avoid being resized by resizer, but it did not work\r\n # $inst setPlacementStatus FIRM\r\n }\r\n}\r\n\r\n\r\nputs \"Perform buffer insertion...\"\r\nset_max_fanout $::env(MAX_FANOUT) [current_design]\r\nrepair_design -max_wire_length $::env(MAX_WIRE_LENGTH) -buffer_cell $buffer_cell\r\n\r\n# Perform resizing\r\nputs \"Perform resizing after buffer insertion...\"\r\nresize\r\n...\r\n```\r\n\r\nIs there a way to prevent Resizer to resize specific cells ? (like marking them as DONT_RESIZE or something)\r\nWhat i am hoping for is that in case of slew rate repair, Resizer adds a new buffer after the existing one, instead of replacing it (BUFX1-->BUFX4--> (rest of logic)..., instead of BUFX4-->(rest of logic)...) if it is marked as DONT_RESIZE. \r\nMy goal here is to not modify the capacitance presented at input pin, but i guess that other people could have situations where they do not want resizer to resize specific cells.\r\n\r\nIs there something allowing this in Resize code ?\r\nThanks"}, {"assistant": "why not just swap the command order?"}, {"user": "Well then i was wondering how would Resizer resize the logic behind the input buffer without knowing what is driving it, but i guess that if i specify in the sdc a ````set_driving_cell ```` for each input pin corresponding to the input buffer, it would know...\r\nI will try this, Thanks !"}, {"assistant": "set_driving_cell\n\nOn Tue, Aug 18, 2020 at 11:38 PM quentinw91 \nwrote:\n\n> Well then i was wondering how would Resizer resize the logic behind the\n> input buffer without knowing what is driving it, but i guess that if i\n> specify in the sdc a set_driving_cell for each input pin corresponding to\n> the input buffer, it would know...\n> I will try this, Thanks !\n>\n> \u2014\n> You are receiving this because you commented.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"user": "Hi,\r\napparently the ```set_driving_cell``` won't solve this because it will get in the way of Resizer to repair max_fanout violation (see #496 ). So i think I should try this other approach to solve my issue : (without the need to modify Resizer for my specific case)\r\n\r\nI use the ```buffer_ports -input``` to get input buffers and let Resizer resize them if needed (that way the max_fanout is solved). \r\nAnd then search for all input buffers that were resized, and \"re-buffer\" them.\r\nFor that, I would need to have a function to put an input buffer to a specific pin (I saw that ```Resizer::bufferInput``` exists, I just need to be able to call it in a tcl proc). Is it possible to add it ?\r\n\r\nThanks"}, {"assistant": "@quentinw91 \r\nStill are you facing the issue?\r\nProvide right test case to reproduce the same."}]} +{"num": 482, "messages": [{"user": "(i would have put this issue in the OpenROAD-flow-public repo, but it is not possible to open issues there because it has been archived)\r\nHi,\r\nTrying to build the OpenROAD-flow-public on Ubuntu 18.04.4, i do not see errors in the log file:\r\n[build_openroad.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/5096622/build_openroad.log)\r\n\r\nBut i get an error saying yosys is not found:\r\n````\r\nbash-4.2$ source ./setup_env.sh \r\nOPENROAD: /OpenROAD-flow/tools/OpenROAD\r\nbash-4.2$ cd flow/\r\nbash-4.2$ make synth\r\n[INFO][FLOW] Using platform directory ./platforms/nangate45\r\n/bin/bash: yosys: command not found\r\nmake: *** [versions.txt] Error 127\r\n````\r\nenv seems be to set correctly\r\n````\r\nTERM=xterm\r\nOLDPWD=/OpenROAD-flow\r\nOPENROAD=/OpenROAD-flow/tools/OpenROAD\r\nPATH=/OpenROAD-flow/tools/build/OpenROAD/src:/OpenROAD-flow/tools/build/TritonRoute:/OpenROAD-flow/tools/build/yosys/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin\r\nPWD=/OpenROAD-flow/flow\r\nSHLVL=1\r\nHOME=/\r\n_=/usr/bin/env\r\n````\r\nbut yosys src directory does not contain bin:\r\n````\r\nbash-4.2$ ls -lsa /OpenROAD-flow/tools/build/yosys/\r\ntotal 22796\r\n 4 drwxr-xr-x 2 root root 4096 Aug 19 12:29 .\r\n 4 drwxr-xr-x 1 root root 4096 Aug 19 12:29 ..\r\n 7628 -rwxr-xr-x 1 root root 7808848 Aug 19 10:46 yosys\r\n15068 -rwxr-xr-x 1 root root 15429400 Aug 19 12:15 yosys-abc\r\n 4 -rwxr-xr-x 1 root root 3222 Aug 19 12:15 yosys-config\r\n 32 -rwxr-xr-x 1 root root 31320 Aug 19 12:15 yosys-filterlib\r\n 56 -rwxr-xr-x 1 root root 53737 Aug 19 12:15 yosys-smtbmc\r\n````"}, {"assistant": "It's just a bad submodule hash.\r\n\r\nRun the build script first, then do:\r\n```\r\ncd tools/yosys\r\ngit checkout master\r\nmake install -j$(nproc) PREFIX=../build/yosys CONFIG=gcc TCL_VERSION=tcl8.5\r\n```\r\nI'll see if we can get the hash fixed."}, {"assistant": "fixed on the openroad branch."}, {"assistant": "Not actually fixed yet, workaround still required."}, {"assistant": "Now it's fixed."}, {"user": "It does not work for me... I checked out the repo (master branch) and reran a full build. log file: \r\n[build_openroad.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/5102346/build_openroad.log)\r\nstill have yosys missing\r\ni tried to run the ````make install...```` also, did not change \r\n"}, {"assistant": "If you build using docker then the tools get installed in a docker image, not the local file system (per the README). Install & run in Docker works on my side (although `qt5-qtbase-devel` is missing as a dependency in the Dockerfile).\r\n\r\nIf you have Docker installed but want a local build, use `build_openroad.sh --local`. Local builds work for me on CentOS 6, 7 and Ubuntu 18.04."}, {"assistant": "> (although `qt5-qtbase-devel` is missing as a dependency in the Dockerfile).\r\n\r\nyosys does not depend on qt5; openroad does and it is in the Dockerfile.\r\n"}, {"assistant": "Yes, I'm just pointing out that someone will run into that issue if they try to use the OpenROAD-flow Docker install."}, {"user": "I know how to build the project with docker, i am still doing it with the old repo configuration (that i checked out somewhere in July before the crash) and that works fine...\r\nI was just pointing that the new repo (OpenRoad-flow-public) does not work for me: yosys seems to be incorrectly build on the docker image (there is not \"bin\" or \"share\" directories in \"/OpenROAD-flow/tools/build/yosys/\", only:\r\n```\r\nbash-4.2$ ls -lsa /OpenROAD-flow/tools/build/yosys/\r\ntotal 22796\r\n 4 drwxr-xr-x 2 root root 4096 Aug 19 12:29 .\r\n 4 drwxr-xr-x 1 root root 4096 Aug 19 12:29 ..\r\n 7628 -rwxr-xr-x 1 root root 7808848 Aug 19 10:46 yosys\r\n15068 -rwxr-xr-x 1 root root 15429400 Aug 19 12:15 yosys-abc\r\n 4 -rwxr-xr-x 1 root root 3222 Aug 19 12:15 yosys-config\r\n 32 -rwxr-xr-x 1 root root 31320 Aug 19 12:15 yosys-filterlib\r\n 56 -rwxr-xr-x 1 root root 53737 Aug 19 12:15 yosys-smtbmc\r\n```\r\nAs i do not see directly an error in the log file that could explain this, i am wondering what could cause this. Was there a change in the dependencies from July to now ?\r\n"}, {"assistant": "Like I said, I'm not able to reproduce that on my end. Yosys installs as intended from a fresh clone. Try clearing your docker cache and make sure your repo + submodules are up to date."}]} +{"num": 483, "messages": [{"user": "ERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\nMakefile:622: recipe for target 'abc/abc-7a98ef0' failed\r\nmake: *** [abc/abc-7a98ef0] Error 1\r\nmake: *** Waiting for unfinished jobs....\r\n"}, {"assistant": "Try cleaning yosys and rebuilding (using the workaround in #482)."}, {"user": "This error doesn't go away."}, {"assistant": "Try a fresh clone."}, {"user": "Tried but no luck. Rest everything passes except this. "}, {"assistant": "I don't know what else to tell you, this issue is not reproducible for me on CentOS 6/7 or Ubuntu 18.04. The issue is self-explanatory, your ABC directory is not clean. I don't know what's happening to not make it not clean, but that shouldn't happen if you simply do:\r\n```\r\ngit clone https://github.com/The-OpenROAD-Project/OpenROAD-flow OpenROAD-flow\r\ncd OpenROAD-flow\r\n./build_openroad.sh\r\n```\r\n\r\nYou should also check to see if you aren't missing any errors earlier in the build, e.g. missing dependencies."}, {"user": "Using ./build_openroad.sh without --local switch helped. "}]} +{"num": 495, "messages": [{"user": "In replace.tcl please add \\ at the end of first line. Is it also possible to add more descriptive help inside the openroad executable?"}, {"assistant": "86002325 cleanup replace cmd arg doc\r\nadds the \\ so help works correctly, added the other args and moved the (lame) doc from doc/replace/README to the top level README.md"}]} +{"num": 496, "messages": [{"user": "Hi,\r\nAfter what was discussed in #478, i am using the ```buffer_ports -inputs``` command after resize, to prevent it from resizing the input buffers. I am using ```set_driving_cell``` to hint resizer what will drive these nets, but it does not look to consider the driving_cell max_fanout.\r\nTo reproduce it, i modified the nangate45 .lib file with ```default_max_fanout : 20;``` statement and provided a netlist with an input pin (RESETN) driving more than 20 cells:\r\n[testcase_drivingcell.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/5128676/testcase_drivingcell.zip)\r\n\r\nThe input buffers are correctly added, but i get the following violation:\r\n\r\n```\r\n...\r\n==========================================================================\r\npin_count\r\n--------------------------------------------------------------------------\r\n110\r\n\r\nPerform buffer insertion...\r\nPerform resizing after buffer insertion...\r\nResized 0 instances.\r\nPerform input port buffering...\r\nInserted 2 input buffers.\r\nRepair tie lo fanout...\r\nRepair tie hi fanout...\r\nRepair hold violations...\r\nNo hold violations found.\r\n\r\n==========================================================================\r\nreport_floating_nets\r\n--------------------------------------------------------------------------\r\n\r\n==========================================================================\r\nreport_checks\r\n--------------------------------------------------------------------------\r\nStartpoint: _25_ (rising edge-triggered flip-flop clocked by CLK)\r\nEndpoint: _26_ (rising edge-triggered flip-flop clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 ^ _25_/CK (DFFR_X1)\r\n 2.06 0.01 0.10 0.10 ^ _25_/Q (DFFR_X1)\r\n 0.01 0.00 0.10 ^ _26_/D (DFFR_X1)\r\n 0.10 data arrival time\r\n\r\n 0.00 2.00 2.00 clock CLK (rise edge)\r\n 0.00 2.00 clock network delay (ideal)\r\n 0.00 2.00 clock reconvergence pessimism\r\n 2.00 ^ _26_/CK (DFFR_X1)\r\n -0.03 1.97 library setup time\r\n 1.97 data required time\r\n-----------------------------------------------------------------------\r\n 1.97 data required time\r\n -0.10 data arrival time\r\n-----------------------------------------------------------------------\r\n 1.87 slack (MET)\r\n\r\n\r\nStartpoint: _39_ (rising edge-triggered flip-flop clocked by CLK)\r\nEndpoint: _40_ (rising edge-triggered flip-flop clocked by CLK)\r\nPath Group: CLK\r\nPath Type: min\r\n\r\n Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 ^ _39_/CK (DFFR_X1)\r\n 1.12 0.01 0.08 0.08 v _39_/Q (DFFR_X1)\r\n 0.01 0.00 0.08 v _40_/D (DFFR_X1)\r\n 0.08 data arrival time\r\n\r\n 0.00 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 clock reconvergence pessimism\r\n 0.00 ^ _40_/CK (DFFR_X1)\r\n 0.00 0.00 library hold time\r\n 0.00 data required time\r\n-----------------------------------------------------------------------\r\n 0.00 data required time\r\n -0.08 data arrival time\r\n-----------------------------------------------------------------------\r\n 0.08 slack (MET)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_tns\r\n--------------------------------------------------------------------------\r\ntns 0.00\r\n\r\n==========================================================================\r\nreport_wns\r\n--------------------------------------------------------------------------\r\nwns 0.00\r\n\r\n==========================================================================\r\nreport_slew_violations\r\n--------------------------------------------------------------------------\r\nmax fanout\r\n\r\nPin Limit Fanout Slack\r\n---------------------------------------------------------\r\ninput2/Z 20 22 -2 (VIOLATED)\r\n\r\n\r\n==========================================================================\r\nreport_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 149 u^2 2% utilization.\r\n\r\n==========================================================================\r\ninstance_count\r\n--------------------------------------------------------------------------\r\n138\r\n\r\n==========================================================================\r\npin_count\r\n--------------------------------------------------------------------------\r\n114\r\n```\r\n\r\nWould it be possible to have resizer consider the driving_cell max_fanout ? Or maybe have an additional parameter to OpenSTA ```set_driving_cell``` function to let the user specify the max_fanout ? (it could be interesting if the user does not specify a driving cell, but only a input_transition time for a given max fanout)\r\nThanks\r\n\r\n"}, {"assistant": "`set_max_fanout` in the SDC is typically the way you globally constrain fanout.\r\n\r\n`repair_design` is the command that fixes DRVs, including fanout. You have to call that after `buffer_ports`."}, {"user": "```repair_design``` does not consider input ports with the specified ```set_max_fanout```command. I tried it with the testcase above:\r\n```\r\n...\r\nset_max_fanout $::env(MAX_FANOUT) [current_design]\r\nrepair_design -max_wire_length $::env(MAX_WIRE_LENGTH) -buffer_cell $buffer_cell\r\n...\r\n```\r\nIf i do not use ```buffer_ports```, i get more than 20 cells on RESETN net, and it is not reported as a max_fanout violation. \r\nI do not know why it does not consider input ports (and if it is done on purpose or not). Buf if it is on purpose, having a ```set_driving_cell``` with a max_fanout option would allow the user to specify a max_fanout on input pins.\r\n\r\n(And no i do not want to use ```repair_design``` after ```buffer_ports```, because it will resize the input buffer (see #478 ) )"}, {"assistant": "I cannot run your test case because it is a bastardized ORFS script missing library data and variable definitions.\r\nThere are multiple issues here but I believe that OR commit 3f7872bf2 addresses them.\r\nOpenSTA report_check_types -max_fanout now respects set_max_fanout on input ports.\r\nrepair_design respects liberty default_max_fanout and max_fanout attributes for inputs with set_driving_cell constraints."}]} +{"num": 497, "messages": [{"user": "power and ground variables in set_power_net function in opendp.tcl needs to be defined as global. Otherwise, they are destroyed once the function ends. Therefore, detailed_placement gives an error that it could not find the power nets."}, {"assistant": "I don't follow what the problem is. `opendp.tcl` is an interface file to translate userspace commands into internal commands. `set_power_net` doesn't set userspace variables, it alters database objects.\r\n\r\nPlease attach a test case showing the faulty behavior."}, {"user": "in opendp.tcl \"opendp::set_power_net_name $power\" is executed. $power is a string and is passed to \"Opendp::setPowerNetName(const char *power_name)\" in the Opendp.cpp file. However, power_name in Opendp.cpp is defined as a pointer, therefore the string must reside in the memory after \"proc set_power_net { args } {\" procedure ends. To see the faulty behaviour I printed power_net_name_ variable in the \"Opendp::findRowPower()\" in dbToOpendp.cpp file."}, {"assistant": "I think that description is sufficient, however it is significantly easier for our dev team if issues have a test case. i.e.:\r\n1. The inputs to reproduce the problem (e.g. a script)\r\n2. The output log which demonstrates the problem\r\n3. Info about your system such as OS version and software version (commit hash)\r\n\r\nEasier for dev team = faster bug fixing = better for everyone \ud83d\ude42 "}, {"assistant": "@jjcherry56 "}, {"user": "Thanks for your response. My OS is Centos 7."}, {"assistant": "I removed the command so it isn't an issue. You won't see the change until the public repo is updated.\r\n3122b623 rm set_power_net cmd"}]} +{"num": 498, "messages": [{"user": "Hi,\r\nI am getting a Segmentation fault when trying to use ```set_wire_rc ``` in multi-corners analysis. I reproduced it with nangate45 gcd example (starting openroad manually after ```make route```):\r\n\r\n````\r\n...\r\n\r\nRuntime taken (hrt): 4.33766\r\n0:04.34elapsed 99%CPU 19932memKB\r\ncp results/nangate45/gcd/4_cts.sdc results/nangate45/gcd/5_route.sdc\r\nbash-4.2$ make reports/nangate45/gcd/nS_final_report_debug.txt\r\nopenroad \r\nOpenROAD 0.9.0 GITDIR-NOT\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details. \r\nComponents of the program may be licensed under more restrictive licenses which must be honored.\r\n% read_lef $::env(TECH_LEF)\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\n% read_lef $::env(SC_LEF)\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\n% define_corners wc bc\r\n#nangate45 only has 1 .lib file, so not much sense creating corners, but it is just for the test case\r\n% foreach libFile $::env(LIB_FILES) {\r\n read_liberty -corner wc $libFile\r\n read_liberty -corner bc $libFile\r\n}\r\nWarning: ./platforms/nangate45/lib/NangateOpenCellLibrary_typical.lib, line 37 library NangateOpenCellLibrary already exists.\r\n% read_def $::env(RESULTS_DIR)/5_route.def\r\nNotice 0: \r\nReading DEF file: ./results/nangate45/gcd/5_route.def\r\nNotice 0: Design: gcd\r\nNotice 0: Created 54 pins.\r\nNotice 0: Created 2029 components and 5141 component-terminals.\r\nNotice 0: Created 2 special nets and 4058 connections.\r\nNotice 0: Created 385 nets and 1083 connections.\r\nNotice 0: Finished DEF file: ./results/nangate45/gcd/5_route.def\r\n% read_sdc $::env(RESULTS_DIR)/5_route.sdc\r\n0\r\n% set_wire_rc -layer metal3\r\nError: -corner keyword required with multi-corner analysis.\r\n% set_wire_rc -corner wc -layer metal3\r\nmake: *** [reports/nangate45/gcd/nS_final_report_debug.txt] Segmentation fault (core dumped)\r\nbash-4.2$ \r\n```\r\n"}, {"assistant": "fixed in \r\n9c19398e estimate_parasitics -placement with multiple corners"}]} +{"num": 499, "messages": [{"user": "The README states that SWIG 4.0 is needed:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blame/openroad/README.md#L16\r\n\r\nHowever, the cmake lists in a couple of occasions require 3.0:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenDB/blob/9ccc0bfb7cd7ec70892a7cdacce113303bfa7f7f/CMakeLists.txt#L41\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenSTA/blob/master/CMakeLists.txt#L294\r\n\r\nI am guessing that the intention was to allow 3.0 as the minimum version? The `REQUIRED` cmake option will only match 3.x versions. The only way, as far as I understand, was to do something like the following, which was omitted 7 months ago:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenDB/commit/d9c69262f60d347f97b3966b8d4b61334638520c\r\n\r\nThanks."}, {"assistant": "I have a machine with only swig 4.0 and can build openroad without any problem. find_packages isn't limited to 3.0 unless the keyword EXACT is used, which it isn't. Have you observed a failure? If so please show what it is."}, {"user": "On further inspection, the issue is that \r\n\r\n```\r\nfind_package(SWIG REQUIRED 3.0)\r\n```\r\nshould be\r\n```\r\nfind_package(SWIG 3.0 REQUIRED)\r\n```\r\n\r\nWhat it's currently trying to do is find a component \"3.0\" from SWIG (e.g., `find_package(Qt COMPONENTS QtCore)`), which doesn't exist, so it fails with the following error (which kinda looks like a error about an incorrect version):\r\n```\r\nCMake Error at /usr/share/cmake-3.18/Modules/FindPackageHandleStandardArgs.cmake:165 (message):\r\n Could NOT find SWIG (missing: 3.0) (found version \"4.0.2\")\r\nCall Stack (most recent call first):\r\n /usr/share/cmake-3.18/Modules/FindPackageHandleStandardArgs.cmake:458 (_FPHSA_FAILURE_MESSAGE)\r\n /usr/share/cmake-3.18/Modules/FindSWIG.cmake:105 (find_package_handle_standard_args)\r\n src/CMakeLists.txt:86 (find_package)\r\n```\r\n\r\n(https://cmake.org/cmake/help/latest/command/find_package.html#id2)"}, {"assistant": "That makes more sense. We can fix that."}, {"user": "This has been resolved; thank you. Closing this. "}]} +{"num": 500, "messages": [{"user": "I have OpenROAD-flow installed on centos. I was trying to build the gcd design flow and I am seeing the following error:\r\n\r\n[INFO][FLOW] Using platform directory ./platforms/nangate45\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" stdbuf -o L klayout -zz -rd design_name=gcd \\\r\n -rd in_def=./results/nangate45/gcd/6_final.def \\\r\n -rd in_gds=\"./platforms/nangate45/gds/NangateOpenCellLibrary.gds \" \\\r\n -rd seal_gds=\"\" \\\r\n -rd out_gds=results/nangate45/gcd/6_1_merged.gds \\\r\n -rd tech_file=./objects/nangate45/gcd/klayout.lyt \\\r\n -rm ./util/def2gds.py) 2>&1 | tee ./logs/nangate45/gcd/6_1_merge.log\r\nstdbuf: failed to run command 'klayout': No such file or directory\r\n0:00.00elapsed 100%CPU 696memKB\r\nmake: *** [results/nangate45/gcd/6_1_merged.gds] Error 127\r\n\r\nCan someone please guide on what is going wrong here?\r\n"}, {"assistant": "You need to install [KLayout](https://www.klayout.de/)."}, {"user": "After I fixed the klayout issue, now i see this error:\r\n\r\n[INFO][FLOW] Using platform directory ./platforms/nangate45\r\nmkdir -p ./results/nangate45/gcd ./logs/nangate45/gcd ./reports/nangate45/gcd\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" yosys -c scripts/synth.tcl) 2>&1 | tee ./logs/nangate45/gcd/1_1_yosys.log\r\n/usr/bin/time: cannot run yosys: No such file or directory\r\n0:00.00elapsed 68%CPU 368memKB\r\nmake: *** [results/nangate45/gcd/1_1_yosys.v] Error 127\r\n"}, {"assistant": "Did yosys compile correctly? Is it on your path?"}, {"user": "Yes, it did. I will check the path though."}, {"user": "That helped. Thanks!"}]} +{"num": 502, "messages": [{"user": "I'm trying out the antenna avoidance flow in the latest FR in the OpenROAD app. I tried the test case on the repo and it worked fine. I tried another DEF/LEF from the sky130 hd library (after adding ANTENNADIFFAREA 0.434700 ; in the diode cell LEF) and I got this error:\r\n```\r\nOld total capacity: 15850\r\n[WARNING]No OR_DEFAULT vias defined\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\n[INFO] #Antenna violations: 13\r\nWarning: could not find power special net\r\nError: S \r\n```\r\nI tried out multiple test cases and this symbol after Error changes with every run so it's probably a memory corruption issue and it should be a segmentation fault. I tried different utilizations as well, down to 10% and up to 65%. So, if you can help point me to what's wrong, what I'm doing wrong, or display a more meaningful error message. Attached is one of the test cases. \r\n\r\n[FR.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5196364/FR.tar.gz)\r\n"}, {"user": "I noticed that a lot of updates were added to FR yesterday. So, I tried out the newest version and the behavior of the test case above (after modifying the script to the new commands) changed but it was still faulty.\r\n\r\nSo, attached here are two test cases using the same script (a variant from the [test script under FR](https://github.com/The-OpenROAD-Project/OpenROAD/blob/openroad/src/FastRoute/test/diode_insert.tcl)).\r\n- spm_invalid_guides: A relatively small design that passes Fastroute, but:\r\n1. The antenna violations are not detected.\r\n2. The guides are invalid. They don't carry any layer information.\r\n\r\n- xtea_segfault: A slightly larger design that segfaults during the antenna avoidance flow process after detecting 100 antenna violations.\r\n[FR_antenna.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5210892/FR_antenna.tar.gz)\r\n"}, {"user": "@eder-matheus \r\n\r\nOnce again, I tried out the latest OR, and the first case above is resolved (spm_invalid_guides). \r\n\r\nHowever, the second case is not yet resolved. It now detects 99 antenna violations and then segfaults. So I'm attaching a renewed version of the test case. The script used is a variant from the test script you provide [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/openroad/src/FastRoute/test/repair_antennas1.tcl).\r\n\r\nPlease, let me know if I'm doing something wrong or if there is a bug causing this.\r\n\r\nThanks in advance!\r\n\r\n[FR_testcase_xtea.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5269857/FR_testcase_xtea.tar.gz)\r\n"}, {"assistant": "I've fixed the error handling bug so that now it prints the proper message from the detailed placer:\r\n\r\nError: utilization exceeds 100%.\r\n"}, {"assistant": "@agorararmard You're running the repair_antennas after filler insertion. If you use the DEF before filler insertion, you will not see this error again.\r\nI'm not sure if FastRoute should remove the fillers before repair_antennas, or if we should change the flow to run filler insertion after FastRoute, but at the moment you will need to do this workaround."}, {"assistant": "@eder-matheus @rovinski repair_antennas is not part of the current flow but when it is we will need to move filler insertion as you suggest to after repair."}, {"user": "@eder-matheus moving fill_insertion to after global_routing worked. But, the generated def file and guides didn't pass through TR14. I'm still going to investigate it now. but here is the error TR14 showed:\r\n```\r\npost process guides ... \r\nGCELLGRID X -1 DO 77 STEP 6900 ; \r\nGCELLGRID Y -1 DO 76 STEP 6900 ; \r\n complete 10000 orig guides \r\n complete 20000 orig guides \r\n complete FR_MASTERSLICE \r\n complete FR_VIA \r\n complete li1 \r\n complete mcon\r\n complete met1\r\n complete via\r\n complete met2\r\n complete via2\r\n complete met3\r\n complete via3\r\n complete met4\r\n complete via4\r\n complete met5\r\nError: genGuides_split lineIdx is empty on met2\r\n```\r\nI'm still going to look into it, but, in case you wanted to take a look, attached are the guides produced by FastRoute, and the DEF after running global routing/diode insertion and fill insertion on it. Have you tried running the output successfully through TR14 before?\r\n\r\nAlso, I noticed that the overlap test check fails in check_placement. This also happens in the [test case](https://github.com/The-OpenROAD-Project/OpenROAD/blob/openroad/src/FastRoute/test/repair_antennas1.ok) you have in the repo. So, I'm wondering what are the implications of that.\r\n\r\n[TR_input.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5278699/TR_input.tar.gz)\r\n"}, {"user": "@eder-matheus, writing the def after repair_antenna and re-running FastRoute, then rewriting the def and guides solved the issue (produced valid guides and a def file with diodes), which is a workaround/hack. So, my flow now looks roughly like this:\r\n```\r\nFastRoute\r\nFR::repair_antennas\r\nFastRoute\r\nfill_insertion\r\nTR14\r\n```\r\n\r\nThe overlap failure is not causing any DRC violations, so I'm guessing I could ignore it. \r\n\r\nAlso, it would be nice to print the number of diodes inserted somewhere in the log or in a separate report. because I noticed that the number of diodes added != antenna violations found."}, {"assistant": "@agorararmard Could you send me your Tcl scripts to run FastRoute? The guides generated after repair_antennas command should be correct for the DEF with diodes.\r\nThe reported overlap is probably a false positive, due to the way the diodes are inserted. But I'll double-check it.\r\nI will improve the report for repair_antennas in my next PRs, thanks for the feedback."}, {"user": "@eder-matheus here is the tcl script I'm using in the flow: https://github.com/efabless/openlane/blob/develop-FR_antenna/scripts/openroad/or_route.tcl"}, {"user": "@eder-matheus, I have a separate question. Why does using `repair_antenna` require reading a liberty file, while ARC itself doesn't require reading a liberty file (for antenna checking)?"}, {"assistant": "I have also pointed out this liberty issue and I believe @eder-matheus is already fixing it."}, {"assistant": "@agorararmard @maliberty I think he might have added reading .lib because of the diodes. But I do not know if the repair flow is aware of the timing impact due to additional load of inserted diodes.\r\n\r\n@agorararmard \r\n\r\n> because I noticed that the number of diodes added != antenna violations found.\r\n\r\nThis is because sometimes you may need multiple diodes to clear one violation."}, {"assistant": "It is not timing aware and the usage of timing was just incidental and unnecessary."}, {"user": "I've checked the latest openroad HEAD, and this has been solved. Thanks for your help!\r\n\r\nHowever, the script still requires reading the liberty, but since it's unrelated to this issue, I'll close it."}, {"user": "@eder-matheus, @maliberty: So, the issue appeared again in larger designs. Fastroute completes without complaining about anything, but then TritonRoute has an issue with the guides.\r\n```\r\npost process guides ... \r\nGCELLGRID X -1 DO 77 STEP 6900 ; \r\nGCELLGRID Y -1 DO 76 STEP 6900 ; \r\n complete 10000 orig guides \r\n complete 20000 orig guides \r\n complete FR_MASTERSLICE \r\n complete FR_VIA \r\n complete li1 \r\n complete mcon\r\n complete met1\r\n complete via\r\n complete met2\r\n complete via2\r\n complete met3\r\n complete via3\r\n complete met4\r\n complete via4\r\n complete met5\r\nError: genGuides_split lineIdx is empty on met3\r\n```\r\nHere is the fastroute input\r\n[fastroute_input.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5409487/fastroute_input.tar.gz)\r\nHere is the TritonRoute input:\r\n[tritonroute_input.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5409491/tritonroute_input.tar.gz)\r\n\r\n\r\nIt's important to note that when doing:\r\n```\r\nFastRoute\r\nFR::repair_antenna\r\nFastRoute\r\nFill insertion\r\nTritonRoute\r\n```\r\nthis issue doesn't happen, which indicates that this is propably an issue with the guides of the rerouted nets in the repair antenna step."}, {"assistant": "@agorararmard I've updated openroad branch with the fix for this issue. TritonRoute should not fail anymore after repair_antennas command"}]} +{"num": 503, "messages": [{"user": "I want to install OpenROAD EDA tool on centos. But there are one error while I run the command \"cmake ..\".\r\n\r\nThe error was \r\n\r\nCMake Error at src/TritonCTS/CMakeLists.txt:11 (find_package):\r\n By not providing \"FindLEMON.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"LEMON\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"LEMON\" with any of\r\n the following names:\r\n\r\n LEMONConfig.cmake\r\n lemon-config.cmake\r\n\r\n Add the installation prefix of \"LEMON\" to CMAKE_PREFIX_PATH or set\r\n \"LEMON_DIR\" to a directory containing one of the above files. If \"LEMON\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n\r\nPlease help me.\r\n"}, {"assistant": "You need to install https://lemon.cs.elte.hu/trac/lemon/wiki/Downloads\r\n"}]} +{"num": 504, "messages": [{"user": ""}, {"assistant": "You need to have tcl readline installed. Cmake should then find it and issue the message:\r\n\r\nTCL readline library: \r\n\r\nI believe on centos7 it is the tcl-tclreadline-devel package."}]} +{"num": 505, "messages": [{"user": ""}, {"assistant": "There isn't a GUI of OpenROAD yet - but a very small template. To start call openroad -gui "}, {"assistant": "Any further questions or should I close this?"}, {"user": "thank you sir\n\nI have one doubt that I am not able to understand the whole process of ASIC\ndesign in OpenROAD. Can you give me some references , so that I can do it.\n\nOn Wed, Sep 16, 2020 at 10:02 AM Matt Liberty \nwrote:\n\n> Any further questions or should I close this?\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "You should look at the https://github.com/The-OpenROAD-Project/OpenROAD-flow-public repo for examples of how to run the tools in a complete flow."}]} +{"num": 506, "messages": [{"user": "I followed the instruction given here and I ran the dockerfile. After the dockerfile is run successfully what are the steps that I am supposed to do in order to start using OpenROAD. After the completion, the build folder is still empty and hence, the cmake .. and the openroad these two commands are not working. I am completely new to this. Please provide help"}, {"assistant": "I'm not sure where you mean by \"here\" in your description.\r\n\r\nIf you build with Docker then the final results will be stored in the docker image. If you used a volume mount then you can look where you mounted it. If not you'll need to run the image generated with 'docker run'."}, {"assistant": "Anything further or this ok to close?"}, {"user": "Yes, it has been solved. Thank you."}]} +{"num": 507, "messages": [{"user": "Hi,\r\nI have the following testcase, where a generated clock, called CLK_OUT, is also connected to an output pin.\r\n[testcase_genclock2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/5217470/testcase_genclock2.zip)\r\n\r\nTritonCTS does not recognize it first as a clock tree (they are flops driven by CLK_OUT in the design) so i had to modify the cts.tcl script with the following lines:\r\n```\r\nset clock_nets [list]\r\nlappend clock_nets \"CLK\"\r\nlappend clock_nets \"CLK_OUT\"\r\n\r\n# Run CTS\r\nclock_tree_synthesis -lut_file \"$::env(CTS_TECH_DIR)/lut.txt\" \\\r\n -sol_list \"$::env(CTS_TECH_DIR)/sol_list.txt\" \\\r\n -root_buf \"$::env(CTS_BUF_CELL)\" \\\r\n -wire_unit 20 \\\r\n -clk_nets $clock_nets\r\n```\r\n\r\nAnyway, whether I have a clock tree inserted or not on CLK_OUT, i get an internal error while trying to do the final report:\r\n ```==========================================================================\r\nreport_checks -path_delay min\r\n--------------------------------------------------------------------------\r\nStartpoint: _27_ (rising edge-triggered flip-flop clocked by CLK)\r\nEndpoint: _27_ (rising edge-triggered flip-flop clocked by CLK)\r\nPath Group: CLK\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.00 0.00 0.00 ^ CLK (in)\r\n 1 3.71 CLK (net)\r\n 0.00 0.00 0.00 ^ clkbuf_0_CLK/A (BUF_X4)\r\n 0.00 0.01 0.01 ^ clkbuf_0_CLK/Z (BUF_X4)\r\n 2 2.25 clknet_0_CLK (net)\r\n 0.00 0.00 0.01 ^ clkbuf_1_0_0_CLK/A (CLKBUF_X1)\r\n 0.01 0.03 0.05 ^ clkbuf_1_0_0_CLK/Z (CLKBUF_X1)\r\n 3 3.22 clknet_1_0_0_CLK (net)\r\n 0.01 0.00 0.05 ^ _27_/CK (DFFS_X2)\r\n 0.01 0.08 0.12 ^ _27_/QN (DFFS_X2)\r\n 1 1.33 clk_div.nxtCount[0] (net)\r\n 0.01 0.00 0.12 ^ _27_/D (DFFS_X2)\r\n 0.12 data arrival time\r\n\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.00 0.00 0.00 ^ CLK (in)\r\n 1 3.71 CLK (net)\r\n 0.00 0.00 0.00 ^ clkbuf_0_CLK/A (BUF_X4)\r\n 0.00 0.01 0.01 ^ clkbuf_0_CLK/Z (BUF_X4)\r\n 2 2.25 clknet_0_CLK (net)\r\n 0.00 0.00 0.01 ^ clkbuf_1_0_0_CLK/A (CLKBUF_X1)\r\n 0.01 0.03 0.05 ^ clkbuf_1_0_0_CLK/Z (CLKBUF_X1)\r\n 3 3.22 clknet_1_0_0_CLK (net)\r\n 0.01 0.00 0.05 ^ _27_/CK (DFFS_X2)\r\n 0.00 0.05 clock reconvergence pessimism\r\n 0.01 0.06 library hold time\r\n 0.06 data required time\r\n-----------------------------------------------------------------------------\r\n 0.06 data required time\r\n -0.12 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.07 slack (MET)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_checks -path_delay max\r\n--------------------------------------------------------------------------\r\nStartpoint: _26_ (rising edge-triggered flip-flop)\r\nEndpoint: DATA_OUT (output port clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.02 0.00 0.00 ^ _26_/CK (DFFR_X1)\r\n 0.01 0.10 0.10 ^ _26_/Q (DFFR_X1)\r\n 1 0.31 DATA_OUT (net)\r\n 0.01 0.00 0.10 ^ DATA_OUT (out)\r\n 0.10 data arrival time\r\n\r\n 2.00 2.00 clock CLK (rise edge)\r\n 0.00 2.00 clock network delay (propagated)\r\n 0.00 2.00 clock reconvergence pessimism\r\n -1.00 1.00 output external delay\r\n 1.00 data required time\r\n-----------------------------------------------------------------------------\r\n 1.00 data required time\r\n -0.10 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.90 slack (MET)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_checks -unconstrained\r\n--------------------------------------------------------------------------\r\nStartpoint: _26_ (rising edge-triggered flip-flop)\r\nEndpoint: DATA_OUT (output port clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.02 0.00 0.00 ^ _26_/CK (DFFR_X1)\r\n 0.01 0.10 0.10 ^ _26_/Q (DFFR_X1)\r\n 1 0.31 DATA_OUT (net)\r\n 0.01 0.00 0.10 ^ DATA_OUT (out)\r\n 0.10 data arrival time\r\n\r\n 2.00 2.00 clock CLK (rise edge)\r\n 0.00 2.00 clock network delay (propagated)\r\n 0.00 2.00 clock reconvergence pessimism\r\n -1.00 1.00 output external delay\r\n 1.00 data required time\r\n-----------------------------------------------------------------------------\r\n 1.00 data required time\r\n -0.10 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.90 slack (MET)\r\n\r\n\r\n\r\n==========================================================================\r\nreport_tns\r\n--------------------------------------------------------------------------\r\ntns 0.00\r\n\r\n==========================================================================\r\nreport_wns\r\n--------------------------------------------------------------------------\r\nwns 0.00\r\n\r\n==========================================================================\r\nreport_check_types -max_slew -violators\r\n--------------------------------------------------------------------------\r\n\r\n==========================================================================\r\nreport_clock_skew\r\n--------------------------------------------------------------------------\r\nError: Internal error in /OpenROAD/src/OpenSTA/search/Crpr.cc:78 missing prev paths.\r\n```"}, {"assistant": "The internal error is an opensta issue. Your zip file is only sorta kinda a test case, since it doesn't actually provide any of the files that cts.tcl reads. If you use the make cts_issue target in openroad-flow it makes a tarfile with everything necessary to reproduce the issue without building and running openroad-flow."}, {"assistant": "You will probably have a lot better luck if you define the generated clock on the output of the gate that drives the net. CLK_OUT is ambiguous because it references either a net or a port of that name. Generated clocks on nets are currently not supported by opensta and using the output port doesn't feed back to the loads connected to the net."}, {"user": "I am not sure i am doing this correctly because i get an error:\r\n```\r\nbash-4.2$ make place\r\n...\r\ncp results/nangate45/testcase_genclock2/2_floorplan.sdc results/nangate45/testcase_genclock2/3_place.sdc\r\nbash-4.2$ \r\nbash-4.2$ \r\nbash-4.2$ \r\nbash-4.2$ \r\nbash-4.2$ \r\nbash-4.2$ make cts_issue\r\n# Creating runme.sh script\r\n# Creating vars.sh/tcl script\r\nsed: -e expression #1, char 0: no previous regular expression\r\nmake: *** [cts_issue] Error 1\r\n```\r\nIs there some documentation on this ?"}, {"user": "Anyway, yes it works if i define the generated_clock with the driving gate output pin. But the problem i have is that the name of that cell is random... So how could I write the sdc without having to run first the synthesis step ? Is there a way to control this (so we do not have to re-write the SDC each time the design is modified) ?"}, {"assistant": "I updated opensta to support [get_net net_name] args for generated clocks, but it will be a while before that \r\ntrickles out to the public repo.\r\n\r\nSome sdc kung foo makes it pretty trivial\r\nset clk_gen_driver [get_pins -of [get_net clk_out] -filter \"direction == output\"]\r\ncreate_generated_clock -name clk_gen -source clk -divide_by 2 $clk_gen_driver\r\n\r\nI'd still like to know how to tickle that internal error tho.\r\nI stay as far away from openroad-flow as I possibly can because it is such a nightmare to deal with.\r\nAs far as I am concerned it only obfuscates the openroad tcl scripts with make/shell scripts.\r\nI think the main piece I am missing is the DEF from the output of cts. I can cobble together the\r\nrest of the files from the nangate files we have."}, {"user": "[20200921.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/5259520/20200921.zip)\r\n"}, {"assistant": "thanks. fixed in opensta 9c8d9569 report_clock_skew internal error when target register is unclocked"}, {"assistant": "Hello, how to tackle generated clock in SDC file??"}, {"assistant": "@jayeshec12 why are you commenting in an issue that has been closed for 3 years? You can open a discussion or issue as appropriate"}]} +{"num": 508, "messages": [{"user": "Despite the code calling for tcl-87, the build fails:\r\n```\r\n/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-0.9.0-beta-637-gc3706485/src/OpenSTA/util/ReportTcl.cc:88:5: error: cannot initialize a member subobject of type 'Tcl_DriverOutputProc *' (aka 'int (*)(void *, const char *, int, int *)') with an lvalue of type 'int (ClientData, char *, int, int *)' (aka 'int (void *, char *, int, int *)'): type mismatch at 2nd parameter ('const char *' vs 'char *')\r\n encapOutputProc,\r\n ^~~~~~~~~~~~~~~\r\n/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-0.9.0-beta-637-gc3706485/src/OpenSTA/util/ReportTcl.cc:90:5: error: cannot initialize a member subobject of type 'Tcl_DriverSetOptionProc *' (aka 'int (*)(void *, Tcl_Interp *, const char *, const char *)') with an lvalue of type 'int (ClientData, Tcl_Interp *, char *, char *)' (aka 'int (void *, Tcl_Interp *, char *, char *)'): type mismatch at 3rd parameter ('const char *' vs 'char *')\r\n encapSetOptionProc,\r\n ^~~~~~~~~~~~~~~~~~\r\n/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-0.9.0-beta-637-gc3706485/src/OpenSTA/util/ReportTcl.cc:91:5: error: cannot initialize a member subobject of type 'Tcl_DriverGetOptionProc *' (aka 'int (*)(void *, Tcl_Interp *, const char *, Tcl_DString *)') with an lvalue of type 'int (ClientData, Tcl_Interp *, char *, Tcl_DString *)' (aka 'int (void *, Tcl_Interp *, char *, Tcl_DString *)'): type mismatch at 3rd parameter ('const char *' vs 'char *')\r\n encapGetOptionProc,\r\n ^~~~~~~~~~~~~~~~~~\r\n```\r\n\r\nIt succeeds with tcl-86.\r\n\r\nOS: FreeBSD 12.2\r\nclang-10"}, {"assistant": "@yurivict we see that Tcl 8.7 is still an alpha release. I think we should wait for a stable release to consider adding support.\r\n\r\n> Latest Release: Tcl/Tk 8.7a5 (Jun 18, 2021)Tcl/Tk 8.7 is now in alpha development. Those seeking its new features, or those invested in keeping their existing Tcl-related work compatible with the next releases of Tcl and Tk are invited to try and track this development work.\r\n\r\nSource: https://www.tcl-lang.org/software/tcltk/8.7.html"}]} +{"num": 509, "messages": [{"user": "Why is OpenROAD-flow-public repo archived? "}, {"assistant": "#408 isn't a direct answer to your question but it's the best we have at the moment. It is archived to make it read-only."}, {"assistant": "This is the new area for the flow. https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts (from #408)"}]} +{"num": 510, "messages": [{"user": "The current SWIG version is 4.0.2 and many systems have only 4.0.2, see https://repology.org/project/swig/versions\r\n\r\nI had to apply such patches to build:\r\n```\r\n-find_package(SWIG REQUIRED 3.0)\r\n+find_package(SWIG REQUIRED)\r\n```\r\n\r\nREADME says that ```swig 4.0``` is required."}, {"assistant": "Relevant: https://github.com/The-OpenROAD-Project/OpenROAD/issues/499"}, {"assistant": "Duplicate of 499 as noted"}]} +{"num": 511, "messages": [{"user": "Please add it."}, {"assistant": "On which OS was this an issue?\r\n\r\nI think it should be #include based on https://man7.org/linux/man-pages/man3/errno.3.html"}, {"user": "This is on FreeBSD.\r\n\r\nYes, it should be ```#include ```."}, {"assistant": "I've fixed this and it will show up in our next push to github."}]} +{"num": 512, "messages": [{"user": "FreeBSD doesn't have such library, for example.\r\n\r\nThis comment https://stackoverflow.com/questions/54290254/problem-adding-stdfilesystem-to-cmake-project contains an advise with one way of fixing this.\r\n\r\nOS: FreeBSD 12.2\r\nclang-10\r\n"}, {"assistant": "It is part of the gcc distribution. If you have a version of gcc that supports c++17 then the library will be found with the compiler.\r\n\r\nNote that we are not building on or generally supporting FreeBSD at this time."}, {"user": "I use the clang compiler. Clang doesn't have ```stdc++fs```.\r\n\r\nCode like this can help to detect that this library is needed and add it to the list of libraries:\r\n```\r\ntry_compile(NEED_FS_LIB \"${CMAKE_BINARY_DIR}/temp\" \"${CMAKE_SOURCE_DIR}/tests/has_filesystem.cc\" \r\n CMAKE_FLAGS -DCMAKE_CXX_STANDARD=17 -DCMAKE_CXX_STANDARD_REQUIRED=ON\r\n LINK_LIBRARIES stdc++fs)\r\nif (NEED_FS_LIB)\r\n set(LIBS ${LIBS} stdc++fs)\r\nelse()\r\n```"}, {"assistant": "We just decided to drop the use of the filesystem APIs so this is now moot."}]} +{"num": 513, "messages": [{"user": "These files have a \"FIXED\" attribute attached to some of the nets, which doesn't conform to the DEF standard. I am not sure yet how those were output by defout though.\r\n\r\nSome examples:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/41a93c7cfbdb35241553540897618108c4a8ccff/src/ICeWall/test/soc_bsg_black_parrot_nangate45.defok#L3879\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/openroad/src/ICeWall/test/soc_bsg_black_parrot_nangate45.flipchip.defok#L4030\r\n\r\nAlso, it's worth noting that there are a couple of \"empty\" nets (e.g., https://github.com/The-OpenROAD-Project/OpenROAD/blob/openroad/src/ICeWall/test/soc_bsg_black_parrot_nangate45.defok#L3860-L3861)."}, {"assistant": "The DEF in these files maches the DEF written out by OpenROAD to confirm the testcase is running as expected.\r\n@maliberty - can you take a look at this from the point of view of the DEF writer"}, {"assistant": "@Colin-Holehouse this looks like a ICeWall issue where it is created a fixed special wire but not assigning any shapes to it."}, {"assistant": "@ax3ghazy is this resolved?"}]} +{"num": 514, "messages": [{"user": "Using Ubuntu 18.04.1 LTS and running the install script with Docker yields\r\n\r\n Cannot open: http://downloads.sourceforge.net/ltp/lcov-1.14-1.noarch.rpm. Skipping.\r\nError: Nothing to do\r\nThe command '/bin/sh -c yum install -y http://downloads.sourceforge.net/ltp/lcov-1.14-1.noarch.rpm' returned a non-zero code: 1\r\n\r\nand exits\r\n"}, {"assistant": "@vvbandeira would you take a look"}, {"assistant": "Hi @jjts, could you provide the following info so I can try to reproduce the issue?\r\n\r\n- OpenROAD git sha\r\n- Docker version\r\n- Command you ran on your shell\r\n\r\nAlso, this error always occurs? Or is it intermittent?"}, {"user": "Hi @vvbandeira \r\n\r\nI installed docker and then ran your install script build_openroad.sh\r\n\r\nTo test intermittence I ran it now a second time and it completed. However, I have no binaries in the build directories... (?)\r\n\r\nRemoving intermediate container b01e9b684755\r\n ---> f379aab553f2\r\nSuccessfully built f379aab553f2\r\nSuccessfully tagged openroad/flow:latest\r\n\r\nDocker version 18.09.7, build 2d0083d\r\n\r\n\r\ngit log \r\ncommit 9b503e51e99f2e039e23245bdfc0517a2bb04e4e (HEAD -> master, origin/master, origin/HEAD)\r\nAuthor: Tom Spyrou <46945466+tspyrou@users.noreply.github.com>\r\nDate: Wed Aug 19 13:52:28 2020 -0700\r\n\r\n uprev yosys to master head\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "@jjts I believe you opened the issue for the wrong repository.\r\nThe commit you gave me is from the repo https://github.com/The-OpenROAD-Project/OpenROAD-flow-public\r\nAlso, the repo you opened the issue does not have a build_openroad.sh\r\n(edit: from the README the correct use should be `./build_openroad.sh --local`, not sure if this is the reason for your issue)\r\n\r\nFinally, the flow repo is currently archived (so I believe it is not to be used at this moment). @maliberty can you confirm that the flow repo is frozen for the moment?\r\n"}, {"assistant": "Flow is currently archived but we should have a resolution soon. Is a fix in flow required here?"}, {"assistant": "@jjts See the [readme](https://github.com/The-OpenROAD-Project/OpenROAD-flow#option-1-docker), Docker build, step 3. If you build using docker, it creates a docker image. You need to build using option 2 to get the binaries in your local file system."}, {"user": "Thanks, the Docker image actually runs. But I am confused. I was just\nfollowing the instructions in\n\nhttps://openroad.readthedocs.io/en/latest/user/getting-started.html\n\nSo do you recommend Docker or not?\n\nIf it installs natively as in\nhttps://github.com/The-OpenROAD-Project/OpenROAD it would be quite nice.\nThere does not seem to be many dependencies....\n\n\n\n\n\nCom os melhores cumprimentos / Best regards,\n\nJose T. de Sousa\n\n\nOn Tue, Sep 22, 2020 at 10:21 PM Austin Rovinski \nwrote:\n\n> @jjts See the readme\n> ,\n> Docker build, step 3. If you build using docker, it creates a docker image.\n> You need to build using option 2 to get the binaries in your local file\n> system.\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "Those docs are very outdated. They also shouldn't even be part of this repository.\r\n\r\nIf you build with docker, it builds inside a docker container and makes a docker image with the binaries. You have to create a docker container to run the tools inside of (i.e. step 3 of the readme).\r\nIf you build locally, it builds in the local OS and filesystem.\r\nIt depends purely on how you want to run the tools."}, {"assistant": "See openroad/README.md for openroad build instructions.\r\nAs rovinski says, openroad.readthedocs.io is not maintained and is quite obsolete."}]} +{"num": 515, "messages": [{"user": "\r\n```\r\n/wrkdirs/usr/ports/cad/openroad/work/OpenROAD-0.9.0-beta-637-gc3706485/src/OpenDB/src/zutil/misc_functions.cpp:237:3: error: no matching function for call to 'time'\r\n time(&wtn);\r\n ^~~~\r\n/usr/include/time.h:160:8: note: candidate function not viable: no known conversion from 'long *' to 'time_t *' (aka 'int *') for 1st argument\r\ntime_t time(time_t *);\r\n ^\r\n```\r\n\r\nThe code above isn't in the latest revision of OpenDB.\r\n\r\nYou might want to update git module's revision in OpenROAD.\r\n"}, {"assistant": "It would be helpful if you said which branch you are discussing. I see that openroad branch (our development branch) is pointed at the head."}, {"user": "I use 0.9.0-beta-637-gc3706485 - the current master branch."}, {"assistant": "@yurivict can you specify which OS are you working on?"}, {"user": "> can you specify which OS are you working on?\r\n\r\nFreeBSD 12.2"}]} +{"num": 516, "messages": [{"user": "how to enable the tcl readline?\r\nalso, I am getting the following error\r\n\r\n% read_verilog gcd_sky130.v\r\nError: cannot read file gcd_sky130.v\r\n\r\nhow to resolve this error\r\n\r\n"}, {"assistant": "What version of tclreadline do you have installed?\r\n\r\nThe read_verilog error just looks a file not found. Do you have the file in the current working directory?"}]} +{"num": 517, "messages": [{"user": "Error: Guides file could not be open"}, {"assistant": "You need to run global routing before detailed routing. Please file with a test case if you need further help."}]} +{"num": 518, "messages": [{"user": "command units uninitialized. Use the read_liberty or set_cmd_units command to set units.\r\nwhile evaluating {initialize_floorplan -utilization 70 -site FreePDK45_38x28_10R_NP_162NW_340}\r\n"}, {"assistant": "The answer is right there. You need to read a liberty file with `read_liberty` or set the units manually with `set_cmd_units`."}, {"user": "Where I will get liberty file\n\nOn Mon, Sep 28, 2020, 2:51 AM Austin Rovinski \nwrote:\n\n> The answer is right there. You need to read a liberty file with\n> read_liberty or set the units manually with set_cmd_units.\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "We provide some platforms in https://github.com/The-OpenROAD-Project/OpenROAD-flow-public "}]} +{"num": 519, "messages": [{"user": "so, I followed the steps given in openROAD-flow-public and installed it successfully. After running the make command in flow directory, following error occured. How do I resolve this?\r\n\r\nmkdir -p ./results/nangate45/gcd ./logs/nangate45/gcd ./reports/nangate45/gcd\r\ncp results/nangate45/gcd/1_1_yosys.v results/nangate45/gcd/1_synth.v\r\nmkdir -p ./results/nangate45/gcd ./logs/nangate45/gcd ./reports/nangate45/gcd\r\ncp designs/nangate45/gcd/constraint.sdc results/nangate45/gcd/1_synth.sdc\r\n(/usr/bin/time -f \"%Eelapsed %PCPU %MmemKB\" openroad -no_init -exit ./scripts/floorplan.tcl) 2>&1 | tee ./logs/nangate45/gcd/2_1_floorplan.log\r\nopenroad: error while loading shared libraries: libQt5Widgets.so.5: cannot open shared object file: No such file or directory\r\n0:00.01elapsed 0%CPU 224memKB\r\nmake: *** [results/nangate45/gcd/2_1_floorplan.def] Error 127\r\n"}, {"assistant": "You need to add the directory containing libQt5Widgets.so.5 to your LD_LIBRARY_PATH."}, {"user": "I am unable to find it, it seems to be missing\r\n"}, {"assistant": "If it were missing then openroad would omit it. On my ubuntu machine I see it in /usr/lib/x86_64-linux-gnu/\r\n\r\nTry: find /usr -name libQt5Widgets.so.5 -print"}, {"user": "I found libQt5Widgets.so.5 at this location /usr/lib64 on my centOS 7. Now, what must be done?\r\n"}, {"assistant": "sudo yum install -y qt5-qtbase-devel"}, {"user": "thanks, it worked."}]} +{"num": 520, "messages": [{"user": "Is there a facility to build clock tree in Triton CTS using min and max libraries? The example designs and steps in the documentation only show the CTS with typical library."}, {"assistant": "In terms of optimizing the clock tree for multiple corners? No, we do not have any multi-corner optimization in OpenROAD yet. We only have multi-corner timing available.\r\n\r\nIt is on our todo list but we have no ETA on that."}]} +{"num": 521, "messages": [{"user": "i am not well versed with github, and am not sure if this is an issue on my end or within the repo even after a few online searches for similar problems I am on a windows x64 machine.\r\n\r\ni am following the README for OpenROAD-flow-public to install, and i am getting the following error when cloning the **openroad-flow-public** to my directory using the command below from the README\r\n\r\n> git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-public.git\r\n\r\nError:\r\n\r\n> error: invalid path 'src/FastRoute/third_party/pdrev/src/aux.h'\r\n> Submodule path 'tools/TritonRoute': checked out '83cf3047e9a401889b710ee54397d8b269b9f516'\r\n> Submodule path 'tools/yosys': checked out 'ff4ca9ddc3fe3a0ef93feb295bf135ebff03b3df'\r\n> Unable to checkout '07a33a2f65f3af21c1962322b6a4de0bec557438' in submodule path 'tools/OpenROAD'\r\n\r\nCloning seems okay. the checkout of OpenROAD is where there is issue.\r\nAny help would be appreciated.\r\n\r\nfor further information. i have attempted the clone with github desktop and using git clone --recurse-submodules to no success and get the same error\r\n\r\nwhen i use git ls-tree to look at the .git file in the tools/OpenROAD path i see that the src/FastRoute/third_party/pdrev/src/aux.h path does not exist. the path src/FastRoute/src/pdrev/src/aux.h is available\r\n\r\n\r\n"}, {"user": "@eder-matheus @jjcherry56 "}, {"assistant": "Since I can checkout both the master and openroad branches of the openroad repo this is not an openroad repo issue. It is an openroad-flow issue."}, {"assistant": "@arelysn I was able to clone the OpenROAD-flow-public repository and checkout the OpenROAD tool to openroad branch with the following commands:\r\n\r\n`git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-public.git`\r\n`cd OpenROAD-flow-public/tools/OpenROAD`\r\n`git checkout openroad`\r\n`git submodule update --recursive`"}, {"user": "Thanks for your response.\r\nWhen i try your third command\r\n> `git checkout openroad`\r\n\r\ni still get the below error \r\n\r\n> error: invalid path 'src/FastRoute/src/pdrev/src/aux.h'\r\n\r\nIm going to try on a different machine. But, this should work on windows, correct? i would like to ultimately work directly on my machine. \r\n\r\n"}, {"assistant": "As I recall windows doesn't like files named aux. From https://docs.microsoft.com/en-us/windows/win32/fileio/naming-a-file\r\n\r\nDo not use the following reserved names for the name of a file:\r\n\r\nCON, PRN, **AUX**, NUL, COM1, COM2, COM3, COM4, COM5, COM6, COM7, COM8, COM9, LPT1, LPT2, LPT3, LPT4, LPT5, LPT6, LPT7, LPT8, and LPT9.\r\n\r\nThank DOS.\r\n\r\nWe should just rename the file to avoid this issue."}, {"assistant": "@maliberty I will update it now"}, {"assistant": "Btw, nobody has ported openroad to windows, or plans to, so I expect you'll have a lot more trouble after this. I suggest using WSL2 on windows to run ubuntu (that's what I do)."}, {"user": "> Btw, nobody has ported openroad to windows, or plans to, so I expect you'll have a lot more trouble after this. I suggest using WSL2 on windows to run ubuntu (that's what I do).\r\n\r\nThanks i will look that up. I did not see any os recommendations in the readme or docs, but that makes sense. "}]} +{"num": 522, "messages": [{"user": "I tried to install klayout-0.26.8 and faced the following error.\r\n\r\nProject ERROR: Unknown module(s) in QT: designer multimedia multimediawidgets xmlpatterns svg\r\n\r\nRunning build (gmake all) ..\r\ngmake: *** No targets specified and no makefile found. Stop.\r\n\r\nhow do I resolve this?\r\n"}, {"assistant": "KLayout is a separate project, you should try their forum at https://www.klayout.de/forum/\r\n\r\nIt sounds like you have an incomplete Qt installation."}]} +{"num": 523, "messages": [{"user": "I am getting the following error even I install the QT\r\n\r\nProject ERROR: Unknown module(s) in QT: designer multimedia multimediawidgets xmlpatterns svg\r\n\r\nRunning build (gmake all) ..\r\ngmake: *** No targets specified and no makefile found. Stop.\r\n\r\nHow to resolve this? please help me.\r\n\r\n"}, {"assistant": "Try sudo apt-get install qtmultimedia5-dev libqt5multimediawidgets5 libqt5multimedia5-plugins libqt5multimedia5\r\n\r\n(from https://stackoverflow.com/questions/26948466/project-error-unknown-modules-in-qt-multimedia)"}, {"assistant": "Sir i had tried this command but it was not help me again same erorr was shown. So please help me and give another solution."}, {"assistant": "Sir it shows the no package qtmultimedia5-dev libqt5multimediawidgets5 libqt5multimedia5-plugins libqt5multimedia5 avilable..\r\nError: Nothing to do"}, {"assistant": "What OS are you using?"}, {"user": "I am using CentOs 7. but I am also using the command yum install qtmultimedia5-dev libqt5multimediawidgets5 libqt5multimedia5-plugins libqt5multimedia5. \r\nbut it did not help me. So please help me and give another solution.\r\n"}, {"assistant": "Sorry this got dropped a while ago. Can you try it in Docker?"}]} +{"num": 524, "messages": [{"user": "Hi, \r\nI am trying to repair a hold violation on an input pin (forced with a negative input delay in the testcase sdc constraint).\r\n[testcase_repair_hold_pin.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/5334743/testcase_repair_hold_pin.zip)\r\n\r\n\r\nThe buffers are connected correctly in the DEF file, because the net name is changed at the PIN definition:\r\n\r\n```\r\nPINS 4 ;\r\n - CLK + NET CLK + DIRECTION INPUT + USE SIGNAL + PLACED ( 200070 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;\r\n - IN1 + NET net1 + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 174580 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;\r\n - OUT + NET OUT + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 200190 27020 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;\r\n - RESETN + NET RESETN + DIRECTION INPUT + USE SIGNAL + PLACED ( 190 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;\r\nEND PINS\r\n```\r\nbut this causes an issue with the .v file, where the DFF is still connected at the input PIN...\r\n\r\n```\r\nmodule testcase_repair_hold_pin (CLK,\r\n IN1,\r\n OUT,\r\n RESETN);\r\n input CLK;\r\n input IN1;\r\n output OUT;\r\n input RESETN;\r\n\r\n#_2_ should be connected to hold2 output, not IN1\r\n DFFR_X1 _2_ (.D(IN1),\r\n .RN(RESETN),\r\n .CK(CLK),\r\n .Q(\\DLY[0] ),\r\n .QN(_0_),\r\n .VDD(VDD),\r\n .VSS(VSS));\r\n...\r\n#here net1 comes from nowhere, and the hold buffer drives the input pin...\r\n BUF_X1 hold1 (.A(net1),\r\n .Z(net2));\r\n BUF_X1 hold2 (.A(net2),\r\n .Z(IN1));\r\n```\r\nSo the DEF and the .v file do not correspond...\r\nWould it be possible to change the net naming in the buffer insertion, to have the pin name kept at the pin net, and the new net name at the buffer output ?\r\n"}, {"assistant": "Your testcase works in the latest release of openroad. But it turns out the problem just migrated to output ports. I fixed this and some similar issues in the following commit.\r\n6c121963 Merge pull request #207 from The-OpenROAD-Project-private/resize_net_names"}]} +{"num": 525, "messages": [{"user": "Hi,\r\nIs there a way of knowing which instances were modified by ```resize``` command ? \r\nI would like to print it to the log file\r\n\r\nThanks"}, {"assistant": "There is no way to do that currently and I expect it would make for a large log file. You could just dump def before and after to compare."}]} +{"num": 527, "messages": [{"user": "In some (rare) cases, FastRoute places the diodes outside of the row causing the `placed in rows check` to fail. Interestingly enough, the design continues normally and TritonRoute doesn't complain (finishes DRC clean). But, of course, LVS reports mismatches. Here is an example:\r\n![Screenshot from 2020-10-19 20-36-23](https://user-images.githubusercontent.com/24205883/96597027-a46ba800-12ed-11eb-94af-e08d5b9e4c13.png)\r\n\r\nAnd here is how to replicate it. \r\n[FR_row_check_testcase.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5409215/FR_row_check_testcase.tar.gz)\r\n "}, {"assistant": "@agorararmard In your testcase, both DEF files already has diodes inserted on it. Could you send the DEF file before diode insertion?"}, {"user": "@eder-matheus: that was a design that had diodes inserted but still had antenna violations, and I used FR to insert the new diodes.\r\n\r\nAnyway, here is a design that didn't have any diodes, and then FR inserted the diodes and one of them was placed outside of the row `ANTENNA_1247`:\r\n[FR_row_check_testcase.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5429641/FR_row_check_testcase.tar.gz)\r\n"}, {"assistant": "@agorararmard My last commit in openroad branch fix this issue. I've tested locally and diode cells are being correctly placed (verified with commercial tool)"}, {"user": "@eder-matheus: I checked a couple of test cases and the issue is gone. So, I'll close it. Thank you very much for your help!"}]} +{"num": 528, "messages": [{"user": "Is it possible to add in write_verilog net definitions as wires? Some third party tools fail if there is no wire definitions in the verilog files."}, {"assistant": "d0905d1c write_verilog wire dcls"}]} +{"num": 529, "messages": [{"user": "The latest OpenROAD (master) has an issue with the `write_verilog` command. It ignores the special nets and doesn't include them in the output verilog. Attached is a test case.\r\n[write_verilog_test.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5548865/write_verilog_test.tar.gz)\r\n"}, {"user": "Never mind. There seems to be a new flag: -include_pwr_gnd that should be used. However, this should be properly reflected in the readme. \r\n\r\nI'll close the issue since it doesn't exist."}]} +{"num": 532, "messages": [{"user": "I am trying to build my caravel/openlane based design: https://github.com/thesourcerer8/caravel-stdcelllib-stdcells/ and I am getting a crash in the placement:\r\nhttp://pdk.libresilicon.com/caravel-build4.html"}, {"assistant": "Please provide a packaged up test case or a set of steps to run in order to reproduce the crash. Its not obvious how to do so from a url."}, {"assistant": "It should be noted the OpenROAD version used is 2 months old."}, {"assistant": "No testcase."}]} +{"num": 533, "messages": [{"user": "In openlane we get the error messages\r\nError: cannot open '/.openroad'.\r\nand in opensta:\r\nError: cannot open '/.sta'\r\nWhen I changed $HOME to /home/philippX then I get this error message: Error: cannot open '/home/philippX/.openroad'.\r\nSo openroad and sta are trying to read user-defined configurations.\r\nFrom my point of view, these missing directories should create a warning instead of an error."}, {"assistant": "fixed in opensta\r\n4ed9f0e7 no error on missing init file\r\nopenroad\r\n1abe4c77 no error on missing init file"}]} +{"num": 534, "messages": [{"user": "`user_project_wrapper.synthesis.v, line 136 syntax error, unexpected '{', expecting ID.`\r\n\r\nVerilog in question is :\r\n```\r\nassign { io_oeb[37:27], io_oeb[10:0] } = { one, one, one, one, one, one, one, one, one, one, one, one, one, one, one, one, one, one, one, one, one, one };\r\n```\r\n\r\nWhich is perfectly valid and emitted by `yosys`.\r\n"}, {"assistant": "Here's another where verilog front end is happy, but backend not so much!\r\n\r\n```\r\nError: /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wr\r\napper.synthesis.v, line 4 syntax error, unexpected REG.\r\nError: /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wr\r\napper.synthesis.v, line 5 syntax error, unexpected REG.\r\nError: /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wr\r\n\r\n```\r\n```\r\n/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */\r\n\r\nmodule \\$paramod$86ee6077fd23a04b01bd50a3e77717e9daf7577f\\sha3_256_miner_regs (clk, reset, read, write, a\r\nddr, wdata, la_write, la_input, ready, rdata, header_o, difficulty_o, start_nonce_o, control_o, solution_\r\ni, status_i);\r\n reg \\initial = 0;\r\n reg [31:0] _00_;\r\n reg [31:0] _01_;\r\n reg [31:0] _02_;\r\n reg [31:0] _03_;\r\n reg [4:0] _04_;\r\n\r\n\r\n```\r\nSee https://github.com/miscellaneousbits/caravel_sha3_256_crypto_miner.git branch miner, user_project_wrapper, to reproduce.\r\n"}, {"assistant": "There is no verilog2def command in openroad. Can you package a standalone test case with the verilog in question and the necessary openroad commands to reproduce it?"}, {"user": "Ah yeah sorry, this is when doing a simple `read_verilog`\r\n\r\nFor instance : `test.v` : \r\n\r\n```verilog\r\nmodule test (\r\n\tinput wire [1:0] a,\r\n\toutput wire b,\r\n\toutput wire c\r\n);\r\n\r\n\tassign {b,c} = a;\r\n\r\nendmodule\r\n```\r\n\r\nAnd then : \r\n```\r\n~$ openroad \r\nOpenROAD 0.9.0 b29a41e3b6\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details. \r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nError: cannot open '/home/tnt/.openroad'.\r\n% read_verilog /tmp/test.v\r\nError: /tmp/test.v, line 7 syntax error, unexpected '{', expecting ID.\r\n```"}, {"assistant": "see [https://github.com/The-OpenROAD-Project/OpenSTA/issues/31](url) for a work around"}, {"user": "`splitnet -ports` was already present because it turns out it apparently doesn't cover everything.\r\nHowever `yosys` just added `-simple-lhs` option to `write_verilog` to avoid this which does work around the issue.\r\n\r\nIt still remains that it'd be good if the verilog parser here actually supported all valid verilog syntax."}, {"assistant": "fixed in fd15d838 that integrates opensta commit c13383fb issue#31 verilog concat assign"}]} +{"num": 535, "messages": [{"user": "I'm trying to synthesize a large open source core but run into issues with the \"openroad read_verilog\" command.\r\n\r\nThe verilog is read by yosys with no issue but when running the floorplan.tcl in the OpenROAD flow, my machine runs out of RAM running the \"read_verilog\" command.\r\n\r\nThe logs look like this and then crash after a while when the memory runs out:\r\n```\r\nOpenROAD 0.9.0 39a74cb5ad\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details. \r\nComponents of the program may be licensed under more restrictive licenses which must be honored.\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\nNotice 0: Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef \r\n```\r\nThe machine I'm using has 32 gb of RAM. Is there a way to make sure my machine doesn't run out of memory when executing this command? \r\n\r\nThanks in advance.\r\n"}, {"assistant": "Can you provide the test case?\r\n\r\nBy \"running out of ram\" do you mean the machine is starting to swap a lot or some failure happens?"}, {"user": "Can you elaborate what you mean by a test case? Sorry, I'm new to a lot of this stuff.\r\n\r\nAnd by running out of ram, I mean that a failure happens and the process is killed. When I check dmesg, it says \"Out of memory: Kill process 12973 (openroad) score 873 or sacrifice child.\"\r\nNow that you mention swap, my machine seems to not be swapping at all which might be part of the issue. "}, {"assistant": "Would you provide a tar file with the tcl script you are running in openroad and any files it references (eg the verilog).\r\n\r\nPerhaps you lack swap space. Try \"swapon -s\" to see how you have available."}, {"user": "Apparently, I had 0 swap space. I added some space and that fixed the issue. \r\n\r\nThanks for your help!"}]} +{"num": 538, "messages": [{"user": "I found some issues in OpenRoad dealing with file-paths containing a space character. These issues can be fixed by changing 4 lines of code in 3 files:\r\n\r\nfile: src/OpenRoad.tcl\r\n\r\nchange line 49 from\r\nset filename [file nativename $args]\r\nto\r\nset filename [lindex [file nativename $args] 0]\r\n\r\nchange line\r\nset filename [file nativename $args]\r\nto\r\nset filename [lindex [file nativename $args] 0]\r\n\r\nfile: src/pdngen/src/PdnGen.tcl\r\n\r\nchange line 95 from\r\nset config_file $args\r\nto\r\nset config_file [lindex $args 0]\r\n\r\nfile: src/OpenSTA/tcl/Liberty.tcl\r\nchange line 29 from\r\nset filename [file nativename $args]\r\nto\r\nset filename [lindex [file nativename $args] 0]\r\n\r\n\r\n$args is a list with one element in that case and the subsequent [file exists $filename] always returns false in that case for a list. So nothing is cut off. The solution with lindex is already used for read_sdc."}, {"assistant": "I am much more interested in seeing an test case or at the very least an example of the command and arguments that fail than I am in any proposed fix (which do not look correct)."}, {"user": "Here is the test case. The folder \"OpenROAD\" contains the fixed version of OpenROAD while the folder \"OpenROAD_20201219\" contains the original version: \r\n\r\n[thies@localhost ~]$ mkdir test\\ folder\r\n[thies@localhost ~]$ cd test\\ folder/\r\n[thies@localhost test folder]$ touch test.lef\r\n[thies@localhost test folder]$ cd .. \r\n[thies@localhost ~]$ OpenROAD_20201219/build/src/openroad \r\nOpenROAD 0.9.0 4007da0f4b\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details. \r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n% read_lef \"/home/thies/test folder/test.lef\"\r\nError: {/home/thies/test folder/test.lef} does not exist.\r\n% ^C\r\n[thies@localhost ~]$ OpenROAD/build/src/openroad \r\nOpenROAD 0.9.0 ce30b19496\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details. \r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n% read_lef \"/home/thies/test folder/test.lef\"\r\nNotice 0: Reading LEF file: /home/thies/test folder/test.lef\r\nNotice 0: Warning: WARNING (LEFPARS-2001): No VERSION statement found, using the default value 5.8. See file /home/thies/test folder/test.lef at line 1.\r\n\r\nNotice 0: Finished LEF file: /home/thies/test folder/test.lef\r\n% "}, {"assistant": "Fixed in 0555f6e0 cmd filename args with spaces (not in the public repo yet).\r\nYour fixes are close; the lindex should be on $args, not the file nativename.\r\nset filename [file nativename [lindex $args 0]]"}, {"user": "The provided patch fixes the problem only partly. The file pdngen.tcl was not changed. So the problem still exists when reading the .pdn file:\r\n\r\nfile: src/pdngen/src/PdnGen.tcl\r\n\r\nchange line 95 from\r\nset config_file $args\r\nto\r\nset config_file [lindex $args 0]"}, {"assistant": "@Colin-Holehouse can you take a look at the pdngen issue"}, {"assistant": "fixed in \r\n7e16f8e2 issue#538 pdngen file name with space"}]} +{"num": 539, "messages": [{"user": "Hi, I'm building the OpenROAD/TritonRoute on CentOS 6.\r\n\r\nI tried devtoolset-7 and 8, the error is same.\r\n\r\n```\r\n$ make -j30\r\n[ 1%] Built target DefBisonTarget\r\n[ 1%] Built target LefBisonTarget\r\n[ 16%] Built target lef\r\n[ 38%] Built target def\r\n[ 40%] Built target lefzlib\r\n[ 41%] Built target defzlib\r\nScanning dependencies of target TritonRoute\r\nScanning dependencies of target flexroutelib\r\n[ 41%] Building CXX object CMakeFiles/TritonRoute.dir/src/io/defw.cpp.o\r\n[ 41%] Building CXX object CMakeFiles/flexroutelib.dir/src/io/defw.cpp.o\r\n/openroad/OpenROAD-flow/tools/TritonRoute/src/io/defw.cpp: In function \u2018int cls(LefDefParser::defrCallbackType_e, void*, void*)\u2019:\r\n/openroad/OpenROAD-flow/tools/TritonRoute/src/io/defw.cpp:2926:3: error: jump to case label [-fpermissive]\r\n default: fprintf(fout, \"BOGUS callback to cls.\\n\"); return 1;\r\n ^~~~~~~\r\n/openroad/OpenROAD-flow/tools/TritonRoute/src/io/defw.cpp:2914:28: note: crosses initialization of \u2018LefDefParser::defiPoints points\u2019\r\n struct defiPoints points;\r\n ^~~~~~\r\n/openroad/OpenROAD-flow/tools/TritonRoute/src/io/defw.cpp: In function \u2018int cls(LefDefParser::defrCallbackType_e, void*, void*)\u2019:\r\n/openroad/OpenROAD-flow/tools/TritonRoute/src/io/defw.cpp:2926:3: error: jump to case label [-fpermissive]\r\n default: fprintf(fout, \"BOGUS callback to cls.\\n\"); return 1;\r\n ^~~~~~~\r\n/openroad/OpenROAD-flow/tools/TritonRoute/src/io/defw.cpp:2914:28: note: crosses initialization of \u2018LefDefParser::defiPoints points\u2019\r\n struct defiPoints points;\r\n ^~~~~~\r\nmake[2]: *** [CMakeFiles/TritonRoute.dir/build.make:622: CMakeFiles/TritonRoute.dir/src/io/defw.cpp.o] Error 1\r\nmake[1]: *** [CMakeFiles/Makefile2:74: CMakeFiles/TritonRoute.dir/all] Error 2\r\nmake[1]: *** Waiting for unfinished jobs....\r\nmake[2]: *** [CMakeFiles/flexroutelib.dir/build.make:622: CMakeFiles/flexroutelib.dir/src/io/defw.cpp.o] Error 1\r\nmake[1]: *** [CMakeFiles/Makefile2:112: CMakeFiles/flexroutelib.dir/all] Error 2\r\nmake: *** [Makefile:130: all] Error 2\r\n\r\n\r\n```\r\n\r\nThanks."}, {"user": "It's weird that I can build it with the Dockerfile in project."}, {"assistant": "I don't know how to reproduce the issue as I don't have a centos6 machine. What version of g++ are you using on centos6? "}]} +{"num": 540, "messages": [{"user": "See :\r\n\r\nhttps://i.imgur.com/afxrQE6.png\r\n\r\nThose all happen with metal1 of the cell interacting with metal1 from the router. And all in sky130_fd_sc_hd__dfrtp_4 cells AFAICT.\r\n\r\nThis is what's in the cell itself :\r\nhttps://i.imgur.com/Ogjqd0a.png\r\n\r\n(don't pay attention to the label. In the `LEF`, the whole `metal1` and `li` is defined as `PORT`)\r\n\r\n```\r\n PIN RESET_B\r\n ANTENNAGATEAREA 0.252000 ;\r\n DIRECTION INPUT ;\r\n USE SIGNAL ;\r\n PORT\r\n LAYER li1 ;\r\n RECT 3.805000 0.765000 4.595000 1.015000 ;\r\n LAYER mcon ;\r\n RECT 4.165000 0.765000 4.335000 0.935000 ;\r\n END\r\n PORT\r\n LAYER li1 ;\r\n RECT 7.105000 1.035000 7.645000 1.405000 ;\r\n RECT 7.405000 0.635000 7.645000 1.035000 ;\r\n LAYER mcon ;\r\n RECT 7.105000 1.080000 7.275000 1.250000 ;\r\n RECT 7.405000 0.765000 7.575000 0.935000 ;\r\n END\r\n PORT\r\n LAYER met1 ;\r\n RECT 3.745000 0.735000 4.395000 0.780000 ;\r\n RECT 3.745000 0.780000 7.635000 0.920000 ;\r\n RECT 3.745000 0.920000 4.395000 0.965000 ;\r\n RECT 7.045000 0.920000 7.635000 0.965000 ;\r\n RECT 7.045000 0.965000 7.335000 1.280000 ;\r\n RECT 7.345000 0.735000 7.635000 0.780000 ;\r\n END\r\n END RESET_B\r\n\r\n```"}, {"assistant": "This needs a test case to be actionable."}, {"assistant": "@smunaut will you be able to provide a test case? If not I'll close this as I can reproduce it from the info given."}]} +{"num": 541, "messages": [{"user": "Hello, I am using TritonRouter on ISPD2019 benchmarks. Could you please guide me how can I use DRC by using TritonRouter?"}, {"assistant": "The DRC engine is internal to TR and doesn't have a user interface. TR does report the number of DRV it finds at the end of routing. Its engine is not a complete as a true signoff DRC and should be independently verified.\r\n\r\nWhat are hoping to use the DRC for?"}]} +{"num": 542, "messages": [{"user": "I got previous versions before which was supported routability option and bookshelf format. Global routing is performed by the official global router NCTU-GR, sHPWL is evaluated by the official DAC-2012 contest evaluation scripts, and detail placement is performed by NTUplace4h. I set target density 0.9 , superlinear inflation ratio 2.33(default), max inflation ratio 2.5(default), which were same as your paper \u201cRePlAce: Advancing Solution Quality and Routability Validation in Global Placement\u201d mentioned. But I got a worse RC result in DAC2012 benchmarks. For example, I got RC=433.97 after first inflation and RC= 215.11 after detail placement(10th inflation later) in superblue12. But it's RC =211,73 and RC = 102.43 in your paper. My result was too bad. was there something I made mistake or some options I forgot to set?\r\nThanks you."}, {"assistant": "Sorry, We will not support the academic bookshelf benchmark anymore."}, {"assistant": "Closing this as per @mgwoo reply. Re-open if needed."}]} +{"num": 543, "messages": [{"user": "Check out the latest alpha-release master and run:\r\n\r\n\r\n```\r\n# make DESIGN_CONFIG=`pwd`/designs/tiny-tests.mk DESIGN_NAME=MuxTest_width_1_inputs_1_outputs_1_pipeline_0\r\n[deleted]\r\nINFO: Total 0 worst path!\r\n/bin/bash: line 17: 2214 Segmentation fault (core dumped) RePlAce -bmflag etc -lef ./objects/nangate45/MuxTest_width_1_inputs_1_outputs_1_pipeline_0/merged_padded.lef -def ./results/nangate45/MuxTest_width_1_inputs_1_outputs_1_pipeline_0/2_floorplan.def -verilog ./results/nangate45/MuxTest_width_1_inputs_1_outputs_1_pipeline_0/2_floorplan.v -lib ./platforms/nangate45/NangateOpenCellLibrary_typical.lib -sdc ./results/nangate45/MuxTest_width_1_inputs_1_outputs_1_pipeline_0/2_floorplan.sdc -output ./results/nangate45/MuxTest_width_1_inputs_1_outputs_1_pipeline_0/replace -t 1 -timing -resPerMicron 1.59 -capPerMicron 0.235146e-12 -skipIP -plot -experi output -den 0.509 -initCoef 0.00002 -onlyGP 2>&1\r\n 2215 Done | tee ./logs/nangate45/MuxTest_width_1_inputs_1_outputs_1_pipeline_0/3_1_RePlAce.log\r\n\r\n```\r\n\r\n"}, {"assistant": "This is an issue with a stand-alone replace executable. It should not have been transferred to OpenROAD"}, {"assistant": "This can be reproduced in openroad."}, {"assistant": "fixed - @tspyrou to close on next push."}, {"user": "tip: if you write \"fixes #543\" in the commit comment or in the pull request, the issue will autoclose on merge."}, {"assistant": "thanks. Does that work when you merge from one repo to another?"}, {"user": "Good point.\r\n\r\nIf you by that mean when you merge a pull request from a fork into the main repository, then yes.\r\n\r\nWe're using a mono-repository (using git subtree, completely transparent to users without git submodule hell), so we don't run into all these cross-repository problems.\r\n\r\n"}, {"assistant": "Thanks for the tip. \r\n\r\nWe just flattened all our submodules except OpenSTA (its a special situation). "}, {"user": "Nice!\r\n\r\n\"flattened\", i.e. no more git submodule then... git submodule is a disaster. git subtree doesn't incur the cognitive load for everyone."}]} +{"num": 544, "messages": [{"user": "While generating padframe, some pins from pad cells -- sky130_fd_io__top_power_lvc_wpad and sky130_ef_io__vccd_lvc_pad alike are not covered by guides produced from Fastroute as shown by TritoneRoute.\r\nhttps://github.com/rsnkhatri3/vsdPLLSoC/blob/main/chip_io/runs/chip_io/logs/routing/tritonRoute.log\r\n\r\nBut the guides are present in log produced by Fastroute as shown below\r\nhttps://github.com/rsnkhatri3/vsdPLLSoC/blob/main/chip_io/runs/chip_io/tmp/routing/fastroute.guide"}, {"user": "For particular pin order and not using macro obstruction, detailed routing works with the guides provided."}]} +{"num": 550, "messages": [{"user": "Could be use user error...but the gui fails to compile on Ubuntu 20.04? \r\n\r\nDuring buid can't find \"tcl.h\".\r\n\r\nNoticed that most openroad Cmake files now call out -I/usr/include/tcl, but not the gui tool.\r\n\r\nSeems to trace back to this file?\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/gui/CMakeLists.txt\r\n\r\n(Hack to get through cmake, but this is probably not the right approach:-))\r\n\r\ntarget_include_directories(gui\r\n PUBLIC\r\n ${GUI_HOME}/include\r\n /usr/include/tcl <--ADDED THIS LINE TO MAKE IT WORK\r\n ${OPENDB_HOME}/include\r\n PRIVATE\r\n ${OPENROAD_HOME}/include\r\n ${Boost_INCLUDE_DIRS}\r\n)\r\n"}, {"assistant": "We build nightly on ubuntu 20 and I am able to do so as well. What version of ubuntu are you using? Are you using the head of the master branch?\r\n\r\nIt is better to use ${TCL_INCLUDE_PATH}.\r\n"}, {"user": "I am running 20.04. Do you put the TCL_INCLUDE_PATH go in the env setup? (.bashrc)"}, {"assistant": "We build nightly on 20.04 too. See https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/jenkins/docker/Dockerfile.ubuntu20.gcc8\r\n\r\n${TCL_INCLUDE_PATH} would be better in the gui/CMakeLists.txt than /usr/include/tcl.\r\n\r\nWhat version of cmake are you using?"}, {"user": "Thanks for the pointer to the dockerfile! All set and running through passing tests. :thumbsup:"}]} +{"num": 551, "messages": [{"user": "When compiling OpenDB under clang I noticed that these checks always evaluate to false, and wondered if they need to be changed. It appears they are doing a range check, in which case one of the comparison operators needs to be changed depending on if the range is supposed to be (2,4) or (-inf, 2) U (4, inf).\r\n\r\nI can submit a PR if the case is clear, but I thought it should be brought to the maintainer's attention.\r\n\r\n```\r\nsrc/OpenDB/src/lef/lef/lefwWriter.cpp:1133:25: warning: overlapping comparisons always evaluate to false [-Wtautological-overlap-compare]\r\n if (viaCuts < 2 && viaCuts > 4)\r\n ~~~~~~~~~~~~^~~~~~~~~~~~~~\r\nsrc/OpenDB/src/lef/lef/lefwWriter.cpp:1125:25: warning: overlapping comparisons always evaluate to false [-Wtautological-overlap-compare]\r\n if (viaCuts < 2 && viaCuts > 4)\r\n ~~~~~~~~~~~~^~~~~~~~~~~~~~\r\n```\r\n"}, {"assistant": "Thanks for the report.\r\n\r\nThis should be || not &&. This fine code comes straight from Si2 but we'll fix our copy."}, {"user": "Is there a repo where the changes can be submitted upstream? I can't seem to find the original anywhere on the internet."}, {"assistant": "Not a public one. You could report it to https://si2.org (who would in turn report it to Cadence)."}]} +{"num": 552, "messages": [{"user": "I removed the `-disable_routability_driven` option from the tests:\r\n\r\n```diff --git a/test/flow.tcl b/test/flow.tcl\r\nindex 86ea4639..29a7bd77 100644\r\n--- a/test/flow.tcl\r\n+++ b/test/flow.tcl\r\n@@ -20,7 +20,7 @@ place_pins -random -hor_layers $io_placer_hor_layer -ver_layers $io_placer_ver_l\r\n # Macro Placement\r\n if { [have_macros] } {\r\n # tdms_place (but replace isn't timing driven)\r\n- global_placement -disable_routability_driven -density $global_place_density\r\n+ global_placement -density $global_place_density\r\n \r\n macro_placement -global_config $ip_global_cfg\r\n }\r\n@@ -35,7 +35,7 @@ pdngen -verbose $pdn_cfg\r\n \r\n ################################################################\r\n # Global placement\r\n-global_placement -disable_routability_driven \\\r\n+global_placement \\\r\n -density $global_place_density \\\r\n -init_density_penalty $global_place_density_penalty \\\r\n -pad_left $global_place_pad -pad_right $global_place_pad\r\n```\r\n\r\nAnd they fail during routing. eg:\r\n\r\n```\r\n../build/src/openroad gcd_sky130.tcl\r\n\r\n...\r\n\r\npost process guides ...\r\nGCELLGRID X 0 DO 41 STEP 14400 ;\r\nGCELLGRID Y 0 DO 41 STEP 14400 ;\r\n complete FR_MASTERSLICE\r\n complete FR_VIA\r\n complete li1\r\n complete mcon\r\n complete met1\r\n complete via\r\n complete met2\r\n complete via2\r\n complete met3\r\n complete via3\r\n complete met4\r\n complete via4\r\n complete met5\r\nError: pin _370_/Y not covered by guide\r\nError: pin _371_/D not covered by guide\r\nError: pin _370_/Y not covered by guide\r\nError: pin _371_/D not covered by guide\r\nWarning: _000_ 2 pin not visited, fall back to feedrough mode\r\nError: _000_ 2 pin not visited #guides = 5\r\nError: critical error guide not connected, exit now 1!\r\n```"}, {"assistant": "@eder-matheus this looks like a bad guide from FR. "}, {"assistant": "@antonblanchard Fix for the issue will be available in the next update of the public repo."}, {"user": "This looks to be fixed, closing"}]} +{"num": 553, "messages": [{"user": "This testcase [replace-segv.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5756188/replace-segv.tar.gz) SEGVs in replace. It looks to be related to `grt::set_unidirectional_routing 1`. There are a coupe of heap underflow warnings before the SEGV:\r\n\r\n```\r\n\r\n[INFO] Processing 113086 obstacles on layer 2\r\n[INFO] Processing 32 obstacles on layer 5\r\nError: heap underflow\r\nError: heap underflow\r\n...\r\n\r\n0x0000000000c62c41 in grt::mazeRouteMSMDOrder3D(int, int, int) ()\r\n(gdb) backtrace \r\n#0 0x0000000000c62c41 in grt::mazeRouteMSMDOrder3D(int, int, int) ()\r\n#1 0x0000000000c51ba2 in grt::FastRouteCore::run() ()\r\n#2 0x00000000009ccc2c in grt::GlobalRouter::findRouting(std::vector >&) ()\r\n#3 0x00000000009ccd06 in grt::GlobalRouter::runFastRoute(bool) ()\r\n#4 0x000000000049c994 in replace::RouteBase::getGlobalRouterResult() ()\r\n#5 0x000000000049dda0 in replace::RouteBase::routability() ()\r\n#6 0x0000000000481859 in replace::NesterovPlace::doNesterovPlace() ()\r\n#7 0x000000000047674f in replace::Replace::doNesterovPlace() ()\r\n#8 0x000000000047354a in _wrap_replace_nesterov_place_cmd ()\r\n#9 0x00007ffff7e34042 in TclNRRunCallbacks () from /lib64/libtcl8.6.so\r\n#10 0x00007ffff7e39da7 in ?? () from /lib64/libtcl8.6.so\r\n#11 0x00007ffff7e3a5d7 in Tcl_EvalEx () from /lib64/libtcl8.6.so\r\n#12 0x00007ffff7e3a5fa in Tcl_Eval () from /lib64/libtcl8.6.so\r\n```"}, {"assistant": "@antonblanchard Fix for the issue will be available in the next update of the public repo."}]} +{"num": 554, "messages": [{"user": "**pdngen** requires a certain layer order in the TLEF like:\r\n```\r\n VIARULE ruleVia23 GENERATE\r\n LAYER MET2 ;\r\n ENCLOSURE 0.2 0.2 ;\r\n\r\n LAYER MET3 ;\r\n ENCLOSURE 0.2 0.2 ;\r\n\r\n LAYER VIA2 ;\r\n RECT -0.25 -0.25 0.25 0.25 ;\r\n SPACING 1.2 BY 1.2 ;\r\n RESISTANCE 1.2 ;\r\nEND ruleVia23\r\n```\r\n\r\nIt fails for example if the upper layer is listed first:\r\n```\r\nVIARULE ruleVia23 GENERATE\r\n LAYER MET3 ;\r\n ENCLOSURE 0.2 0.2 ;\r\n\r\n LAYER MET2 ;\r\n ENCLOSURE 0.2 0.2 ;\r\n\r\n LAYER VIA2 ;\r\n RECT -0.25 -0.25 0.25 0.25 ;\r\n SPACING 1.2 BY 1.2 ;\r\n RESISTANCE 1.2 ;\r\nEND ruleVia23\r\n```\r\nThe required order is common, but not guaranteed. So it needs to be checked when parsing the viarule which one is lower and upper layer. This malfunction can be fixed by replacing *proc init_via_tech* in the file *PdnGen.tcl* with the version below:\r\n\r\n```\r\nproc init_via_tech {} {\r\n variable tech\r\n variable def_via_tech\r\n \r\n set def_via_tech {}\r\n foreach via_rule [$tech getViaGenerateRules] {\r\n set level0 [[[$via_rule getViaLayerRule 0] getLayer] getNumber]\r\n set level1 [[[$via_rule getViaLayerRule 1] getLayer] getNumber]\r\n set level2 [[[$via_rule getViaLayerRule 2] getLayer] getNumber]\r\n if {$level0<$level1 && $level0<$level2} {\r\n set lower [$via_rule getViaLayerRule 0]\r\n if {$level1<$level2} {\r\n set upper [$via_rule getViaLayerRule 2]\r\n set cut [$via_rule getViaLayerRule 1]\r\n } else {\r\n set upper [$via_rule getViaLayerRule 1]\r\n set cut [$via_rule getViaLayerRule 2]\r\n }\r\n } elseif {$level1<$level0 && $level1<$level2} {\r\n set lower [$via_rule getViaLayerRule 1]\r\n if {$level0<$level2} {\r\n set upper [$via_rule getViaLayerRule 2]\r\n set cut [$via_rule getViaLayerRule 0]\r\n } else {\r\n set upper [$via_rule getViaLayerRule 0]\r\n set cut [$via_rule getViaLayerRule 2]\r\n }\r\n } elseif {$level2<$level0 && $level2<$level1} {\r\n set lower [$via_rule getViaLayerRule 2]\r\n if {$level0<$level1} {\r\n set upper [$via_rule getViaLayerRule 1]\r\n set cut [$via_rule getViaLayerRule 0]\r\n } else {\r\n set upper [$via_rule getViaLayerRule 0]\r\n set cut [$via_rule getViaLayerRule 1]\r\n }\r\n }\r\n \r\n dict set def_via_tech [$via_rule getName] [list \\\r\n lower [list layer [[$lower getLayer] getName] enclosure [$lower getEnclosure]] \\\r\n upper [list layer [[$upper getLayer] getName] enclosure [$upper getEnclosure]] \\\r\n cut [list layer [[$cut getLayer] getName] spacing [$cut getSpacing] size [list [[$cut getRect] dx] [[$cut getRect] dy]]] \\\r\n ]\r\n \r\n }\r\n}\r\n```\r\n\r\n"}, {"assistant": "Thanks for the bug report. A fix has been added to the pdngen code, so this issue should now be resolved. Please let me know if there are any futher issues."}, {"assistant": "@tspyrou to close once this is pushed to the public repo"}]} +{"num": 555, "messages": [{"user": "In TLEF files for any layer a WIREEXTENSION can be set:\r\n```\r\nLAYER MET2\r\n TYPE ROUTING ;\r\n WIDTH 0.28 ;\r\n SPACING 0.28 ;\r\n WIREEXTENSION 0.19 ;\r\n# ...\r\nEND MET2\r\n```\r\nThis should result in a DEF with a third coordinate in the NETS section on that layer when connecting a with a via:\r\n```\r\n- Node_37 ( I_21 A ) ( I_23 Q ) ( I_24 C ) \r\n + ROUTED MET2 ( 13720 10920 ) ( 19320 10920 )\r\n NEW MET2 ( 19320 10920 ) ( 19320 15960 )\r\n NEW MET2 ( 19320 15960 ) ( 17640 15960 190 ) VIA1_Y_so\r\n# ...\r\n```\r\nThis is described in the DEF spec as: \r\nThe routingPoints syntax is defined as follows:\r\n( x y [extValue] ) { (x y [extValue] ) | viaName [orient]} ...\r\nextValue Specifies the amount by which the wire is extended past the endpoint of the segment. The extension value must be greater than or equal to 0 (zero). Default: Half the wire width\r\n\r\nTritonRoute uses this extension value when connecting a net to a pin. The extension is set to zero. However, for layers that require this extension, the extValue is omitted.\r\n\r\nThis results in DRC violations of the resulting design."}, {"assistant": "The current TR doesn't support wireextension. I guess this must be for an older tech as the ref says:\r\n\r\nFor 65nm and below, WIREEXTENSION is no longer recommended because it may generate some advance rule violations if wires and vias have different widths.\r\n\r\nWhat is your urgency for this issue?"}, {"user": "I evaluate OpenROAD with several PDK I have access to. This issue pops up with the most recent version of the X-Fab XH018 process. After a brief review of other TLEF files, WIREEXTENSIONs are used in several other 180nm processes as well and I expect that these processes will be available for many years to come. A lot of mix-signal designs are currently developed with processes with this dimension. "}]} +{"num": 556, "messages": [{"user": "TritonRoute seems to ignore any POLYGON statement in the OBS section of a LEF file. The area covered by these polygons is used for routing without any error message or even a warning. \r\nAlso, a POLYGON statement in the PIN section causes an error message: \"Error: instAnalysis unsupported pinFig\" and terminates. \r\n\r\nPOLYGON statements are quite common in LEF file supplied by foundries. So its full support is highly appreciated. At least a POLYGON statement in the OBS section should result in an error message. "}, {"assistant": "After the integration of TritonRoute into OpenROAD, it uses odb directly (no standalone parsing). ODB decomposes the polygons into rectangles for simplification. So eventually we consider POLYGON obstructions"}]} +{"num": 557, "messages": [{"user": "rev. cd6f525b fails:\r\n\r\n```\r\n/usr/ports/cad/openroad/work/OpenROAD-0.9.0-beta-1477-gcd6f525b/src/OpenSTA/search/Sim.cc:62:5: error: use of undeclared identifier 'internalErrorNoThrow'\r\n internalErrorNoThrow(\"non-zero cudd reference counts\");\r\n ^\r\n/usr/ports/cad/openroad/work/OpenROAD-0.9.0-beta-1477-gcd6f525b/src/OpenSTA/search/Sim.cc:201:5: error: use of undeclared identifier 'internalError'\r\n internalError(\"unknown function operator\");\r\n ^\r\n2 errors generated.\r\n```\r\n\r\nclang-10\r\nFreeBSD 12.2\r\n"}, {"assistant": "This is already resolved in our private repo and should show up in the next push to this public repo. I believe that will happen this week.\r\n\r\n@tspyrou please close when you do the private->public"}]} +{"num": 559, "messages": [{"user": "I am getting a small set of messages printed to stderr that seem like the would be more appropriate for stdout considering the rest of the openroad messages.\r\n\r\nNotice 0: Reading LEF file: nangate45.tech.lef\r\nNotice 0: Created 22 technology layers\r\nNotice 0: Created 27 technology vias\r\nNotice 0: Finished LEF file: nangate45.tech.lef\r\nNotice 0: Reading LEF file: NangateOpenCellLibrary.macro.lef\r\nNotice 0: Created 134 library cells\r\nNotice 0: Finished LEF file: .....\r\n\r\nSeems like the \"info\" messages are coming from the following file:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/OpenDB/src/lefin/lefin.cpp\r\n\r\nNot a big deal...but it's different from all the other messages printed in openroad.\r\n"}, {"assistant": "We are going through a major transition into standardized logging facilities. OpenDB has not yet been converted but when it has this should be resolved."}]} +{"num": 561, "messages": [{"user": "I simplified the test case as far as I could.\r\n\r\n\r\n[fastroute_through_obs.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5797936/fastroute_through_obs.tar.gz)\r\n\r\nTo reproduce, run the script included and view the guides produced for the \"la_data_in[9]\" net. This misguides TritonRoute causing shorts through obstructed macros. \r\n\r\n![screenshot_20210111_21-25-06](https://user-images.githubusercontent.com/19731159/104228436-87a9c100-5453-11eb-82d8-8699991c3a72.png)\r\n"}, {"assistant": "I can confirm this issue. Obstruction macros are not respected and also pdn-rails are ignored. I can supply further test cases if needed. \r\n\r\n![Screenshot_20210113_155033](https://user-images.githubusercontent.com/38461862/104468300-98734780-55b7-11eb-9a75-607af264daed.png)\r\n\r\nred marked shapes were added by TritonRoute and causing a short\r\n\r\n![Screenshot_20210113_155203](https://user-images.githubusercontent.com/38461862/104468333-9f9a5580-55b7-11eb-9c6d-ebf4f0083cc8.png)\r\n\r\n"}, {"assistant": "@ax3ghazy FastRoute creates _met4_ guides over the _met4_ macro obstructions because there are free tracks between these obstructions. If you zoom the macro obs, you will be able to see it.\r\n\r\nI'd suggest you use the \"-macro_extension _n_\" option. With this, the macro obstacles are extended in _n_ gcells. In my tests, using \"-macro_extension 1\" was enough to avoid routing over the macro obs."}]} +{"num": 562, "messages": [{"user": "The attached test case specifies a 14um tapping distance, which is not being strictly applied\r\n\r\n[tapping_distance_issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5797974/tapping_distance_issue.tar.gz)\r\n\r\nTo reproduce, run the attached script and check the distances between taps/end-caps, which exceed the specified 14um.\r\n\r\nMoreover, it's not completely clear to me what type of tap spacing rule is being targeted by the tool, but I believe that\r\nit should eventually implement (or support) the worst case scenario (end-of-tap to end-of-cell spacing).\r\n\r\n![screenshot_20210111_21-36-16](https://user-images.githubusercontent.com/19731159/104229515-0c490f00-5455-11eb-9aaf-699e09280c29.png)"}, {"assistant": "The fix for this issue is already available at the master branch."}, {"user": "The fix improves things, but the same test case still fails (distance is still being above the specified 14um).\r\n\r\n![screenshot_20210217_13-31-21](https://user-images.githubusercontent.com/19731159/108198544-7031b800-7124-11eb-8043-918a269821ac.png)\r\n"}]} +{"num": 563, "messages": [{"user": "When your cell name (e.g. abc) is part of the name of the pins (such as abc_data), mergeLef.py would generate an incorrect LEF:\r\n![image](https://user-images.githubusercontent.com/73253857/104777839-43f3d780-5731-11eb-97a7-67255c577741.png)\r\n"}, {"assistant": "Note that this script also exists in openroad-flow-scripts too when fixing."}, {"assistant": "Ibrahim can you fix this script?"}, {"assistant": "Hi Tom, \r\nSure, I'll have a look "}, {"assistant": "#587 Pull request should address this issue, please let me know if you have any further concerns"}]} +{"num": 565, "messages": [{"user": "Due to https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/TritonCTS/include/tritoncts/TritonCTS.h#L103, an include statement is needed. Otherwise, the build fails on GCC 10.2.0.\r\n\r\n```\r\nIn file included from /home/ag/usr/devel/OpenROAD/src/TritonCTS/src/MakeTritoncts.cpp:37:\r\n/home/ag/usr/devel/OpenROAD/src/TritonCTS/include/tritoncts/TritonCTS.h:103:32: error: \u2018string\u2019 in namespace \u2018std\u2019 does not name a type\r\n 103 | bool masterExists(const std::string& master) const;\r\n | ^~~~~~\r\n/home/ag/usr/devel/OpenROAD/src/TritonCTS/include/tritoncts/TritonCTS.h:39:1: note: \u2018std::string\u2019 is defined in header \u2018\u2019; did you forget to \u2018#include \u2019?\r\n 38 | #include \r\n +++ |+#include \r\n 39 |\r\n/home/ag/usr/devel/OpenROAD/src/TritonCTS/include/tritoncts/TritonCTS.h:109:41: error: \u2018string\u2019 is not a member of \u2018std\u2019\r\n 109 | void parseClockNames(std::vector& clockNetNames) const;\r\n | ^~~~~~\r\n/home/ag/usr/devel/OpenROAD/src/TritonCTS/include/tritoncts/TritonCTS.h:109:41: note: \u2018std::string\u2019 is defined in header \u2018\u2019; did you forget to \u2018#include \u2019?\r\n/home/ag/usr/devel/OpenROAD/src/TritonCTS/include/tritoncts/TritonCTS.h:109:47: error: template argument 1 is invalid\r\n 109 | void parseClockNames(std::vector& clockNetNames) const;\r\n | ^\r\n/home/ag/usr/devel/OpenROAD/src/TritonCTS/include/tritoncts/TritonCTS.h:109:47: error: template argument 2 is invalid\r\nmake -f src/TritonMacroPlace/module/ABKCommon/CMakeFiles/ABKCommon.dir/build.make src/TritonMacroPlace/module/ABKCommon/CMakeFiles/ABKCommon.dir/depend\r\n```\r\n\r\n"}, {"assistant": "Sanjiv will fix this one"}]} +{"num": 566, "messages": [{"user": "To reproduce:\r\n\r\n```\r\ntouch empty.lef empty.def empty.guide\r\ncat << EOF > test.param\r\nlef:empty.lef\r\ndef:empty.def\r\nguide:empty.guide\r\noutput:out.def\r\noutputTA:outTA.def\r\noutputguide:out.guide\r\noutputDRC:out.drc\r\noutputMaze:out.log\r\nthreads:8\r\nverbose:1\r\ndrouteEndIterNum:64\r\nEOF\r\necho tr::detailed_route_cmd test.param > test.tcl\r\nopenroad test.tcl\r\n```\r\n\r\nI bisected it back to commit 6d7b5d99ff21 (\"fix comp placement issue\")\r\n"}, {"user": "```\r\n(gdb) backtrace \r\n#0 0x0000000000743050 in odb::dbChip::getBlock() ()\r\n#1 0x0000000000b32a26 in fr::io::Parser::readDb(odb::dbDatabase*) ()\r\n#2 0x0000000000b32f60 in fr::io::Parser::readLefDb(odb::dbDatabase*) ()\r\n#3 0x0000000000a40575 in triton_route::TritonRoute::init() ()\r\n#4 0x000000000046a3b3 in triton_route::TritonRoute::main() ()\r\n#5 0x0000000000d8ac0d in _wrap_detailed_route_cmd ()\r\n#6 0x00007ffff7e34042 in TclNRRunCallbacks () from /lib64/libtcl8.6.so\r\n#7 0x00007ffff7e39da7 in ?? () from /lib64/libtcl8.6.so\r\n#8 0x00007ffff7e3a5d7 in Tcl_EvalEx () from /lib64/libtcl8.6.so\r\n#9 0x00007ffff7e3a5fa in Tcl_Eval () from /lib64/libtcl8.6.so\r\n#10 0x000000000058971c in sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) ()\r\n#11 0x0000000000471bb9 in tclAppInit(int, char**, char const*, Tcl_Interp*) ()\r\n#12 0x00007ffff7ee9ee6 in Tcl_MainEx () from /lib64/libtcl8.6.so\r\n#13 0x0000000000464c2e in main ()\r\n```"}, {"user": "@osamahammad21 I presume we require the lef and def to be read in via `read_def/read_lef` now? If so, could we warn or error if either appears in the param file?"}, {"assistant": "Yes we are in transition to using the db for all lef/def. For now you have to provide both. We'll add a check/message."}, {"user": "Now the detailed router is properly integrated into OpenROAD, this is no longer relevant. Closing."}]} +{"num": 567, "messages": [{"user": "I tried updating the version of openroad in openlane, and it fails in openphysyn:\r\n\r\n```\r\nReading DEF file: /openLANE_flow/designs/multiply_4/runs/25-01_02-40/tmp/placement/11-replace.def\r\nNotice 0: Design: multiply_4\r\nNotice 0: error: pin with multiple ports is not supported\r\nERROR (DEFPARS-6010): An error has been reported in callback. Error in file /openLANE_flow/designs/multiply_4/runs/25-01_02-40/tmp/placement/11-replace.def at line 61239, on token ;.\r\nUpdate the def file before parsing the file again.\r\nNotice 0: DEF parser returns an error![ERROR]: during executing: \"Psn /openLANE_flow/scripts/openPhySyn.tcl |& tee >&@stdout /openLANE_flow/designs/multiply_4/runs/25-01_02-40/logs/placement/12-openphysyn.log\"\r\n```\r\n\r\nThe relevant part of the LEF file:\r\n\r\n```\r\n - vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER\r\n + PORT\r\n + LAYER met4 ( -800 -488480 ) ( 800 488480 )\r\n + FIXED ( 943440 499120 ) N\r\n + PORT\r\n + LAYER met4 ( -800 -488480 ) ( 800 488480 )\r\n + FIXED ( 789840 499120 ) N\r\n```\r\n\r\nThe older version of openroad split these up with extra* suffixes:\r\n\r\n```\r\n- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER\r\n + LAYER met4 ( -800 -329360 ) ( 800 329360 )\r\n + FIXED ( 636240 340000 ) N + SPECIAL ;\r\n- vccd1.extra1 + NET vccd1 + DIRECTION INOUT + USE POWER\r\n + LAYER met4 ( -800 -329360 ) ( 800 329360 )\r\n + FIXED ( 482640 340000 ) N + SPECIAL ;\r\n\r\n```\r\n\r\n\r\nI'm trying to understand what 40722f087fb1 (\"Revert \"Merge branch 'master' into openroad\"\") is doing. It claims to be a revert, but it wrapped the code that emits the extra* suffixes with:\r\n\r\n```\r\n+ if(cnt == 0 || _version <= defout::DEF_5_6){\r\n```\r\n\r\nWhich I can't find previously in the history nor in OpenDB (so is it really a revert?) . Was this intended?"}, {"assistant": "I would guess openphysyn is using on old version of opendb. The change is intended and is legal DEF. I suggest you report the problem to openphysyn."}, {"user": "I pulled OpenDB from https://github.com/The-OpenROAD-Project/OpenDB and I see the same issue:\r\n\r\n```\r\n# cat x.tcl \r\nset db [odb::dbDatabase_create]\r\nodb::read_lef $db [file join \"merged_unpadded.lef\" ]\r\nodb::read_def $db [file join \"11-replace.def\"]\r\n\r\n# opendbtcl x.tcl\r\nNotice 0: Reading LEF file: merged_unpadded.lef\r\nNotice 0: Created 13 technology layers\r\nNotice 0: Created 25 technology vias\r\nNotice 0: Created 438 library cells\r\nNotice 0: Finished LEF file: merged_unpadded.lef\r\nNotice 0: \r\nReading DEF file: 11-replace.def\r\nNotice 0: Design: multiply_4\r\nNotice 0: error: pin with multiple ports is not supported\r\nERROR (DEFPARS-6010): An error has been reported in callback. Error in file 11-replace.def at line 61239, on token ;.\r\nUpdate the def file before parsing the file again.\r\nNotice 0: DEF parser returns an error!\r\n```\r\n\r\nIs there another OpenDB tree I should be looking at?\r\n"}, {"user": "I thought that tree might now be dead, and `openroad/src/OpenDB` is the canonical location. That doesn't build however:\r\n\r\n```\r\nOpenROAD-test/src/OpenDB/src/defout/defout_impl.cpp:44:10: fatal error: utility/Logger.h: No such file or directory\r\n 44 | #include \"utility/Logger.h\"\r\n | ^~~~~~~~~~~~~~~~~~\r\n```"}, {"assistant": "@antonblanchard: We needed to install `qt5-qtbase-devel` (on CentOS for example) to get around that one..."}, {"assistant": "The standalone repo is not being updated. That file is present in the repo: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/utility/include/utility/Logger.h\r\n\r\nThe logger has noting to do with Qt."}, {"assistant": "@maliberty: Yea, somehow I confused this with another issue I was getting; I used to get\r\n```\r\nOpenROAD/src/replace/src/replace.cpp:40:10: fatal error: utility/Logger.h: No such file or directory\r\n```\r\nuntil I installed the Qt devel package. CMake was somehow back then producing Makefiles with compile commands that don't have the required `-lutility`.\r\n\r\nHowever, the issue that @antonblanchard flagged is a different one. I can see the same error trying to build OpenDB independently inside the cloned OpenROAD repo following the standard instructions on its README:\r\n\r\n```\r\ncd src/OpenDB\r\nmkdir build\r\ncd build\r\ncmake ..\r\nmake\r\n```\r\n\r\nThis fails with the error above, which makes sense since \"utility\" isn't a target in its CMakeLists.txt... Not sure if I am missing something, but I needed a couple of modifications to get it to build. Here's a [draft](https://gist.github.com/ax3ghazy/8c7ac9aa5c1341f6d528c5b6934b454d) of those modifications. I also needed to build `spdlog` with `-DSPDLOG_BUILD_SHARED=ON`. Of course, those changes break the rest of the build since the target `utility` is duplicated, so I am not sure what the right solution is. Is the latest commit passing your CI opendbpy regression tests?"}, {"assistant": "We are not building opendb as a standalone module only as a part of openroad. opendbpy was never a production interface and is not built in our CI. \r\n\r\nIf you just want to build the db you should still run cmake at the top level application and just run 'make opendb'."}]} +{"num": 568, "messages": [{"user": "Version: 0da4562b518d88ff95e086ea1350b4e96156aa99\r\n\r\nThis error was found synthesizing a picorv32 chip.\r\n\r\nI've attached an archive with a script to reporduce the error\r\n[openroad_infite_loop.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/5907088/openroad_infite_loop.tar.gz). I've debugged the code with lldb, and narrowed it down to this section of code. \r\n```c++\r\n //Resizer.cc:552\r\n int max_length = metersToDbu(max_wire_length);\r\n for (int i = level_drvr_vertices_.size() - 1; i >= 0; i--) {\r\n logger_->info(RSZ, 553, \"Interation {}.\", i);\r\n if (i == 959) {\r\n logger_->info(RSZ, 630, \"Interation {}.\", i);\r\n }\r\n Vertex *drvr = level_drvr_vertices_[i];\r\n Pin *drvr_pin = drvr->pin();\r\n Net *net = network_->net(drvr_pin);\r\n if (net &&\r\n !sta_->isClock(drvr_pin)\r\n // Exclude tie hi/low cells.\r\n && !isFuncOneZero(drvr_pin) && !isSpecial(net)) {\r\n repairNet(net, drvr, true, true, true, max_length, true, repair_count,\r\n slew_violations, cap_violations, fanout_violations,\r\n length_violations);\r\n }\r\n }\r\n```\r\n\r\nOn the 959th iteration of this loop it will get stuck in an infinite loop forever. It gets stuck specifically in this routine in the while loop.\r\n\r\n```c++\r\nvoid\r\nNetwork::visitConnectedPins(const Net *net,\r\n\t\t\t PinVisitor &visitor,\r\n\t\t\t ConstNetSet &visited_nets) const\r\n{\r\n if (!visited_nets.hasKey(net)) {\r\n visited_nets.insert(net);\r\n // Search up from net terminals.\r\n NetTermIterator *term_iter = termIterator(net);\r\n while (term_iter->hasNext()) {\r\n Term *term = term_iter->next();\r\n Pin *above_pin = pin(term);\r\n if (above_pin) {\r\n\tNet *above_net = this->net(above_pin);\r\n\tif (above_net)\r\n\t visitConnectedPins(above_net, visitor, visited_nets);\r\n\telse\r\n\t visitor(above_pin);\r\n }\r\n }\r\n\r\n```\r\n\r\nWhere in the NetTermIterator will return a cycle. Each call to next will return a pointer to the other 0x01 -> 0x02 -> 0x01 -> 0x02 -> ... forever.\r\n\r\nThe stack trace to the infinite loop\r\n![Screen Shot 2021-02-01 at 2 13 03 PM](https://user-images.githubusercontent.com/3784748/106524584-9f46f900-6497-11eb-86d1-b062d4fd4b14.png)\r\n\r\n"}, {"user": "After further investigation it appears that the net in question is named \"net285\""}, {"assistant": "I got that. The issue is upstream of Network::visitConnectedPins. It is just the victim."}, {"assistant": "7dec39f6 dbBTerm::connect missing _next_bterm init issue#568"}, {"user": "Is the dbBTerm::connect issue tracked elsewhere?"}, {"assistant": "why does it have to be tracked? I showed you the commit that fixed it."}, {"user": "I apologize, it's just that I wasn't sure which repository 7dec39f6 was in as it didn't automatically link to any commit in the current repo. Is it in the openroad branch?\r\n\r\nI was just trying to take a look at the diff and I wasn't sure where it was located or if that was indeed the fix.\r\n\r\nThanks for taking a look at it."}, {"assistant": "It gets pushed to the private repo and from there to the public one that you see, usually weekly."}]} +{"num": 569, "messages": [{"user": "Thank you for the work that you are doing. A question: for the Skywater-PDK, do free SRAM compilers exist that support the OpenROAD flow? VLSIDA/OpenRAM does not appear to support Skywater and I see that you folks have a \"fake ram\" module that looks like a single ported SRAM. Just wondering what people are using."}, {"assistant": "OpenRAM should support SkyWater \"real soon now\" -- please poke @mguthaus about the current status."}, {"assistant": "I think this is more in the scope of openlane (https://github.com/efabless/openlane) as openroad itself doesn't provide this functionality."}]} +{"num": 570, "messages": [{"user": "[INFO]: Synthesis was successful\r\n[INFO]: Running Floorplanning...\r\n[INFO]: Running Initial Floorplanning...\r\n[INFO]: current step index: 3\r\n[INFO]: /openLANE_flow/scripts\r\nOpenROAD 0.9.0 1415572a73\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nWarning: /root/ic/skywater-pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib line 31, default_operating_condition tt_025C_1v80 not found.\r\nNotice 0: Reading LEF file: /openLANE_flow/designs/inverter/runs/07-02_03-56/tmp/merged_unpadded.lef\r\nNotice 0: Created 11 technology layers\r\nNotice 0: Created 25 technology vias\r\nNotice 0: Created 437 library cells\r\nNotice 0: Finished LEF file: /openLANE_flow/designs/inverter/runs/07-02_03-56/tmp/merged_unpadded.lef\r\n[ERROR]: during executing: \"openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /openLANE_flow/designs/inverter/runs/07-02_03-56/logs/floorplan/3-verilog2def.openroad.log\"\r\n[ERROR]: Exit code: 1\r\n[ERROR]: Last 10 lines:\r\nchild killed: segmentation violation\r\n\r\n[ERROR]: Please check openroad log file\r\n[ERROR]: Dumping to /openLANE_flow/designs/inverter/runs/07-02_03-56/error.log"}, {"user": "maybe it is because of \"read_lef\" itself, and I cannot find where \"read_lef\" is"}, {"assistant": "Please provide a test case that reproduces the problem."}, {"user": "the sky130 pdk was generated by others,as the pdk source files are too large to download.\r\ndocker image is v0.2.\r\nexec \"./flow.tcl -design picorv32a\" or almost every project in the \"designs\" directory my cause this problem.\r\ncould it be the pdk problem?"}, {"assistant": "read_lef is in src/OpenRoad.tcl"}, {"assistant": "We run with the sky130 lefs for hd & hs. Please provide a lef file that shows the issue or let's close this."}]} +{"num": 572, "messages": [{"user": "```\r\n[451/664] : && /usr/local/bin/cmake -E rm -f src/OpenSTA/sta_swig.a && /usr/local/bin/ar qc src/OpenSTA/sta_swig.a src/OpenSTA/CMakeFiles/sta_swig.dir/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx.o && /usr/local/bin/ranlib src/OpenSTA/sta_swig.a && :\r\n[452/664] : && /usr/bin/c++ -O2 -pipe -fno-omit-frame-pointer -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -fno-omit-frame-pointer -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fno-omit-frame-pointer -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -fno-omit-frame-pointer -I/usr/local/include/eigen3 -isystem /usr/local/include -fstack-protector-strong -L/usr/local/lib src/OpenSTA/CMakeFiles/sta.dir/app/Main.cc.o -o /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/sta src/OpenSTA/sta_swig.a /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a /usr/local/lib/libtcl86.so -pthread /usr/lib/libz.so /usr/local/lib/libcudd.so && :\r\nFAILED: /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/sta \r\n: && /usr/bin/c++ -O2 -pipe -fno-omit-frame-pointer -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -fno-omit-frame-pointer -I/usr/local/include/eigen3 -isystem /usr/local/include -O2 -pipe -fno-omit-frame-pointer -fstack-protector-strong -isystem /usr/local/include -fno-strict-aliasing -fno-omit-frame-pointer -I/usr/local/include/eigen3 -isystem /usr/local/include -fstack-protector-strong -L/usr/local/lib src/OpenSTA/CMakeFiles/sta.dir/app/Main.cc.o -o /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/sta src/OpenSTA/sta_swig.a /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a /usr/local/lib/libtcl86.so -pthread /usr/lib/libz.so /usr/local/lib/libcudd.so && :\r\nld: error: undefined symbol: sta::elapsedRunTime()\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(elapsed_run_time()) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(_wrap_elapsed_run_time(void*, Tcl_Interp*, int, Tcl_Obj* const*)) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by Stats.cc\r\n>>> Stats.cc.o:(sta::Stats::Stats(sta::Debug*)) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n>>> referenced by Stats.cc\r\n>>> Stats.cc.o:(sta::Stats::report(char const*)) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n\r\nld: error: undefined symbol: sta::userRunTime()\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(user_run_time()) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(cputime()) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(_wrap_user_run_time(void*, Tcl_Interp*, int, Tcl_Obj* const*)) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(_wrap_cputime(void*, Tcl_Interp*, int, Tcl_Obj* const*)) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by Stats.cc\r\n>>> Stats.cc.o:(sta::Stats::Stats(sta::Debug*)) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n>>> referenced by Stats.cc\r\n>>> Stats.cc.o:(sta::Stats::report(char const*)) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n\r\nld: error: undefined symbol: sta::memoryUsage()\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(memory_usage()) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(_wrap_memory_usage(void*, Tcl_Interp*, int, Tcl_Obj* const*)) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by Stats.cc\r\n>>> Stats.cc.o:(sta::Stats::Stats(sta::Debug*)) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n>>> referenced by Stats.cc\r\n>>> Stats.cc.o:(sta::Stats::report(char const*)) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n\r\nld: error: undefined symbol: sta::processorCount()\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(processor_count()) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by StaAppTCL_wrap.cxx\r\n>>> StaAppTCL_wrap.cxx.o:(_wrap_processor_count(void*, Tcl_Interp*, int, Tcl_Obj* const*)) in archive src/OpenSTA/sta_swig.a\r\n>>> referenced by StaMain.cc\r\n>>> StaMain.cc.o:(sta::parseThreadsArg(int&, char**)) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n\r\nld: error: undefined symbol: sta::initElapsedTime()\r\n>>> referenced by Sta.cc\r\n>>> Sta.cc.o:(sta::initSta()) in archive /usr/ports/cad/openroad/work/OpenROAD-1.0rc2/src/OpenSTA/app/libOpenSTA.a\r\n\r\nld: error: undefined symbol: sta::systemRunTime()\r\n```\r\n\r\nFreeBSD 12.2\r\nclang-10"}, {"assistant": "does this happen in a clean build? sometimes the swig wrappers don't update when they should."}, {"user": "Yes, this is in a clean build."}, {"assistant": "@jjcherry56 any ideas?"}, {"assistant": "We don't/can't support free bsd because we don't have anything running it and aws does not even have an image for it. I may have fixed this, but honestly I am tired of seeing free bsd porting issues. How do we discontinue the free bsd port? Why does it exist?"}, {"user": "Why don't you discontinue the project altogether?"}, {"assistant": "Yikes. I see its defined in OpenSTA/util/Machine.cc. Do you see the symbol there in your build? You can check the .o's with nm."}]} +{"num": 573, "messages": [{"user": "Hi all, using `report_checks > filename` used to work inside openroad, but for some reason, it's not working anymore. This might've happened sometime during the last 2 months. Now the following code segment is producing the reports inside the log file instead of the designated report files:\r\n\r\n```tcl\r\nreport_checks -fields {capacitance slew input_pins nets fanout} -unique -slack_max -0.0 -group_count 100 > $::env(cts_report_file_tag).timing.rpt\r\nreport_checks -fields {capacitance slew input_pins nets fanout} -path_delay min_max > $::env(cts_report_file_tag).min_max.rpt\r\nreport_checks -fields {capacitance slew input_pins nets fanout} -group_count 100 -slack_max -0.01 > $::env(cts_report_file_tag).rpt\r\n\r\nreport_wns > $::env(cts_report_file_tag)_wns.rpt\r\nreport_tns > $::env(cts_report_file_tag)_tns.rpt\r\nreport_clock_skew > $::env(cts_report_file_tag)_clock_skew.rpt\r\n```\r\n\r\nIs there something that I'm doing totally wrong?"}, {"assistant": "This is a side effect of moving to the new unified logger spdlog. We will need to enhance spdlog to support tcl redirects before we can support his again. For now all the information goes to the log file."}, {"assistant": "opensta specific log command support (ie, redirection) was abandoned when spdlog support was added to openroad.\r\n"}]} +{"num": 574, "messages": [{"user": "I ran the LLVM undefined behaviour sanitizer on OpenROAD and it found an integer overflow in `FlexDRWorker::mazeIterInit_sortRerouteNets`:\r\n\r\n```\r\nbool FlexDRWorker::mazeIterInit_sortRerouteNets(int mazeIter, vector &rerouteNets) {\r\n auto rerouteNetsComp1 = [](drNet* const &a, drNet* const &b) {\r\n frBox boxA, boxB;\r\n a->getPinBox(boxA);\r\n b->getPinBox(boxB);\r\n auto areaA = (boxA.right() - boxA.left()) * (boxA.top() - boxA.bottom());\r\n auto areaB = (boxB.right() - boxB.left()) * (boxB.top() - boxB.bottom());\r\n```\r\n\r\nSince `left/right/top/bottom` are signed integers, the multiplication will be truncated to a signed integer and `area*` will also be signed integers. Apart from being undefined, it seems likely that `area*` will wrap negative, and the signed comparison right after will be wrong.\r\n\r\nA potential fix follows. We need to cast one of the arguments to a larger type, as well as specifying a larger type for `area*`. I can submit a PR along these lines if wanted:\r\n\r\n```\r\n@@ -1513,8 +1513,8 @@ bool FlexDRWorker::mazeIterInit_sortRerouteNets(int mazeIter, vector &re\r\n frBox boxA, boxB;\r\n a->getPinBox(boxA);\r\n b->getPinBox(boxB);\r\n- auto areaA = (boxA.right() - boxA.left()) * (boxA.top() - boxA.bottom());\r\n- auto areaB = (boxB.right() - boxB.left()) * (boxB.top() - boxB.bottom());\r\n+ unsigned long long areaA = (unsigned long long)(boxA.right() - boxA.left()) * (boxA.top() - boxA.bottom());\r\n+ unsigned long long areaB = (unsigned long long)(boxB.right() - boxB.left()) * (boxB.top() - boxB.bottom());\r\n```"}, {"assistant": "Thanks for the testing! I think the fix is simple enough I'll just do it myself."}, {"user": "Thanks @maliberty!"}]} +{"num": 575, "messages": [{"user": "The LLVM undefined behaviour sanitizer is complaining about integer overflows in `gen_brk_RSMT()`\r\n\r\n```\r\n../src/FastRoute/src/fastroute/src/RSMT.cpp:428:34: runtime error: inf is outside the range of representable values of type 'int'\r\nSUMMARY: UndefinedBehaviorSanitizer: undefined-behavior ../src/FastRoute/src/fastroute/src/RSMT.cpp:428:34 in\r\n../src/FastRoute/src/fastroute/src/RSMT.cpp:428:25: runtime error: signed integer overflow: 282 * -2147483648 cannot be represented in type 'int'\r\nSUMMARY: UndefinedBehaviorSanitizer: undefined-behavior ../src/FastRoute/src/fastroute/src/RSMT.cpp:428:25 in\r\n```\r\n\r\nThe issue appears to be in `coeffADJ()` where a floating point divide by zero `(Husage * Vcap)` will result in `-inf`, `inf` or `nan` depending on the numerator.\r\n\r\nI'm a bit lost as to what this function is meant to do - it caps the minimum but has no cap on the maximum (so `inf` is a valid adjustment factor). If it is trying to create a scaling factor around 1.0, perhaps it should limit the result to 0.8 - 1.2. It needs to also handle the zero divided by zero = `nan` case.\r\n\r\n```\r\ndiff --git a/src/FastRoute/src/fastroute/src/RSMT.cpp b/src/FastRoute/src/fastroute/src/RSMT.cpp\r\nindex 82c00ac0..c045815a 100644\r\n--- a/src/FastRoute/src/fastroute/src/RSMT.cpp\r\n+++ b/src/FastRoute/src/fastroute/src/RSMT.cpp\r\n@@ -791,7 +791,11 @@ float coeffADJ(int netID)\r\n coef = (Hcap * Vusage) / (Husage * Vcap);\r\n }\r\n \r\n- if (coef < 1.2) {\r\n+ if (std::isnan(coef)) {\r\n+ coef = 1.0;\r\n+ } else if (coef < 0.8) {\r\n+ coef = 0.8;\r\n+ } else if (coef > 1.2) {\r\n coef = 1.2;\r\n }\r\n \r\n```\r\nI can create a PR if this looks reasonable, or adjust it as required."}, {"assistant": "Thanks for the help. I am not an expert on this code but I believe the idea is to account for congestion when building the Steiner tree using flute. Since flute is not congestion aware they stretch the inputs according to the H vs V congestion to make it prefer the less congested direction. 'coef' is the stretching factor.\r\n\r\nI suspect this is rarely a problem is practice but I don't know for sure (Vcap should never be zero and Husage being zero would be rare). \r\n\r\nFor a change like this I think you need to run a bunch of designs from OpenROAD-flow-scripts and make sure there is no degradation. I've assigned this to Eder for testing unless you want to take that on."}, {"assistant": "I don't think it makes any sense to divide by zero and then test for nan.\r\nCheck for the zero before dividing."}]} +{"num": 577, "messages": [{"user": "In the given simple test case, whether the `-include_pwr_gnd` is provided or not, the power and ground pins are written to the Verilog. Ideally, when the flag is not provided `vpwr` and `vgnd` shouldn't be present in the output verilog.\r\n\r\n[write_verilog_test_case.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6005581/write_verilog_test_case.tar.gz)\r\n"}, {"assistant": "fixed in 6ebf389e"}]} +{"num": 578, "messages": [{"user": "write_verilog always assumes that ports are little-endian. In the attached test case, the original netlist has one big-endian port `input [0:1] x;` which is written as `input [1:0] x;` in the output netlist.\r\n\r\n[spm_test_case.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/6009866/spm_test_case.zip)\r\n"}, {"assistant": "Sharing the contents of the demonstration script for discussion:\r\n\r\n```\r\nread_verilog spm.v\r\nread_lef merged.lef\r\nread_def spm.def\r\n\r\nwrite_verilog spm_out.v \r\n```\r\n\r\nIf this were modified to:\r\n\r\n```\r\nread_verilog spm.v\r\nwrite_verilog spm_out.v\r\n```\r\n\r\nno output is produced. Therefore, reading a verilog file does not sufficiently populate a database that could be written out, other processing is needed. \r\n\r\nReading this particular DEF file holds an alternate (maybe the same) representation of the spm design. Reading the DEF does sufficiently populate the database. If the command file where changed to:\r\n\r\n```\r\nread_lef merged.lef\r\nread_def spm.def\r\nwrite_verilog spm_out.v\r\n```\r\n\r\nWill produce the exact same verilog file as when the read_verilog command was present.\r\n\r\nSo it's probably safe to say that none of the original read verilog is retained, or considered when writing out the verilog. That is, the read_verilog command is moot.\r\n\r\n\r\nI'm guessing that during the DEF read that the netlist is constructed. During the write, big endian is assumed and that's why the output is as it is.\r\n\r\nUnless the process is _supposed to_ pay attention to the verilog_read, then one way to solve this would be a write_verilog command option that indicates little endian for ports, or for specific ports. It would be up to the user to know which ones need to be little endian and specify it. This seems quite awkaward, but is a solution that may work.\r\n\r\nBased upon my short engagement with this project, I suspect that this isn't something that the architects would embrace. You're probably much better off just post-processing the resulting verilog (or adopting big endian everywhere)."}, {"assistant": "I don't have to guess.\r\nDEF and OpenDB have no notion of busses so the bus bits are stored individually.\r\nwrite_verilog has to reconstruct the bus.\r\nThe correct solution to annotate the \"first\" port bit in the DB so it can be written correctly.\r\n"}, {"assistant": "@jjcherry56 could you just store this in a dbBoolProperty?"}]} +{"num": 579, "messages": [{"user": "In the readme, it says \"cts_configure_characterization\" instead of the one in tcl \"configure_cts_characterization\". "}, {"assistant": "Thanks for pointing out. We'll fix this in next CTS update."}]} +{"num": 580, "messages": [{"user": "We are hitting pin access issues with a few cells when using openlane. An example using `sky130_fd_sc_hd__fa_4` is attached. Running `openlane test.tcl` gives:\r\n\r\n```\r\n[ERROR DRT-0073] no ap for _723_/A\r\nterminate called after throwing an instance of 'std::runtime_error'\r\n what(): DRT-0073\r\n```\r\n\r\nI couldn't make it fail using the OpenROAD flow start to finish, and I narrowed it down to the definiton of `sky130_fd_sc_hd__fa_4` in the lef, which is slightly different between the two flows. It looks like open_pdks is picking up the magic version of the lef from the skywater repo, but I'm not 100% sure."}, {"user": "Test case: [fa_4-pin-access.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6021596/fa_4-pin-access.tar.gz)\r\n\r\n"}, {"assistant": "I'm chasing a different skywater issue now but I'll get to this in a while."}, {"user": "I narrowed down the issue to the magic.lef adding an mcon layer to the pins. eg the PIN A differences:\r\n\r\n```\r\n PIN A\r\n ANTENNAGATEAREA 0.633000 ;\r\n DIRECTION INPUT ;\r\n USE SIGNAL ;\r\n PORT\r\n LAYER li1 ;\r\n RECT 2.080000 0.995000 2.680000 1.275000 ;\r\n RECT 2.080000 1.275000 2.340000 1.325000 ;\r\n+ LAYER mcon ;\r\n+ RECT 2.450000 1.105000 2.620000 1.275000 ;\r\n END\r\n PORT\r\n LAYER li1 ;\r\n RECT 3.610000 1.030000 4.000000 1.360000 ;\r\n+ LAYER mcon ;\r\n+ RECT 3.830000 1.105000 4.000000 1.275000 ;\r\n END\r\n PORT\r\n LAYER li1 ;\r\n RECT 5.645000 0.955000 6.005000 1.275000 ;\r\n+ LAYER mcon ;\r\n+ RECT 5.690000 1.105000 5.860000 1.275000 ;\r\n END\r\n PORT\r\n LAYER li1 ;\r\n RECT 7.030000 0.995000 7.885000 1.275000 ;\r\n+ LAYER mcon ;\r\n+ RECT 7.070000 1.105000 7.240000 1.275000 ;\r\n END\r\n PORT\r\n LAYER met1 ;\r\n RECT 2.390000 1.075000 2.680000 1.120000 ;\r\n RECT 2.390000 1.120000 7.300000 1.260000 ;\r\n RECT 2.390000 1.260000 2.680000 1.305000 ;\r\n RECT 3.770000 1.075000 4.060000 1.120000 ;\r\n RECT 3.770000 1.260000 4.060000 1.305000 ;\r\n RECT 5.630000 1.075000 5.920000 1.120000 ;\r\n RECT 5.630000 1.260000 5.920000 1.305000 ;\r\n RECT 7.010000 1.075000 7.300000 1.120000 ;\r\n RECT 7.010000 1.260000 7.300000 1.305000 ;\r\n END\r\n END A\r\n```\r\n\r\nIf I remove the mcon layer from the cell, the original openlane issue goes away"}, {"assistant": "That lef makes no sense. It implies a bare cut since there is no met1 shape above it. What does the cell actually look like?\r\n\r\nAt most I think we should add an error on such a lef; I don't think the router should support it."}, {"assistant": "Thanks for isolating the problem :)"}, {"user": "No problem. I don't understand the differences between the base `.lef` and the `.magic.lef` @RTimothyEdwards might be able to help."}, {"assistant": "The \".lef\" files are those that were given to us by the foundry. The \".magic.lef\" files were those generated by reading GDS in magic, annotating with the CDL netlist and/or the foundry LEF, and writing out LEF. If you are having to distinguish between files in skywater-pdk, then you're not doing what you should be doing, which is letting open_pdks install the libraries and then using those. That gives you another entire layer of control between what's in the PDK and what the tools see."}, {"user": "@RTimothyEdwards I was just trying to understand why the `sky130_fd_sc_hd__fa_4` cell in the merged.lef used in the openlane and openroad flows differs.\r\n\r\nThe `open_pdks` install process is placing the magic.lef versions into `libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef`, whereas the openroad flow is using the foundry lef."}, {"assistant": "@RTimothyEdwards do the gds files have bare cuts in them with no top enclosure?"}, {"assistant": "@maliberty: The above LEF(s) lists the met1 shapes you're looking for in a separate `PORT`; it's not a bare-cut pin. I think TritonRoute should be looking to connect through those met1 pins (planarly or with vias dropped from met2) or\r\n planarly on li1. The .magic.lef adds pin shapes for `mcon`, which, I think, is important so the router knows that it cannot drop `mcon`s on top of some of the li1 shapes, so using the foundry LEFs might result in unexpected spacing issues/shorts that show in the GDS but not the LEF/DEF.\r\n\r\n![screenshot_20210223_12-33-25](https://user-images.githubusercontent.com/19731159/108831874-66e89580-75d3-11eb-9f6b-f4bf71348949.png)\r\n"}, {"assistant": "@ax3ghazy @RTimothyEdwards why are you using multiple PORTS in this case? The pin shapes look strongly connected by met1.\r\n\r\nThis cell has 5 non-power pins but the image looks like just two. Is this a partial snapshot or am I missing something."}, {"user": "@maliberty it is a partial snapshot. Here is a complete screenshot of the magic derived LEF:\r\n\r\n![fa_4](https://user-images.githubusercontent.com/2029971/108900425-b8456300-766d-11eb-963f-5421cdb6f06a.png)\r\n"}, {"assistant": "@maliberty : Looks like the last time the files in the PDK were updated was quite a long time ago. Magic does not generate multiple ports there any more; that was a bug that was fixed a while back."}, {"assistant": "This is what the LEF file looks like generated with magic currently:\r\n[sky130_fd_sc_hd__fa_4.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/6031559/sky130_fd_sc_hd__fa_4.zip)\r\n"}, {"assistant": "@antonblanchard would you test this with the current lef provided above."}, {"assistant": "In general TR wants to drop vias onto standard cell pins and doesn't really like to do planar access for them. That is an area that could be improved."}, {"user": "@maliberty The updated LEF from @RTimothyEdwards did fix my issue. I've opened a bug against the Skywater PDK"}]} +{"num": 583, "messages": [{"user": "In this test case, a random initial placement leads replace to converge while its own initial placement leads it to diverge. Note that\r\nthe HPWL doesn't change through the initial iterations 2-5.\r\n\r\n```\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG Error: 0.00000012 HPWL: 1326400\r\n[InitialPlace] Iter: 2 CG Error: 0.00000000 HPWL: 319440\r\n[InitialPlace] Iter: 3 CG Error: 0.00000000 HPWL: 319440\r\n[InitialPlace] Iter: 4 CG Error: 0.00000000 HPWL: 319440\r\n[InitialPlace] Iter: 5 CG Error: 0.00000000 HPWL: 319440\r\n[INFO GPL-0031] FillerInit: NumGCells: 97\r\n[INFO GPL-0032] FillerInit: NumGNets: 64\r\n[INFO GPL-0033] FillerInit: NumGPins: 96\r\n[INFO GPL-0023] TargetDensity: 0.70\r\n[INFO GPL-0024] AveragePlaceInstArea: 3753600\r\n[INFO GPL-0025] IdealBinArea: 5362286\r\n[INFO GPL-0026] IdealBinCnt: 111\r\n[INFO GPL-0027] TotalBinArea: 596822400\r\n[INFO GPL-0028] BinCnt: 8 8\r\n[INFO GPL-0029] BinSize: 3048 3060\r\n[INFO GPL-0030] NumBins: 64\r\n[ERROR GPL-0304] RePlAce diverged on initial iteration.\r\n```\r\n\r\n[diverge_initial.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6044511/diverge_initial.tar.gz)\r\n"}, {"assistant": "Fixed in 9cf88105f1075f000cb63d69b6ec88426fcf866f. This will show up in the next push to public."}]} +{"num": 586, "messages": [{"user": "when compiled with tcl_readline\r\n\r\nopenroad xxx.tcl (I ran examples from the test folder)\r\nit ran well, but it shows \"application-specific initialization failed: error while autoloading \"history\": Error: history.tcl, 330 invoked \"return\" outside of a proc.\" at the end\r\n\r\nI dig into it. It is because of tcl_readline. \r\nremove tcl_readline form the configure and no more error.\r\n\r\nbtw:\r\nexample jpeg_sky130hd.tcl terminated due to \r\nDesign area 8883380 u^2 100% utilization.\r\nif { [sta::worst_slack -max] < $setup_slack_limit } {\r\n fail \"setup slack limit exceeded [format %.2f [sta::worst_slack -max]] < $setup_slack_limit\"\r\n}\r\nfail setup slack limit exceeded -5.24 < -1.5"}, {"assistant": "I regularly build with tcl readline without any issue so it must be related to your setup. Can you try it with a docker build?"}, {"user": "> I regularly build with tcl readline without any issue so it must be related to your setup. Can you try it with a docker build?\r\n\r\nThe docker version is fine. I tested it before. \r\nThe new build is local on a Ubuntu. It seems that history.tcl has some problems only on Ubuntu/Debian. I will to to see if I can find the root cause."}, {"assistant": "@yichungchen84 any further update? If not we should close this."}, {"assistant": "@maliberty I actually see this on my Ubuntu 20 machine as well."}, {"assistant": "I can't reproduce this using Ubuntu on WSL2\r\n% lsb_release -a\r\nNo LSB modules are available.\r\nDistributor ID: Ubuntu\r\nDescription: Ubuntu 20.04.2 LTS\r\nRelease: 20.04\r\nCodename: focal\r\n\r\n@rovinski since you can would you debug this a bit?"}, {"assistant": "@maliberty I'm not really sure how to start tracking this down. I don't know where the `history.tcl` file exists. I do know that this only shows up for me when running an interactive shell - it doesn't happen when loading a script.\r\n\r\nHere's the stack trace(s) from when the error is printed (breaking on syscalls to write):\r\n```\r\nBreakpoint 1, __libc_write (fd=1, buf=0x5555580cf838, nbytes=44) at ../sysdeps/unix/sysv/linux/write.c:25\r\n25 ../sysdeps/unix/sysv/linux/write.c: No such file or directory.\r\n(gdb) backtrace\r\n#0 __libc_write (fd=1, buf=0x5555580cf838, nbytes=44) at ../sysdeps/unix/sysv/linux/write.c:25\r\n#1 0x00007ffff7f30967 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#2 0x00005555559ef7e6 in sta::ReportTcl::printConsole(char const*, unsigned long) ()\r\n#3 0x00005555558d1e84 in sta::dbStaReport::printString(char const*, unsigned long) ()\r\n#4 0x00005555559ef5d4 in encapOutputProc ()\r\n#5 0x00007ffff7ed4140 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#6 0x00007ffff7ed5bf8 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#7 0x00007ffff7ed55ad in Tcl_WriteChars () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#8 0x00007ffff7ef01e2 in Tcl_MainEx () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#9 0x000055555573a8eb in main ()\r\n(gdb) c\r\nContinuing.\r\napplication-specific initialization failed:\r\nBreakpoint 1, __libc_write (fd=1, buf=0x5555580cf838, nbytes=94) at ../sysdeps/unix/sysv/linux/write.c:25\r\n25 in ../sysdeps/unix/sysv/linux/write.c\r\n(gdb) backtrace\r\n#0 __libc_write (fd=1, buf=0x5555580cf838, nbytes=94) at ../sysdeps/unix/sysv/linux/write.c:25\r\n#1 0x00007ffff7f30967 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#2 0x00005555559ef7e6 in sta::ReportTcl::printConsole(char const*, unsigned long) ()\r\n#3 0x00005555558d1e84 in sta::dbStaReport::printString(char const*, unsigned long) ()\r\n#4 0x00005555559ef5d4 in encapOutputProc ()\r\n#5 0x00007ffff7ed4140 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#6 0x00007ffff7ed5bf8 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#7 0x00007ffff7ed5695 in Tcl_WriteObj () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#8 0x00007ffff7ef01f5 in Tcl_MainEx () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#9 0x000055555573a8eb in main ()\r\n(gdb) c\r\nContinuing.\r\nerror while autoloading \"history\": Error: history.tcl, 330 invoked \"return\" outside of a proc.\r\nBreakpoint 1, __GI___libc_write (fd=1, buf=0x555557b0fa70, nbytes=1) at ../sysdeps/unix/sysv/linux/write.c:25\r\n25 ../sysdeps/unix/sysv/linux/write.c: No such file or directory.\r\n(gdb) backtrace\r\n#0 __GI___libc_write (fd=1, buf=0x555557b0fa70, nbytes=1) at ../sysdeps/unix/sysv/linux/write.c:25\r\n#1 0x00007ffff619000d in _IO_new_file_write (f=0x7ffff62ea6a0 <_IO_2_1_stdout_>, data=0x555557b0fa70, n=1) at fileops.c:1176\r\n#2 0x00007ffff6191ad1 in new_do_write (to_do=1, data=0x555557b0fa70 \"\\nomponents of this program may be licensed under more restrictive licenses which must be honored.\\nd\\026d\\027\\204\", fp=0x7ffff62ea6a0 <_IO_2_1_stdout_>)\r\n at libioP.h:948\r\n#3 _IO_new_do_write (to_do=1, data=0x555557b0fa70 \"\\nomponents of this program may be licensed under more restrictive licenses which must be honored.\\nd\\026d\\027\\204\", fp=0x7ffff62ea6a0 <_IO_2_1_stdout_>) at fileops.c:426\r\n#4 _IO_new_do_write (fp=0x7ffff62ea6a0 <_IO_2_1_stdout_>, data=0x555557b0fa70 \"\\nomponents of this program may be licensed under more restrictive licenses which must be honored.\\nd\\026d\\027\\204\", to_do=1) at fileops.c:423\r\n#5 0x00007ffff6190835 in _IO_new_file_xsputn (n=1, data=, f=) at libioP.h:948\r\n#6 _IO_new_file_xsputn (f=0x7ffff62ea6a0 <_IO_2_1_stdout_>, data=, n=1) at fileops.c:1197\r\n#7 0x00007ffff6184541 in __GI__IO_fwrite (buf=0x7fffffffda60, size=1, count=1, fp=0x7ffff62ea6a0 <_IO_2_1_stdout_>) at libioP.h:948\r\n#8 0x00005555565afd03 in spdlog::sinks::ansicolor_sink::log(spdlog::details::log_msg const&) ()\r\n#9 0x000055555659de63 in spdlog::logger::sink_it_(spdlog::details::log_msg const&) ()\r\n#10 0x000055555659d96a in spdlog::logger::log_it_(spdlog::details::log_msg const&, bool, bool) ()\r\n#11 0x00005555558d20f2 in sta::dbStaReport::printString(char const*, unsigned long) ()\r\n#12 0x00005555559ef5d4 in encapOutputProc ()\r\n#13 0x00007ffff7ed4140 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#14 0x00007ffff7ed5bf8 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#15 0x00007ffff7ed55ad in Tcl_WriteChars () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#16 0x00007ffff7ef0209 in Tcl_MainEx () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#17 0x000055555573a8eb in main ()\r\n```\r\nI'm guessing the `??` are probably important but I don't have debugging information in my libtcl.so"}, {"assistant": "Note that this is similar to #102"}, {"assistant": "@jjcherry56 any ideas?"}, {"assistant": "I have seen issues with history.tcl issues in opensta over the years but frankly they are mysterious. history.tcl is one of the tcl libraries in the tcl install directory next to init.tcl.\r\n\r\nI don't use tcl_readline because I run openroad inside emacs that has a real editor. I have never been able to get tcl_readline to compile in my environment."}]} +{"num": 591, "messages": [{"user": "It looks like the DEF file format [allows for polygonal `DIEAREA` configurations](http://coriolis.lip6.fr/doc/lefdef/lefdefref/DEFSyntax.html#DieArea), which can be used to define macro cells with simple shaped outlines.\r\n\r\nWhen a non-rectangular outline is passed into the OpenROAD tools by reading a .def file, it appears to be ignored in favor of a rectangular bounding box.\r\n\r\nWould it be possible to add support for polygonal or rectilinear outlines, particularly in non-core designs? Here is a minimal example of a macro cell template whose shape does not appear to be currently supported:\r\n\r\n```\r\nVERSION 5.8 ;\r\nDIVIDERCHAR \"/\" ;\r\nBUSBITCHARS \"[]\" ;\r\nDESIGN macro_cell_name ;\r\nUNITS DISTANCE MICRONS 1000 ;\r\n\r\nDIEAREA ( 0 0 ) ( 0 150000 ) ( 150000 150000 ) ( 150000 75000 ) ( 75000 75000 ) ( 75000 0 );\r\n\r\n# (Pin definitions, etc...)\r\nEND DESIGN\r\n```\r\n\r\nThank you"}, {"assistant": "I think this is a good topic but it isn't a trivial change. Not only does the db need to store the shape but all the tools need to respect it. I expect the later to be a bunch of changes.\r\n\r\n@tspyrou - any thoughts on priority?"}, {"user": "Thank you for the response!\r\n\r\nI figured that it might not be a simple change, and for now, it looks like it is somewhat possible to work around the issue by placing routing obstructions and empty macro cells in areas outside of the desired shape. But it would be nice to see native support for the feature in a future release."}, {"assistant": "That seems like a good workaround. The io placer may still get confused though so you might need to use placement constraints with it."}, {"assistant": "@WRansohoff @maliberty ioPlacer has the \"-exclude\" option that can be used to define regions of the die boundary where pins cannot be placed. But I'll update the code to do it automatically.\r\n@WRansohoff can you provide a testcase?\r\nThanks!"}, {"user": "Sure - sorry for the delayed response. The proof-of-concepts that I made used the OpenLANE scripts, so I had to adapt an ordinary OpenROAD project to demonstrate the same idea. [Here is a minimal example of a design that attempts to implement a rectilinear outline using the current tooling](https://github.com/lnis-uofu/OpenROAD-flow-scripts/tree/rectilinear_example/flow/designs/SKY130HD/gcd_poly).\r\n\r\nI see a couple of small issues when I build it: PDN rails seem to get placed in the macro area, and the `def2gds.py` KLayout script only appears to process the first GDS file that it is given. But it should demonstrate the basic idea, and I can attach GDS/DEF/etc files if you encounter any issues building it.\r\n\r\nYou're also correct that I/O placement is an issue with more complex designs; the test case that I linked to does not address that, because it contains few enough pins that the I/O signals seem to get placed in valid locations. The '-exclude' ioPlacer option sounds like a promising way to address the problem; does ioPlacer also have a way to specify the order of pins along each edge?\r\n\r\nThanks for taking a look!"}, {"assistant": "@Colin-Holehouse please see the note about PDN rails"}, {"assistant": "@WRansohoff I've updated ioPlacer to detect regions blocked by macros and routing obstructions and it is already available in the public repo. About this question: \"does ioPlacer also have a way to specify the order of pins along each edge?\", the answer is yes. Check the _-group_pins_ option in the Pin Placement documentation (https://github.com/The-OpenROAD-Project/OpenROAD#pin-placement)"}]} +{"num": 597, "messages": [{"user": "It installs ```include/sta/*``` and ```lib/libOpenSTA.a``` files that are only needed during build.\r\n"}, {"assistant": "@jjcherry56 could we conditionalize the install (only when standalone?)"}, {"user": "It probably shouldn't ever be installed when OpenSTA is used as a bundled project."}]} +{"num": 598, "messages": [{"user": "Differences are like this:\r\n```\r\n*** /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/TritonCTS/test/simple_test_clustered.ok Tue Mar 9 10:14:44 2021\r\n--- /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/TritonCTS/test/results/simple_test_clustered.log Thu Mar 11 10:42:42 2021\r\n***************\r\n*** 46,52 ****\r\n ***********************\r\n [INFO CTS-0027] Generating H-Tree topology for net clk\r\n [INFO CTS-0028] Tot. number of sinks: 300\r\n! [INFO CTS-0029] Sinks will be clustered in groups of 10 and a maximum diameter of 60.0 um\r\n [INFO CTS-0030] Number of static layers: 1\r\n [INFO CTS-0020] Wire segment unit: 14000 dbu (7 um)\r\n [INFO CTS-0021] Distance between buffers: 7 units (100 um)\r\n--- 46,52 ----\r\n ***********************\r\n [INFO CTS-0027] Generating H-Tree topology for net clk\r\n [INFO CTS-0028] Tot. number of sinks: 300\r\n! [INFO CTS-0029] Sinks will be clustered in groups of 10 and a maximum diameter of 60 um\r\n [INFO CTS-0030] Number of static layers: 1\r\n [INFO CTS-0020] Wire segment unit: 14000 dbu (7 um)\r\n [INFO CTS-0021] Distance between buffers: 7 units (100 um)\r\n```\r\n"}, {"assistant": "@yurivict Where are you seeing this issue? Latest master branch is not giving this issue.\r\nThanks."}, {"user": "I used ```2021_03_09```."}, {"assistant": "Can you try with latest build again? I am not getting any failure. Not sure how this issue might have creeped in."}, {"user": "I just tried with the latest master and am still getting this problem.\r\n\r\nThis might be related to the clang compiler, or to a different c++ library (FreeBSD uses all different libraries compared to Linux)."}, {"assistant": "Ok. Is it possible for you to try code change in your environment? If yes, I'll share a change that you can make in code and run."}, {"user": "Yes, I can try it."}, {"assistant": "Please try below diff in OpenROAD/src/TritonCTS/src/HTreeBuilder.cpp in function HTreeBuilder::run()\r\n\r\n- _logger->info(CTS, 29, \" Sinks will be clustered in groups of {} and a maximum diameter of {} um\",\r\n+ _logger->info(CTS, 29, \" Sinks will be clustered in groups of {} and a maximum diameter of {:.1f} um\",\r\n\r\nThanks."}, {"user": "This patch fixed the discrepancy."}, {"assistant": "Thanks I'll file PR for this."}]} +{"num": 599, "messages": [{"user": "```\r\n*** /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/FastRoute/test/gcd.ok Tue Mar 9 10:14:44 2021\r\n--- /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/FastRoute/test/results/gcd.log Thu Mar 11 10:48:44 2021\r\n***************\r\n*** 34,39 ****\r\n [INFO GRT-0110] Final usage: 3332\r\n [INFO GRT-0111] Final number of vias: 1228\r\n [INFO GRT-0112] Final usage 3D: 7016\r\n! [INFO GRT-0018] Total wirelength: 10266 um\r\n [INFO GRT-0014] Num routed nets: 563\r\n! No differences found.\r\n--- 34,41 ----\r\n [INFO GRT-0110] Final usage: 3332\r\n [INFO GRT-0111] Final number of vias: 1228\r\n [INFO GRT-0112] Final usage 3D: 7016\r\n! [INFO GRT-0018] Total wirelength: 10264 um\r\n [INFO GRT-0014] Num routed nets: 563\r\n! Differences found at line 3446.\r\n! 151200 176400 163800 180600 metal1\r\n! 151200 176400 168000 180600 metal1\r\n```\r\n\r\nVersion: 2021.03.09\r\nOS: FreeBSD 12.2\r\n"}, {"assistant": "@tspyrou @maliberty Please, assign it to me"}, {"assistant": "@eder-matheus can't you self-assign? "}, {"assistant": "@maliberty No, I don't have any permissions in this repo"}]} +{"num": 600, "messages": [{"user": "```\r\n*** /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/ioPlacer/test/random1.ok Tue Mar 9 10:14:44 2021\r\n--- /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/ioPlacer/test/results/random1.log Thu Mar 11 14:31:45 2021\r\n***************\r\n*** 14,17 ****\r\n Using 2 tracks default min distance between IO pins.\r\n Random pin placement\r\n RandomMode Even\r\n! No differences found.\r\n--- 14,19 ----\r\n Using 2 tracks default min distance between IO pins.\r\n Random pin placement\r\n RandomMode Even\r\n! Differences found at line 120.\r\n! + LAYER metal2 ( -70 -70 ) ( 70 70 )\r\n! + LAYER metal3 ( -70 -70 ) ( 70 70 )\r\n*** /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/ioPlacer/test/random2.ok Tue Mar 9 10:14:44 2021\r\n--- /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/ioPlacer/test/results/random2.log Thu Mar 11 14:31:49 2021\r\n***************\r\n*** 14,17 ****\r\n Using 2 tracks default min distance between IO pins.\r\n Random pin placement\r\n RandomMode Even\r\n! No differences found.\r\n--- 14,19 ----\r\n Using 2 tracks default min distance between IO pins.\r\n Random pin placement\r\n RandomMode Even\r\n! Differences found at line 120.\r\n! + LAYER metal3 ( -70 -70 ) ( 70 70 )\r\n! + LAYER metal2 ( -70 -70 ) ( 70 70 )\r\n```\r\n\r\nclang-10\r\nOS: FreeBSD 12.2\r\n"}, {"assistant": "@eder-matheus try to assign this one to yourself."}]} +{"num": 601, "messages": [{"user": "diff:\r\n```\r\n*** /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/TritonRoute/test/ispd18_sample.ok Tue Mar 9 10:14:44 2021\r\n--- /usr/ports/cad/openroad/work/OpenROAD-2021_03_09_stable/src/TritonRoute/test/results/ispd18_sample.log Thu Mar 11 14:58:46 2021\r\n***************\r\n*** 78,81 ****\r\n [INFO DRT-0036] Via8 guide region query size = 0\r\n [INFO DRT-0036] Metal9 guide region query size = 0\r\n [INFO DRT-0179] init gr pin query ...\r\n! No differences found.\r\n--- 78,83 ----\r\n [INFO DRT-0036] Via8 guide region query size = 0\r\n [INFO DRT-0036] Metal9 guide region query size = 0\r\n [INFO DRT-0179] init gr pin query ...\r\n! Differences found at line 61.\r\n! + ROUTED Metal2 ( 92200 73530 ) ( * 78850 )\r\n! + ROUTED Metal2 ( 92200 73530 ) ( * 77710 )\r\n```\r\n\r\nclang-10\r\nFreeBSD 12.2"}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}]} +{"num": 604, "messages": [{"user": "The llvm undefined behaviour sanitizer is finding integer overflows in tritonroute where it squares lengths. Would it be reasonable to use `frArea`, or do we want a new type, eg:\r\n\r\n```\r\n- frCoord pt2boxDistSquare(const frPoint &pt, const frBox &box);\r\n- frCoord box2boxDistSquare(const frBox &box1, const frBox &box2, frCoord &dx, frCoord &dy);\r\n- frCoord box2boxDistSquareNew(const frBox &box1, const frBox &box2, frCoord &dx, frCoord &dy);\r\n+ frArea pt2boxDistSquare(const frPoint &pt, const frBox &box);\r\n+ frArea box2boxDistSquare(const frBox &box1, const frBox &box2, frCoord &dx, frCoord &dy);\r\n+ frArea box2boxDistSquareNew(const frBox &box1, const frBox &box2, frCoord &dx, frCoord &dy);\r\n```"}, {"assistant": "It isn't an area so frArea doesn't make sense. Its more x^2+y^2 than x*y. \r\n\r\nIt's hard to come up with a nice name for that though. Maybe frSquaredDistance ? I'm open to ideas."}, {"user": "@maliberty `frSquaredDistance` sounds reasonable to me. I'll work on a patch."}, {"user": "Fixed in https://github.com/The-OpenROAD-Project/OpenROAD/pull/605"}]} +{"num": 606, "messages": [{"user": "I have a sky130hd design that is failing detailed placement. Lots of:\r\n```\r\n PHY_81117 overlaps PHY_1749\r\n```\r\n\r\nThere are well taps placed one site before the endcaps:\r\n\r\n```\r\n - PHY_181 sky130_fd_sc_hd__decap_4 + FIXED ( 2503780 255680 ) S ;\r\n - PHY_10165 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 2503320 255680 ) FS ;\r\n```\r\n\r\nThis seems fine to me. I see `isOverlapPadded` -> `isCrWtBlClass` is meant to handle this, but the endcap cells are just marked as core in the lef file:\r\n\r\n```\r\nMACRO sky130_fd_sc_hd__decap_4\r\n CLASS CORE ;\r\n```\r\n\r\nHow best to fix this? I thought about marking the decap cells as `ENDCAP`, but if they are just capacitors they aren't limited to being endcaps. Testcase at: [sky130-endcap-padding.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6173752/sky130-endcap-padding.tar.gz)\r\n"}, {"assistant": "@msaligane @RTimothyEdwards do you understand what is happening with this cell? A decap and an endcap are usually different."}, {"assistant": "@antonblanchard : Seems fine to me, too, although I'd like to see specifically the DEF COMPONENTS line for the PHY_81117 and PHY_1749 in the error message. The ones you cited, PHY_181 and PHY_10165, appear to have the right relative placement, although that's assuming that the DEF units are nanometers (i.e., the tap cell is 0.46um wide and the decap cell is 460 units ahead of the tap, which is fine as long as the DEF file is defining UNITS as 1000 per micron).\r\n\r\nI am assuming that this has nothing to do with endcaps, or that you are using \"endcap\" in the general sense of fill cells placed at the ends of rows to keep all the rows at the same length. LEF macros have a very specific sense of an ENDCAP being a cell that connects the end of a row to power rails, or to otherwise close off a row for some DRC related purpose like ensuring sufficient deep nwell surrounding the digital core, or something like that. There are no such endcap requirements in the SkyWater standard cell library so it would not be appropriate to designate any core cell as ENDCAP."}, {"assistant": "@antonblanchard : For what it's worth, I pulled the gzipped file and read in the layout, and there is nothing wrong with it. It appears that the tools are confused about what constitutes an overlap. . ."}, {"assistant": "@RTimothyEdwards they don't overlap in a drc sense but they do overlap when you consider padding. Normally we exclude padding on endcaps by looking at their type. On this decap cell we just see it as a core cell so it expects to be padded. I don't see any way from the lef that we could determine this or even distinguish it from a decap not used as an endcap. The best stupid idea I have it consider any cell with only power/gnd pins as zero padding."}, {"assistant": "@maliberty : What is meant by \"padding\" in this context?"}, {"assistant": "@RTimothyEdwards sites left free on the left and/or right to reduce placement density / routing congestion. In openlane you can see it in or_opendp.tcl:\r\n\r\nset_placement_padding -global -right $::env(CELL_PAD)\r\n\r\nset_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0\r\n\r\nIt looks like you are excluding decaps:\r\nset ::env(CELL_PAD_EXCLUDE) \"sky130_fd_sc_hd__tap* sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill*\"\r\n\r\nwe have no similar exclude in ORFS."}, {"assistant": "@antonblanchard do you want to try tackling this?\r\n\r\n@tspyrou an example of flow divergence from OL that hurts us."}, {"assistant": "@maliberty : I have no idea of either why openlane is set up to exclude any cell from being considered for padding. I can't think of any reason to make such a restriction. However, I am also confused by why a column of decap has been pre-placed up each side in the tarball example DEF file."}, {"assistant": "@RTimothyEdwards because the decap is specified as an endcap. We could add the ability to have no endcap cell and only put well taps if that is appropriate."}, {"assistant": "@maliberty : My understanding from what you just said is that \"padding\" is defined as a certain number of sites on the right and possibly the left that are left empty in the initial placement to allow the place & route tool some margin to spread things out to avoid congestion. And if you have to have endcaps on every row, then they would have to be preplaced and the padding sites would have to clear those. So my understanding is that the OpenROAD place & route does not clear the endcaps and so that's missing functionality that you need to add? But the rest of it I don't get. There is nowhere in the test case tarball that the decap cell is defined to be an ENDCAP. Maybe that was done when the DEF was created but didn't get into the macro definitions that were included with the test case tarball? Otherwise how do the decap cells end up in the preplacement DEF?"}, {"assistant": "@RTimothyEdwards the decaps are set as endcaps [here](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/platforms/sky130hd/tapcell.tcl)\r\n\r\ntapcell \\\r\n -endcap_cpp \"2\" \\\r\n -distance 14 \\\r\n -tapcell_master \"sky130_fd_sc_hd__tapvpwrvgnd_1\" \\\r\n -endcap_master \"sky130_fd_sc_hd__decap_4\"\r\n\r\nI've discussed with @eder-matheus making endcap_master optional if the platform doesn't require endcaps."}, {"assistant": "There is no need for padding of decaps as they have no non-power terminals and mostly act like a filler anyways."}, {"assistant": "I believe this is resolved; @antonblanchard would you confirm and close."}]} +{"num": 608, "messages": [{"user": "I tried enabling OpenRCX and I got a SEGV in `extMain::addRCCorner()`. The `logger_` pointer doesn't match the pointer in the calling function (`Ext::define_process_corner()`). Assuming it should match, something needs to either update it, or pass in the logger pointer."}, {"assistant": "I had the same issue. It only shows up with the gui for me"}, {"assistant": "I will take a look into this.\n\nOn Fri, Apr 30, 2021 at 9:29 AM James Cherry ***@***.***>\nwrote:\n\n> I had the same issue. It only shows up with the gui for me\n>\n> \u2014\n> You are receiving this because you were assigned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "I am already way deep into fixing it. Whoever wrote the initialization code was seriously confused."}, {"assistant": "This was fixed by 12dd3fc61"}]} +{"num": 609, "messages": [{"user": "The attached test case gets a SEGV when run with the latest OpenROAD. We are passing a negative value into `expanded.path()`:\r\n\r\n```\r\n--- a/src/rsz/src/Resizer.cc\r\n+++ b/src/rsz/src/Resizer.cc\r\n@@ -2052,6 +2052,10 @@ Resizer::repairSetup(PathRef &path,\r\n LibertyPort *in_port = network_->libertyPort(in_pin);\r\n \r\n int prev_drvr_index = drvr_index - 2;\r\n+ if (prev_drvr_index < 0) {\r\n+ fprintf(stderr, \"prev_drvr_index bad\\n\");\r\n+ exit(1);\r\n+ }\r\n PathRef *prev_drvr_path = expanded.path(prev_drvr_index);\r\n```\r\n\r\nTest case: [repairSetup-segv.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6193589/repairSetup-segv.tar.gz)\r\n"}, {"assistant": "fixed in ab5e4af3 rsz repair setup paths thru latches (issue #609)"}, {"user": "Thanks @jjcherry56 "}]} +{"num": 610, "messages": [{"user": "The following test case: [report_power-hang.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6201614/report_power-hang.tar.gz) gets stuck in `report_power`. Adding some debug shows it looping continually through the same 336 standard cells."}, {"assistant": "fixed by c9bb911c sta power activity prop with latches"}]} +{"num": 611, "messages": [{"user": "I'm noticing high clock skew on some `sky130hd` designs. While trying to narrow this down, I found that adding `-post_cts_disable` helps a lot:\r\n\r\n```\r\nbaseline (cts.tcl):\r\nClock ext_clk\r\nLatency CRPR Skew\r\n_108993_/CLK ^\r\n 6.34\r\n_108913_/CLK ^\r\n 5.39 0.00 0.95\r\n```\r\n\r\n```\r\n-post_cts_disable (cts-disable.tcl):\r\nClock ext_clk\r\nLatency CRPR Skew\r\n_108334_/CLK ^\r\n 5.84\r\n_108543_/CLK ^\r\n 5.39 0.00 0.45\r\n```\r\n\r\nIt seems like CTS post processing is adding quite a few buffers to a few clock nets and making things worse overall. Note that the design in question has a very low utilisation because it is missing some macros that need to be placed (not sure it matters, but I thought I should mention it).\r\n\r\nTest case: [cts-issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6204234/cts-issue.tar.gz)"}, {"user": "It looks like this optimisation just adds buffers if the wire length is significantly larger (5x) than the average. That seems overly simplistic. Can we call into STA to get a better idea of the delays on every clock net, and drive the skew down?\r\n\r\nIt could also detect if we have skew or capacitance violations on the clock nets, and fix them. I see that quite a lot, and have been struggling to fix it in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/10 and https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/9 \r\n\r\nNote: I'm going on the presumption that we aren't inserting beneficial slack in the clock tree to help meet timing. Is that correct?"}, {"assistant": "Yes, the plan is to do STA based buffering and get rid of characterization\nbased buffering post CTS. This also required bringing in parasitic\nestimator and running STA as part of CTS. There is no useful skew\nimplementation yet.\n\nOn Fri, Apr 2, 2021 at 10:46 AM Anton Blanchard ***@***.***>\nwrote:\n\n> It looks like this optimisation just adds buffers if the wire length is\n> significantly larger (5x) than the average. That seems overly simplistic.\n> Can we call into STA to get a better idea of the delays on every clock net,\n> and drive the skew down?\n>\n> It could also detect if we have skew or capacitance violations on the\n> clock nets, and fix them. I see that quite a lot, and have been struggling\n> to fix it in The-OpenROAD-Project/OpenROAD-flow-scripts#10\n> \n> and The-OpenROAD-Project/OpenROAD-flow-scripts#9\n> \n>\n> Note: I'm going on the presumption that we aren't inserting beneficial\n> slack in the clock tree to help meet timing. Is that correct?\n>\n> \u2014\n> You are receiving this because you were assigned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n>\n"}, {"assistant": "with latest commit test case `cts.tcl` generated skew:\r\n```\r\npost cts report_clock_skew\r\n--------------------------------------------------------------------------\r\nClock ext_clk\r\nLatency CRPR Skew\r\n_103560_/CLK ^\r\n 3.29\r\n_103751_/CLK ^\r\n 2.80 0.00 0.48\r\n```\r\nWith `cts-disable.tcl` also produces same skew.\r\n```\r\npost cts report_clock_skew\r\n--------------------------------------------------------------------------\r\nClock ext_clk\r\nLatency CRPR Skew\r\n_103560_/CLK ^\r\n 3.29\r\n_103751_/CLK ^\r\n 2.80 0.00 0.48\r\n```\r\n@antonblanchard \r\nIs required any action on this still?"}]} +{"num": 614, "messages": [{"user": "Hi - \r\n\r\nI am trying to install OpenRoad using Docker Image and getting errors. \r\n\r\nhttps://theopenroadproject.org/2019/12/11/getting-started-with-openroad-app-part-2/\r\n\r\ndocker pull openroad/openroad:base\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\ncd OpenRoad\r\ndocker run -it -B $(pwd):/OpenROAD docker://docker.io/openroad/openroad:base bash\r\n\r\ncd /OpenROAD\r\nmkdir build\r\ncd build\r\ncmake ..\r\n\r\nGetting the error - see image. \r\n\r\n![install](https://user-images.githubusercontent.com/81673351/113103279-ef022200-91b3-11eb-952a-cf47e7cd6ddd.png)\r\n\r\nPlease help. Please release an image that addresses this issue. \r\n\r\nThanks,\r\nVivek Rajan"}, {"assistant": "That post you reference from 2019 is out-of-date.\r\n\r\nIf you want to use a docker image to build I suggest one of the images below depending on your OS preference.\r\n\r\nhttps://hub.docker.com/r/openroad/centos7-dev\r\nhttps://hub.docker.com/r/openroad/ubuntu20-dev\r\n\r\nIf you want, there's the docker helper script `./etc/DockerHelper.sh` with it you can build, test, or run the app with simpler commands. See `./etc/DockerHelper.sh -help` for more information on the usage and features available."}, {"assistant": "There is also work by the @umarcor at https://github.com/hdl/containers to provide optimized OpenROAD + OpenLane docker containers."}, {"assistant": "@vivekkrajan were you able to use either the images or the helper script to compile the app?"}, {"user": "Thanks for follow-up.\r\nI have not been able to install OpenRoad yet. Looking forward for help and resolving the issues.\r\n\r\nFrom: Vitor Bandeira ***@***.***>\r\nSent: Monday, April 5, 2021 7:01 AM\r\nTo: The-OpenROAD-Project/OpenROAD ***@***.***>\r\nCc: Rajan, Vivek K ***@***.***>; Mention ***@***.***>\r\nSubject: Re: [The-OpenROAD-Project/OpenROAD] Unable to install OpenRoad using Docker (#614)\r\n\r\n\r\n@vivekkrajan were you able to use either the images or the helper script to compile the app?\r\n\r\n\u2014\r\nYou are receiving this because you were mentioned.\r\nReply to this email directly, view it on GitHub, or unsubscribe.\r\n"}, {"assistant": "> That post you reference from 2019 is out-of-date.\r\n> \r\n> If you want to use a docker image to build I suggest one of the images below depending on your OS preference.\r\n> \r\n> https://hub.docker.com/r/openroad/centos7-dev\r\n> https://hub.docker.com/r/openroad/ubuntu20-dev\r\n> \r\n> If you want, there's the docker helper script `./etc/DockerHelper.sh` with it you can build, test, or run the app with simpler commands. See `./etc/DockerHelper.sh -help` for more information on the usage and features available.\r\n\r\nDid you try to use any of the images I mentioned? What was the outcome?\r\n\r\nDid you try to use the scripts I mentioned? What was the outcome?\r\n\r\nIf you have the output with error, paste the complete output on https://pastebin.pl/ or similar site so we can look.\r\n\r\n"}, {"assistant": "\r\n> > That post you reference from 2019 is out-of-date.\r\n> > If you want to use a docker image to build I suggest one of the images below depending on your OS preference.\r\n> > https://hub.docker.com/r/openroad/centos7-dev\r\n> > https://hub.docker.com/r/openroad/ubuntu20-dev\r\n> > If you want, there's the docker helper script `./etc/DockerHelper.sh` with it you can build, test, or run the app with simpler commands. See `./etc/DockerHelper.sh -help` for more information on the usage and features available.\r\n> \r\n> Did you try to use any of the images I mentioned? What was the outcome?\r\n> \r\n> Did you try to use the scripts I mentioned? What was the outcome?\r\n> \r\n> If you have the output with error, paste the complete output on https://pastebin.pl/ or similar site so we can look.\r\n\r\n\r\n\r\nIt seems that using the latest version will give this error?\r\n\r\nCMake Error in /OpenROAD/build/CMakeFiles/CMakeTmp/CMakeLists.txt:\r\n Target \"cmTC_2ef56\" requires the language dialect \"CXX17\" , but CMake does\r\n not know the compile flags to use to enable it.\r\n\r\n\r\nCMake Error at /usr/local/share/cmake-3.14/Modules/CheckIncludeFileCXX.cmake:92 (try_compile):\r\n Failed to generate test project build system.\r\nCall Stack (most recent call first):\r\n /usr/local/share/cmake-3.14/Modules/FindThreads.cmake:110 (CHECK_INCLUDE_FILE_CXX)\r\n /usr/local/lib64/cmake/spdlog/spdlogConfig.cmake:4 (find_package)\r\n src/CMakeLists.txt:107 (find_package)\r\n\r\nMy command is here:\r\n\r\n\r\ndocker pull openroad/centos7-dev:latest\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\ncd OpenROAD\r\ndocker run -it -v $(pwd):/OpenROAD openroad/centos7-dev:latest bash\r\n[root@cdcfacbddb47 /]# cd OpenROAD/\r\n[root@cdcfacbddb47 OpenROAD]# mkdir build\r\n[root@cdcfacbddb47 OpenROAD]# cd build\r\n[root@cdcfacbddb47 build]# cmake ..\r\n\r\n"}, {"assistant": "You need: source /opt/rh/devtoolset-8/enable\r\n\r\n(See etc/Build.sh)"}, {"assistant": "> You need: source /opt/rh/devtoolset-8/enable\r\n> \r\n> (See etc/Build.sh)\r\n\r\nDo I need to do it before docker run or after?\r\n"}, {"assistant": "after (inside the container)"}, {"assistant": "> after (inside the container)\r\n\r\nThanks for your reply, but it seems that there is still error.\r\n\r\nCMake Error at src/CMakeLists.txt:118 (add_subdirectory):\r\n The source directory\r\n\r\n /OpenROAD/src/sta\r\n\r\n does not contain a CMakeLists.txt file.\r\n\r\n\r\n-- The C compiler identification is GNU 8.3.1\r\n-- Check for working C compiler: /opt/rh/devtoolset-8/root/usr/bin/cc\r\n-- Check for working C compiler: /opt/rh/devtoolset-8/root/usr/bin/cc -- works\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- GUI is enabled\r\n-- Boost 1.68.0 found.\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring incomplete, errors occurred!\r\n\r\nI run source /opt/rh/devtoolset-8/enable before I run cmake .. and then get this. All the docker and OpenROAD are newly pulled."}, {"assistant": "@peijunh did you remove the old build directory?\r\n\r\nAlso, there's a build script to minimize config/setup errors. Can you try to use it instead of manually calling `cmake` and `make`?\r\n```\r\n./etc/Build.sh -clean\r\n```"}, {"assistant": "@maliberty @vvbandeira Thank you for the help, the docker sucessfully build the openroad. And the command openroad --version gives feedback. But when I quit the openroad docker container, the openroad command becomes unknown. So should I always use docker image to run openroad? or the openroad is actually installed in my system but I just don't know?"}, {"assistant": "@peijunh the `docker run` command creates a container (similar to a VM), everything you run/use/install after `docker run` is isolated from your local system (unless you mount a volume), thus openroad not being available outside docker is the expected behavior."}, {"assistant": "@vivekkrajan any updates? Were you able to use docker?"}, {"user": "Thanks, Yes, able to make progress. This issue is closed.\r\n\r\nFrom: Vitor Bandeira ***@***.***>\r\nSent: Friday, July 23, 2021 8:14 PM\r\nTo: The-OpenROAD-Project/OpenROAD ***@***.***>\r\nCc: Rajan, Vivek K ***@***.***>; Mention ***@***.***>\r\nSubject: Re: [The-OpenROAD-Project/OpenROAD] Unable to install OpenRoad using Docker (#614)\r\n\r\n\r\n@vivekkrajan any updates? Were you able to use docker?\r\n\r\n\u2014\r\nYou are receiving this because you were mentioned.\r\nReply to this email directly, view it on GitHub, or unsubscribe.\r\n"}]} +{"num": 615, "messages": [{"user": "OSX does not support build -j or the nproc command. sysctl -n hw.logicalcpu works in place of nproc as does deleting the -j options from make/build commands. A (potentially suboptimal) combination of edits that resulted in a successful docker install while otherwise following the tutorial on Big Sur 11.2.3 is below. \r\n\r\n\r\nNote: git diff run from OpenROAD-flow-scripts/\r\n```\r\ndiff --git a/build_openroad.sh b/build_openroad.sh\r\n--- a/build_openroad.sh\r\n+++ b/build_openroad.sh\r\n@@ -20,7 +20,7 @@ function usage() {\r\n \r\n # defaults\r\n NICE=\"\"\r\n-PROC=`nproc`\r\n+PROC=`sysctl -n hw.logicalcpu`\r\n \r\n # Parse arguments\r\n while (( \"$#\" )); do\r\n@@ -119,10 +119,10 @@ if [ \"$build_method\" == \"DOCKER\" ]; then\r\n # Local build\r\n elif [ \"$build_method\" == \"LOCAL\" ]; then\r\n mkdir -p tools/build/yosys\r\n- (cd tools/yosys && $NICE make install -j$PROC PREFIX=../build/yosys CONFIG=gcc)\r\n+ (cd tools/yosys && make install PREFIX=../build/yosys CONFIG=gcc)\r\n \r\n mkdir -p tools/build/OpenROAD\r\n- (cd tools/build/OpenROAD && cmake ../../OpenROAD && $NICE make -j$PROC)\r\n+ (cd tools/build/OpenROAD && cmake ../../OpenROAD && make)\r\n else\r\n echo \"ERROR: No valid build method found\"\r\n exit 1\r\n```\r\nNote: git diff run from OpenROAD-flow-scripts/\r\n```\r\ndiff --git a/tools/yosys_util/Dockerfile b/tools/yosys_util/Dockerfile\r\n--- a/tools/yosys_util/Dockerfile\r\n+++ b/tools/yosys_util/Dockerfile\r\n@@ -20,9 +20,9 @@ RUN yum install -y flex tcl tcl-devel libffi-devel git graphviz readline-devel g\r\n tar -xvzf bison-3.0.1.tar.gz && \\\r\n cd bison-3.0.1 && \\\r\n ./configure && \\\r\n- make -j$(nproc) && \\\r\n+ make && \\\r\n make install\r\n \r\n COPY . /yosys\r\n WORKDIR /yosys\r\n-RUN make PREFIX=/build CONFIG=gcc install -j$(nproc)\r\n+RUN make PREFIX=/build CONFIG=gcc install\r\n```\r\nNote: git diff run from OpenROAD-flow-scripts/tools/OpenROAD/\r\n```\r\ndiff --git a/jenkins/docker/Dockerfile.centos7.gcc8 b/jenkins/docker/Dockerfile.centos7.gcc8\r\n--- a/jenkins/docker/Dockerfile.centos7.gcc8\r\n+++ b/jenkins/docker/Dockerfile.centos7.gcc8\r\n@@ -96,4 +96,4 @@ COPY . /OpenROAD\r\n WORKDIR /OpenROAD\r\n \r\n # Build\r\n-RUN cmake -B build . && cmake --build build -j 8\r\n+RUN cmake -B build . && cmake --build build\r\n\r\n```"}, {"assistant": "Openlane does:\r\n\r\nifeq (, $(strip $(NPROC)))\r\n # Linux (utility program)\r\n NPROC := $(shell nproc 2>/dev/null)\r\n\r\n ifeq (, $(strip $(NPROC)))\r\n # Linux (generic)\r\n NPROC := $(shell grep -c ^processor /proc/cpuinfo 2>/dev/null)\r\n endif\r\n ifeq (, $(strip $(NPROC)))\r\n # BSD (at least FreeBSD and Mac OSX)\r\n NPROC := $(shell sysctl -n hw.ncpu 2>/dev/null)\r\n endif\r\n ifeq (, $(strip $(NPROC)))\r\n # Fallback\r\n NPROC := 1\r\n endif\r\n\r\nendif\r\n"}, {"assistant": "Although we do not officially support MacOS, it is know to work on it (with the correct packages installed).\r\nHave you installed `coreutils`? `brew install coreutils`\r\nThis will install `nproc`, just make sure your path variable is updated.\r\n\r\nThe flag `-j ` from make should not be an issue if you are building with Docker, since these commands would only be called from inside a docker container."}, {"user": "Big Sur seems to work quite well with the Docker build despite the lack of official support. I also have a stand alone Yosys install that was not difficult to get running. I don't have much experience with Docker so I'm not sure why -j is making a difference. I've included the error messages if that helps.\r\n\r\nOn re-pulling the repo and rebuilding after installing coreutils the nproc issue was fixed in the main build script but the other two still occurred.\r\n\r\nYosys produced the below error:\r\n\r\n```\r\n#11 100.4 gcc: internal compiler error: Killed (program cc1plus)\r\n#11 100.4 Please submit a full bug report,\r\n#11 100.4 with preprocessed source if appropriate.\r\n#11 100.4 See for instructions.\r\n#11 100.4 make: *** [Makefile:688: passes/opt/opt_expr.o] Error 4\r\n#11 100.4 make: *** Waiting for unfinished jobs....\r\n#11 104.2 passes/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector >, Yosys::RTLIL::Design*)':\r\n#11 104.2 passes/techmap/abc.cc:1815:15: warning: 'g_argidx' may be used uninitialized in this function [-Wmaybe-uninitialized]\r\n#11 104.2 cmd_error(args, g_argidx, stringf(\"Unsupported gate type: %s\", g.c_str()));\r\n#11 104.2 ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n------\r\nexecutor failed running [/bin/sh -c make PREFIX=/build CONFIG=gcc install -j$(nproc)]: exit code: 2\r\n```\r\nIt ran successfully after simply deleting the call to nproc from my 2nd git diff.\r\n```\r\n-RUN make PREFIX=/build CONFIG=gcc install -j$(nproc)\r\n+RUN make PREFIX=/build CONFIG=gcc install\r\n```\r\nI also still needed to remove the -j option in the 3rd git diff. The error message is similar.\r\n\r\n```\r\n#18 287.7 [ 44%] Built target OpenSTA\r\n#18 287.7 gmake[1]: Leaving directory '/OpenROAD/build'\r\n#18 287.7 gmake: *** [Makefile:133: all] Error 2\r\n------\r\nexecutor failed running [/bin/sh -c cmake -B build . && cmake --build build -j 8]: exit code: 2\r\n```\r\n\r\n"}, {"assistant": "I don't know what is causing this error and I can't reproduce.\r\n\r\nDocker version 20.10.5, build 55c4c88\r\nmacOS BigSur 11.2.3 (20D91)\r\n\r\n```\r\ngit clone https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts flow\r\ncd flow\r\n./build_openroad.sh\r\n```\r\n\r\nWorks without issue."}, {"assistant": "@KatieTheMathematician were you able to compile? If not could you run the following commands and upload the `output.txt` file generated into https://pastebin.pl/ or a similar site?\r\n\r\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts issue-615\r\ncd issue-615\r\n./build_openroad.sh &> output.txt\r\n```"}, {"user": "I was perhaps a bit hasty in concluding what was wrong with the -j option in the Yosys Dockerfile. You were correct in your initial assessment that it was unrelated to running Docker from an OSX host. If I hardcode it to use one core it builds. From the 2nd git diff change RUN make PREFIX=/build CONFIG=gcc install -j$(nproc) to RUN make PREFIX=/build CONFIG=gcc install -j1 \r\n\r\nI haven't tried the same thing for the OpenSTA container due to the build time but I'm relatively certain the outcome will be the same. \r\n\r\nIt may be one of those hard to nail down things that indicates the build order is a bit too permissive. (See https://stackoverflow.com/questions/1564195/gnu-makes-j-option .) I'm attaching the output from the failed Yosys/OpenSTA builds to hopefully help you figure out what is happening out of order assuming this is the problem. \r\n\r\n[OpenSTA_build_fail.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/6268820/OpenSTA_build_fail.txt)\r\n\r\n\r\n[yosys_build_fail.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/6268810/yosys_build_fail.txt)\r\n"}, {"assistant": "I don't think this is related to make or a build issue since it does not occur on our CI and I cannot reproduce locally even after many tries on my macOS machine.\r\n\r\nAlso, the log files you provided are not complete.\r\n\r\nMy best guess, this is probably some configuration on your machine or environment."}, {"user": "I have a solution! This was a weird one and I'm not sure if there's anything the OpenROAD team would do to fix it but hopefully this will help anyone who stumbles on the issue in the future:\r\nMy docker container was running out of memory which was crashing GCC. The relevant error message was the one from Yosys. \"gcc: internal compiler error: Killed (program cc1plus)\" This indicates GCC crashed. This is frequently, but not necessarily a resource issue as GCC is quite stable. I had installed Docker without changing any of the defaults. Increasing its memory to 8GB, CPU cores to 8, and swap to 2GB allowed me to build without issue. This also explains why reducing the number of threads for build allowed me to run but you weren't seeing any issues with your CI pipeline. Thank you very much for assisting me with walking through the issue."}, {"assistant": "No problem, happy to help and thanks for posting the root cause and solution you found.\n\nPlease mark the issue as closed when you get a chance.\n\nRegards,\n--\nVitor Bandeira\nOn April 7, 2021 10:19:32 KatieTheMathematician ***@***.***> wrote:\n\n> I have a solution! This was a weird one and I'm not sure if there's anything the OpenROAD team would do to fix it but hopefully this will help anyone who stumbles on the issue in the future:\n> My docker container was running out of memory which was crashing GCC. The relevant error message was the one from Yosys. \"gcc: internal compiler error: Killed (program cc1plus)\" This indicates GCC crashed. This is frequently, but not necessarily a resource issue as GCC is quite stable. I had installed Docker without changing any of the defaults. Increasing its memory to 8GB, CPU cores to 8, and swap to 2GB allowed me to build without issue. This also explains why reducing the number of threads for build allowed me to run but you weren't seeing any issues with your CI pipeline. Thank you very much for assisting me with walking through the issue.\n>\n> --\n> You are receiving this because you were assigned.\n> Reply to this email directly or view it on GitHub:\n> https://github.com/The-OpenROAD-Project/OpenROAD/issues/615#issuecomment-814908233\n\n\n\n"}, {"assistant": "@vvbandeira perhaps we can add a note about minimum docker requirements in the readme."}]} +{"num": 616, "messages": [{"user": "Hi, I was running regression test and the below errors occurred.\r\nWhat should i do now to fix this problem .\r\n\r\n\r\n\r\nxxx@xxx:~/Documents/OpenROAD/test$ ./regression flow\r\n------------------------------------------------------\r\nFlow\r\ngcd_nangate45 *ERROR* segmentation violation\r\naes_nangate45 *ERROR* segmentation violation\r\ntinyRocket_nangate45 *ERROR* segmentation violation\r\ngcd_sky130hs *ERROR* segmentation violation\r\naes_sky130hs *ERROR* segmentation violation\r\nibex_sky130hs *ERROR* segmentation violation\r\njpeg_sky130hs *ERROR* segmentation violation\r\ngcd_sky130hd *ERROR* segmentation violation\r\naes_sky130hd *ERROR* segmentation violation\r\nibex_sky130hd *ERROR* segmentation violation\r\njpeg_sky130hd *ERROR* segmentation violation\r\n------------------------------------------------------\r\nErrored 11/11\r\nSee /home/xxx/Documents/OpenROAD/test/results for log files\r\n------------------------------------------------------\r\nFound 1 tool regression failures.\r\n"}, {"assistant": "Start by running one design (eg gcd) and see where it is crashing. We don't see this in our nightly regression so I'm not sure what the issue is."}, {"user": "i am new with OpenROAD , can you please tells some steps how to run one design . "}, {"user": "[gcd_nand45_error.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/6254810/gcd_nand45_error.txt)\r\n\r\nI tried to run like this **openroad gcd_nangate45.tcl** but i got the error.\r\nCan you please look into .txt file."}, {"assistant": "How did you compile openroad? On what platform? With what compiler? Are you using Docker? This isn't enough to be actionable."}, {"user": "\u200b$\u00a0mkdir\u00a0build\n$\u00a0cd\u00a0build\n$\u00a0cmake\u00a0..\n$\u00a0make\n\nI use the above steps which you have mentioned in github link .\n\nI am using latest stable ubuntu 20.04 with gcc 9"}, {"assistant": "@ripudamank2 are you still facing same issue?"}, {"assistant": "Closing due to lack of activity. Please open a new issue in case the problem is still relevant and occurring with the latest commit."}]} +{"num": 617, "messages": [{"user": "Hi - \r\n\r\nI am trying to install OpenRoad and not able to make much progress, it is stuck at 73%, see picture below. \r\n\r\nThis is happening after:\r\n cd /OpenROAD\r\n mkdir build\r\n cd build\r\n cmake ..\r\n make -j 4 ---> Picture below is from running this command. I am running on a large machine 128G with 4 Cores \r\n\r\n![image](https://user-images.githubusercontent.com/81673351/113424989-eeb98080-9385-11eb-93ec-489aec36d791.png)\r\n\r\nAppreciate any BKMs and suggestions to resolve this issue. \r\nWhat is happening at 73% of compile? \r\n\r\nThank you,\r\nVivek Rajan\r\n"}, {"assistant": "Can you run -j1 to see exactly which file it is getting stuck on. I never see this problem so it seems like something local. "}, {"user": "Thanks for your help. I re-ran with \"-j 1\" It is now stuck in 52%. \r\n\r\nAny idea what I am missing? \r\n\r\n![image](https://user-images.githubusercontent.com/81673351/113457757-7d012700-93c5-11eb-8079-3bba02a4ec62.png)\r\n\r\nIs there step-by-step instruction on how to install OpenRoad ..? Please share latest pointers. \r\n\r\nThanks,\r\nVivek Rajan"}, {"assistant": "@vvbandeira Are there update to date instructions? I think these might be a bit old: https://openroad.readthedocs.io/en/latest/user/GettingStarted.html"}, {"assistant": "Had you tried this step :\r\nuncomment the export_opendb_power_pins in proc opendb_update_grid {} in OpenROAD/src/pdngen/src/PdnGen.tcl and then rebuild the OpenROAD tool.\r\n\r\n"}, {"assistant": "Could you run the following commands and upload the `output.txt` file generated into https://pastebin.pl/ or a similar site?\r\n\r\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD issue-617\r\ncd issue-617\r\n./etc/Build.sh &> output.txt\r\n```\r\n\r\nor if you have special compiler paths / environment\r\n\r\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD issue-617\r\ncd issue-617\r\nmkdir build\r\ncd build\r\ncmake .. &> output.txt\r\nmake -j 1 &>> output.txt\r\n```"}, {"assistant": "@ripudamank2 is this still an issue or can we close this?"}, {"user": "Please close this issue. A colleague of mine was able to install OpenRoad. Thanks.\r\n\r\nFrom: Matt Liberty ***@***.***>\r\nSent: Tuesday, April 20, 2021 2:41 PM\r\nTo: The-OpenROAD-Project/OpenROAD ***@***.***>\r\nCc: Rajan, Vivek K ***@***.***>; Author ***@***.***>\r\nSubject: Re: [The-OpenROAD-Project/OpenROAD] Install stuck at 73% (#617)\r\n\r\n\r\n@ripudamank2 is this still an issue or can we close this?\r\n\r\n\u2014\r\nYou are receiving this because you authored the thread.\r\nReply to this email directly, view it on GitHub, or unsubscribe.\r\n"}]} +{"num": 619, "messages": [{"user": "There was this argument of \"report_congestion\" in global_route function but it is gone now. What is the motivation to remove that feature and is there any way to output the congestion map now? Thanks."}, {"assistant": "@vz-rocks The \"report_congestion\" argument generates a large text file with the information of all GCells. It was really hard to understand. Now we have the congestion map in the GUI, which is way better to understand where the congestion is happening."}, {"assistant": "@vz-rocks Is there anything that you would like to be updated in the global_route regarding the congestion report? If not, please close the issue :)"}, {"assistant": "This has gone silent so closing."}]} +{"num": 621, "messages": [{"user": "The following test case hits a SEGV in grt::orderx():\r\n\r\n```\r\n#0 0x0000000000e44920 in grt::orderx(grt::pnt const*, grt::pnt const*) ()\r\n#1 0x0000000000e478c8 in void std::__introsort_loop<__gnu_cxx::__normal_iterator > >, long, __gnu_cxx::__ops::_Iter_comp_iter >(__gnu_cxx::__normal_iterator > >, __gnu_cxx::__normal_iterator > >, long, __gnu_cxx::__ops::_Iter_comp_iter) ()\r\n#2 0x0000000000e462e8 in grt::fluteNormal(int, int, int*, int*, int, float, grt::Tree*) ()\r\n#3 0x0000000000e46a38 in grt::gen_brk_RSMT(char, char, char, char, char, utl::Logger*) ()\r\n#4 0x0000000000e3d22e in grt::FastRouteCore::run() ()\r\n#5 0x0000000000af2044 in grt::GlobalRouter::findRouting(std::vector >&, int, int) ()\r\n#6 0x0000000000af75ce in grt::GlobalRouter::globalRoute() ()\r\n#7 0x00000000004c2452 in gpl::RouteBase::getGlobalRouterResult() ()\r\n#8 0x00000000004c6069 in gpl::RouteBase::routability() ()\r\n#9 0x00000000004a36fc in gpl::NesterovPlace::doNesterovPlace() ()\r\n#10 0x00000000004ce450 in _wrap_replace_nesterov_place_cmd ()\r\n```\r\n\r\nIt bisects to:\r\n\r\n```\r\ncommit 1557cb0f3526fce41628b6cd9dd8f6f1d6419b27 (HEAD)\r\nAuthor: eder-matheus \r\nDate: Wed Apr 7 20:43:34 2021 -0300\r\n\r\n grt: use vector and std::sort for ptp in RSMT.cpp\r\n```\r\n\r\nTest case: \r\n[gpl_segv.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6282383/gpl_segv.tar.gz)\r\n"}, {"assistant": "There was a another recent change here that might fix this."}, {"assistant": "> There was a another recent change here that might fix this.\r\n\r\nYes, my changes right after the last commit to the public repo fix this issue. I just tested the .tar in my machine with the latest version of the private repo and it don't crash anymore."}, {"assistant": "@antonblanchard The fix for this issue is already available in the repository. Can you check it?"}, {"assistant": "@antonblanchard is this resolved for you?"}, {"assistant": "@antonblanchard @maliberty I will close the issue since I was able to run the testcase with no errors using the latest commit of the public repo."}]} +{"num": 622, "messages": [{"user": "I have checked the path of OpenROAD/src/OpenDB/src/db/test, there is only 240 lines test.\r\nbut there at least 87,565 lines C++ code for OpenDB. how we can do C++ function level or object level test?\r\nCould you tell us that whether will release full test ?\r\nthanks!\r\nwei"}, {"assistant": "Much of the testing is done through the swig API in tcl rather than in c++. Virtually every other test in the system uses opendb as well for further coverage. There is nothing else to release in terms of testing."}, {"user": "thanks for your comment"}]} +{"num": 623, "messages": [{"user": "This is probably an epic, but still.\r\n\r\nI guess that two primary uses for OpenROAD are education (possibly self-education like in my case) and open source hardware.\r\n\r\nIf it is so, this flow misses support for analog-mixed-signal cores which are critical for powering actual devices and interacting with many peripherals.\r\n\r\nAsking this here because currently there are *no* open source Verilog/VHDL AMS supporting flows."}, {"assistant": "I agree with the sentiment but this is too far outside the bounds of anything we are planning to work on. The closest project I know of is https://fasoc.engin.umich.edu/"}, {"user": "Thanks, this seems nice."}, {"assistant": "@handicraftsman You can try our repo: https://github.com/idea-fasoc/OpenFASOC\r\nWe have an initial enablement for multi-vdd that works using openroad. We use a few scripts to get through. Ping me if you are on slack."}]} +{"num": 624, "messages": [{"user": "Could you please provide an example script for the OpenRCX reference extractor? \r\nTo be more specific, I'm trying to use Cadence Innovus to extract parasitics. I'm having problem in loading .def or .v into Innovus. \r\n\r\nThe Innovus user guide says:\r\nYou cannot use the DEF netlistflow for parasitic extraction, delay calculation, and timing-driven placement androuting effectively because the DEF names do not properly match the Verilog namesused in timing constraints and timing analysis."}, {"assistant": "You can see the flow script usage [here](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/efbbca443cf07a3f5f2d06a6dfcd8751a29a6ce5/flow/scripts/final_report.tcl#L41)"}, {"user": "I guess what I'm try to ask is, how to run the [step B](https://github.com/The-OpenROAD-Project/OpenRCX/blob/a0c988ddc591b0b6e18c073d72a112aeb81a4bc3/doc/calibration.txt#L15) because the script is not provided. \r\n\r\n"}, {"assistant": "We cannot provide scripts for commercial tools as they are covered by NDA. @GeraldoPradipta have you seen such issues in your testing?"}, {"assistant": "No, I haven't had any problem with loading the DEF and the verilog files to Innvous.\r\n\r\n@Michael-JY-He, what issues you have exactly? Is it feeding the DEF and Verilog to Innovus? Or the DEF and the verilog do not match?"}, {"assistant": "@Michael-JY-He \r\nIs this resolved?"}, {"assistant": "@maliberty interesting question - could we possibly include commercial scripts now given that Cadence allows sharing of scripts with a disclaimer? Maybe if not in this repo then another one."}, {"assistant": "@tspyrou any thoughts on releasing such scripts?"}, {"user": "I'm not in the project now. Let me close the issue. "}]} +{"num": 628, "messages": [{"user": "I'm trying to run test design through OpenROAD flow. It is a simple combinational scheme that contains ~4K gates. For this number of gates I have to increase die area up to 1000x1000 um. With this area design passes successfully. Buf if I try to increase die area up to ~2000x2000um, the openroad flow fails at the begining of detailed routing step with the following error:\r\n\r\nset routed_def [make_resultfile ${design}${platform}_route.def]\r\nset tr_lef [make_tr_lef]\r\nError: flow.tcl, 149 couldn't fork child process: not enough memory\r\n\r\nI run openroad in container at host with 8G memory.\r\n\r\nAccording to Matt Liberty recomendatin in gitter community I opened this issue and created a link to design.\r\nLink below contains tuned dir structure with failed design, runfiles and logs. RUN.log contains log of failed run.\r\nDesign is created from gcd test example from openroad flow. I had to comment CTS step in flow.tcl to avoid errors that clock is absent in design.\r\n\r\n[https://drive.google.com/file/d/1_Yz6AcxzRaMImOt5hrs5_20ks-IXL2uX/view?usp=sharing](url)\r\n"}, {"assistant": "I tweaked your test case to run with the latest openroad and I can see the virtual size of openroad exceed 8Gb. However I would expect that would just lead to swapping no an out of memory error. Do you have swapping enabled / have sufficient swap inside your container?\r\n"}, {"assistant": "You do not have 4k instances, you have 116,189 instances. There are 127 instances of crc32_table * 883 std cell per instance = 112,141 instances plus some 4k top level std cells.\r\n\r\nResizer will add some buffers too. Increasing the die area increases the fill cell count so you get to 809,675 instances by the time detailed routing starts with 126k nets to route.\r\n\r\nFor this scenario the memory usage seems reasonable."}, {"user": "\nMatt, thank you for answer! Where did you take this statistic for instances? I got 4K by summing all gates after yosys (near the end of its log). I sow a big number of instances in openroad log, but decided that it is not a number of gates, but a total number of placed instances, for example, including vias.\u00a0\n\n\u2014 \u0415\u0432\u0433\u0435\u043d\u0438\u0439 \u0421\u0442\u043e\u043b\u0431\u0438\u043a\u043e\u0432\n\n\n\n\u0441\u0440\u0435\u0434\u0430, 5 \u043c\u0430\u044f 2021 \u0433., 0:55 +0300 \u043e\u0442 ***@***.*** ***@***.***>:\n>You do not have 4k instances, you have 116,189 instances. There are 127 instances of crc32_table * 883 std cell per instace = 112,141 instances plus some 4k top level std cells.\n>Resizer will add some buffers too. Increasing the die area increases the fill cell count so you get to 809,675 instances by the time detailed routing starts with 126k nets to route.\n>For this scenario the memory usage seems reasonable.\n>\u2014\n>You are receiving this because you authored the thread.\n>Reply to this email directly, view it on GitHub , or unsubscribe ."}, {"assistant": "We print the number at various points in the flow but you can always do:\r\n\r\nset blk [ord::get_db_block]\r\nputs \"Num insts = [llen [$blk getInsts]]\"\r\n"}, {"user": "\nThank you. And what are the \u2018instances\u2019 in your case? Are they a standard cells only or another topology figures too?\n\n\u2014 \u0415\u0432\u0433\u0435\u043d\u0438\u0439 \u0421\u0442\u043e\u043b\u0431\u0438\u043a\u043e\u0432\n\n\n\n\u0441\u0440\u0435\u0434\u0430, 5 \u043c\u0430\u044f 2021 \u0433., 1:30 +0300 \u043e\u0442 ***@***.*** ***@***.***>:\n>We print the number at various points in the flow but you can always do:\n>set blk [ord::get_db_block]\n>puts \"Num insts = [llen [$blk getInsts]]\"\n>\u2014\n>You are receiving this because you authored the thread.\n>Reply to this email directly, view it on GitHub , or unsubscribe ."}, {"assistant": "placeable instances - standard cells or macros or fill cells. Basically anything that would appears in the COMPONENTS section in DEF."}]} +{"num": 629, "messages": [{"user": "Operating System: Ubuntu 20.04\r\n\r\nWhen I run\r\n```\r\n./etc/DependencyInstaller.sh -dev\r\n```\r\nI got the following message:\r\n```\r\n--2021-05-06 10:21:44-- https://dl.bintray.com/boostorg/release/1.72.0/source/boost_1_72_0.tar.gz\r\nResolving dl.bintray.com (dl.bintray.com)... 34.213.218.48, 52.12.180.214, 52.40.49.72, ...\r\nConnecting to dl.bintray.com (dl.bintray.com)|34.213.218.48|:443... connected.\r\nHTTP request sent, awaiting response... 403 Forbidden\r\n2021-05-06 10:21:45 ERROR 403: Forbidden.\r\n```\r\nIt seems that this website is down.\r\n\r\nAfter I run \r\n```\r\ncmake ..\r\n```\r\n\r\nI got the following message\r\n```\r\n-- OpenROAD version: 1\r\n-- OpenROAD git sha: fca18d9c7f54f67a79d05c7958def4068b0255db\r\n-- System name: Linux\r\n-- Compiler: GNU 9.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\nCMake Error at /usr/local/share/cmake-3.14/Modules/FindBoost.cmake:2132 (message):\r\n Unable to find the requested Boost libraries.\r\n\r\n Unable to find the Boost header files. Please set BOOST_ROOT to the root\r\n directory containing Boost or BOOST_INCLUDEDIR to the directory containing\r\n Boost's headers.\r\nCall Stack (most recent call first):\r\n src/CMakeLists.txt:71 (find_package)\r\n\r\n\r\nCMake Error at src/CMakeLists.txt:109 (find_package):\r\n By not providing \"Findspdlog.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"spdlog\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"spdlog\" with any\r\n of the following names:\r\n\r\n spdlogConfig.cmake\r\n spdlog-config.cmake\r\n\r\n Add the installation prefix of \"spdlog\" to CMAKE_PREFIX_PATH or set\r\n \"spdlog_DIR\" to a directory containing one of the above files. If \"spdlog\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\nSee also \"/home/tyww/Desktop/OpenROAD/build/CMakeFiles/CMakeOutput.log\".\r\n```\r\nIs there a way to properly install all the dependencies? Thank you."}, {"assistant": "You have an old version, this is already fixed, see\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/2d4e8c5d8d3422dfb8888013e7a088b2a445df03/etc/DependencyInstaller.sh#L39"}, {"user": "Thank you for your response!\r\n\r\nAfter I tried the new version, I still cannot install the boost library.\r\n\r\n```\r\n./bootstrap.sh: 1: ./tools/build/src/engine/build.sh: not found\r\nBuilding Boost.Build engine with toolset ... \r\nFailed to build Boost.Build build engine\r\nConsult 'bootstrap.log' for more details\r\n```\r\n\r\nIt turns out that the `./tool/build` is an empty directory. I tried to fix this problem by downloading the `build` directory and rebuild the boost. Then I found that many of the other directories for building the boost is empty.\r\n\r\nI wonder what should I do with this problem? Thank you!"}, {"assistant": "We build our docker containers this way so I am not sure what is different in your setup. @vvbandeira any thoughts on this? "}, {"assistant": "Maybe this is due to some garbage from previous runs or an issue with permission. You will need to have root privilege as we install some bins on `/usr/` and run apt/yum commands.\r\n\r\n@Jenny-Tan can you reproduce your issue from a new, clean clone?\r\n```\r\ngit clone https://github.com/The-OpenROAD-Project/OpenROAD\r\ncd OpenROAD\r\nsudo ./etc/DependencyInstaller.sh -dev \r\n```"}, {"user": "I got the following error when running ``sudo ./etc/DependencyInstaller.sh -dev``\r\n```Installation complete\r\n./etc/DependencyInstaller.sh: line 41: cd: boost-1.72.0: Not a directory\r\n```\r\nThen when I run ``cmake ..`` I got\r\n```\r\n-- The CXX compiler identification is GNU 9.3.0\r\n-- Check for working CXX compiler: /usr/bin/c++\r\n-- Check for working CXX compiler: /usr/bin/c++ -- works\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: 1\r\n-- OpenROAD git sha: 130274741ed9d0731cb08828665e8c722a6af630\r\n-- System name: Linux\r\n-- Compiler: GNU 9.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.0.1\", minimum required is \"3.0\") \r\nCMake Error at /usr/local/share/cmake-3.14/Modules/FindBoost.cmake:2132 (message):\r\n Unable to find the requested Boost libraries.\r\n\r\n Unable to find the Boost header files. Please set BOOST_ROOT to the root\r\n directory containing Boost or BOOST_INCLUDEDIR to the directory containing\r\n Boost's headers.\r\nCall Stack (most recent call first):\r\n src/CMakeLists.txt:70 (find_package)\r\n\r\n\r\n-- Found Python3: /usr/lib/x86_64-linux-gnu/libpython3.8.so (found version \"3.8.5\") found components: Development \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\nCMake Error at src/CMakeLists.txt:107 (find_package):\r\n By not providing \"Findspdlog.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"spdlog\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"spdlog\" with any\r\n of the following names:\r\n\r\n spdlogConfig.cmake\r\n spdlog-config.cmake\r\n\r\n Add the installation prefix of \"spdlog\" to CMAKE_PREFIX_PATH or set\r\n \"spdlog_DIR\" to a directory containing one of the above files. If \"spdlog\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\n\r\n```\r\nNot sure what should I do with it."}, {"assistant": "Clearly boost didn't get installed so that's why cmake fails. \r\n\r\nI tried the steps manually and I see something is odd.\r\n % wget https://github.com/boostorg/boost/archive/refs/tags/boost-1.72.0.tar.gz -o boost-1.72.0\r\n % tar -xf boost-1.72.0.tar.gz\r\n % ls\r\nboost-1.72.0 boost-1.72.0.tar.gz **boost-boost-1.72.0/**\r\n\r\nThe subdirectory is boost-boost-1.72.0 not boost-1.72.0 which is why cd fails. @vvbandeira any ideas what has changed? I assume you ran this to build the docker image."}, {"assistant": "I think this could be related to the recent change to where we download the tar file. I will take a look."}, {"assistant": "@Jenny-Tan can you try again with latest master branch? I just merged a possible fix with #676"}, {"user": "I just did it. The boost library is now found but there are still errors.\r\n```\r\n-- The CXX compiler identification is GNU 9.3.0\r\n-- Check for working CXX compiler: /usr/bin/c++\r\n-- Check for working CXX compiler: /usr/bin/c++ -- works\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: 1\r\n-- OpenROAD git sha: 223c78e86234fd3671c600c87187632ca1cf83a7\r\n-- System name: Linux\r\n-- Compiler: GNU 9.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.0.1\", minimum required is \"3.0\") \r\n-- Boost found.\r\n-- Found Python3: /usr/lib/x86_64-linux-gnu/libpython3.8.so (found version \"3.8.5\") found components: Development \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\nCMake Error at src/CMakeLists.txt:107 (find_package):\r\n By not providing \"Findspdlog.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"spdlog\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"spdlog\" with any\r\n of the following names:\r\n\r\n spdlogConfig.cmake\r\n spdlog-config.cmake\r\n\r\n Add the installation prefix of \"spdlog\" to CMAKE_PREFIX_PATH or set\r\n \"spdlog_DIR\" to a directory containing one of the above files. If \"spdlog\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\n```\r\nShould I manually install spdlog?"}, {"assistant": "Yes try it manually. In general it would be helpful to have a log of when you ran DependencyInstaller.sh so we could see what happened."}, {"assistant": "@Jenny-Tan were you able to install and build? If so, please close the issue."}, {"assistant": "Closing due to inactivity, also the initial issue was fixed."}]} +{"num": 632, "messages": [{"user": "### Install dependencies\r\n`sudo etc/DependencyInstaller.sh -dev` to install dependencies.\r\nThere occur some errors maybe because I downloaded dependencies manually several versions ago according to error messages and Dockerfile line by line.\r\nThe error message is:\r\n```\r\nepel-release-latest-7.noarch.rpm | 15 kB 00:00 \r\nExamining /var/tmp/yum-root-WuL8ip/epel-release-latest-7.noarch.rpm: epel-release-7-13.noarch\r\n/var/tmp/yum-root-WuL8ip/epel-release-latest-7.noarch.rpm: does not update installed package.\r\nError: Nothing to do\r\n```\r\n\r\n---\r\n### Build by hand\r\n`cmake`. Error message:\r\n```\r\n-- Looking for C++ include pthread.h\r\nCMake Error in /home/rayncc/openroad/OpenROAD/build/CMakeFiles/CMakeTmp/CMakeLists.txt:\r\n Target \"cmTC_67abb\" requires the language dialect \"CXX17\" , but CMake does\r\n not know the compile flags to use to enable it.\r\n\r\n\r\nCMake Error at /usr/local/share/cmake-3.14/Modules/CheckIncludeFileCXX.cmake:92 (try_compile):\r\n Failed to generate test project build system.\r\nCall Stack (most recent call first):\r\n /usr/local/share/cmake-3.14/Modules/FindThreads.cmake:110 (CHECK_INCLUDE_FILE_CXX)\r\n /usr/local/lib64/cmake/spdlog/spdlogConfig.cmake:4 (find_package)\r\n src/CMakeLists.txt:107 (find_package)\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\n```\r\n\r\n---\r\n### Build using support using script\r\n`$ ./etc/Build.sh`. Error message:\r\n```\r\n-- TCL readline library: /usr/lib64/libtclreadline-2.1.0.so\r\n-- Boost found.\r\nCMake Error at /usr/local/share/cmake-3.14/Modules/FindPackageHandleStandardArgs.cmake:137 (message):\r\n Could NOT find Threads (missing: Threads_FOUND)\r\nCall Stack (most recent call first):\r\n /usr/local/share/cmake-3.14/Modules/FindPackageHandleStandardArgs.cmake:378 (_FPHSA_FAILURE_MESSAGE)\r\n /usr/local/share/cmake-3.14/Modules/FindThreads.cmake:191 (FIND_PACKAGE_HANDLE_STANDARD_ARGS)\r\n /usr/local/lib64/cmake/spdlog/spdlogConfig.cmake:4 (find_package)\r\n src/CMakeLists.txt:107 (find_package)\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\n```\r\n\r\nCould anyone have some solutions for these?\r\nThanks."}, {"assistant": "What OS are you on? On CentOS you may need\r\n\r\nsource scl_source enable devtoolset-8\r\nsource scl_source enable llvm-toolset-7.0\r\n\r\nto get the right compiler versions."}, {"user": "Yes, Centos 7. I will try soon."}, {"user": "In which step I should do these \"source\" and in which directory?\r\nI guess I should do this just before \"cmake\" to get the compiler versions, right?\r\nHow to verify I \"source\" successfully?\r\nWhen I do the first \"source\", it runs without giving any message,\r\nbut when I do the second, it says: \"Can't read /etc/scl/conf/llvm-toolset-7.0, llvm-toolset-7.0 is probably not installed.\"\r\nI guess this may be because I haven't installed dependencies correctly. I will find that in dockerfile.\r\nBut do you have some idea on what's going on with my \"Install dependencies\" process? How to verify if I install dependencies correctly?\r\nThanks."}, {"assistant": "I just do it in my .bashrc so it is before cmake. In Bash you can check with:\r\n% type g++\r\ng++ is /opt/rh/devtoolset-8/root/usr/bin/g++\r\n% type clang++\r\nclang++ is /opt/rh/llvm-toolset-7.0/root/usr/bin/clang++\r\n\r\nI think \"Error: Nothing to do\" is a mostly likely just a dumb message saying it is already installed. I don't really see that as an error."}, {"user": "Thanks.\r\nI check g++ and clang++ versions and they are correct.\r\nTo solve the llvm problem, I find it in the denpencyInstaller.sh.\r\nI run the whole \"_installCentosDev() {\" part manually.\r\nAnd then I run \"`$ cmake`\" or `$ ./etc/Build.sh` again.\r\nThe \"compile flags\" problem has been gone, but they are both stuck at\r\n```\r\nCMake Error at /usr/local/share/cmake-3.14/Modules/FindPackageHandleStandardArgs.cmake:137 (message):\r\n Could NOT find Threads (missing: Threads_FOUND)\r\n```\r\nwhich is the same as the result of `$ ./etc/Build.sh` I ran before.\r\nWhat does \"missing threads\" mean?"}, {"assistant": "Do you have /usr/include/pthread.h ?"}, {"user": "Yes. I can see it."}, {"assistant": "I looked at FindThreads.cmake and it tries to test compile:\r\n\r\n#include \r\n\r\nstatic void* test_func(void* data)\r\n{\r\n return data;\r\n}\r\n\r\nint main(void)\r\n{\r\n pthread_t thread;\r\n pthread_create(&thread, NULL, test_func, NULL);\r\n pthread_detach(thread);\r\n pthread_cancel(thread);\r\n pthread_join(thread, NULL);\r\n pthread_atfork(NULL, NULL, NULL);\r\n pthread_exit(NULL);\r\n\r\n return 0;\r\n}\r\n\r\n\r\nCan you try that with your compiler and see what message you get? You'll need to use -pthread when you compile & link"}, {"user": "I am just installing centos7 as dual boot in my laptop. I am not familiar with how to use compiler to compile the code.\r\nCould you provide some guidance to do this?\r\nI guess I need to do something like '/c++ '?\r\nWhat compiler should I use?"}, {"assistant": "I put the above in bar.cc and ran:\r\n\r\ng++ -o bar -pthread bar.cc "}, {"user": "It produces nothing in output.\r\n[![compile-pthread-test.png](https://i.postimg.cc/3rcXRFCW/compile-pthread-test.png)](https://postimg.cc/V5XrZMhc)"}, {"assistant": "Then you have threads installed ok. Would you try removing tools/OpenROAD/build and rebuilding with the correct compiler set. Maybe there is some stale data."}, {"user": "The build script can run to the end now. It says:\r\n[100%] Built target trTest\r\nBut at the beginning, among lines of \"detecting ...\", \"found ...\", there is a line:\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\nDoes it matter?"}, {"assistant": "No, vtune is optional and can be ignored for your purposes (it is for runtime profiling). I think you have a good build :)"}, {"user": "Thanks."}, {"user": "Hi @maliberty ,\r\nI want to add \r\n```\r\nsource scl_source enable devtoolset-8\r\nsource scl_source enable llvm-toolset-7.0\r\n```\r\nso that I don't need to source these compilers every time.\r\nin my bashrc, but I cannot find it in Centos 7.\r\nDo you know what's the equivalent of bashrc in Centos 7?\r\nThanks."}, {"assistant": "It .bashrc and it will be the same on every platform. I think you are missing the dot."}, {"user": "Thanks. I've got it. It's ~/.bashrc.\r\nI know the . before bashrc, but I just didn't know its location. "}]} +{"num": 633, "messages": [{"user": "Hi,\r\nWhen I try to make floorplan on my platform, ```pdn.tcl``` crashes with a weird empty array error:\r\n```\r\nbash-4.2$ openroad -no_init -exit pdn.tcl \r\nOpenROAD 1 GITDIR-NOTFOUND\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0222] Reading LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0225] Created 134 library cells\r\n[INFO ODB-0226] Finished LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0127] Reading DEF file: results/2_5_floorplan_tapcell.def\r\n[INFO ODB-0128] Design: gcd\r\n[INFO ODB-0130] Created 54 pins.\r\n[INFO ODB-0131] Created 457 components and 2057 component-terminals.\r\n[INFO ODB-0133] Created 414 nets and 1143 connections.\r\n[INFO ODB-0134] Finished DEF file: results/2_5_floorplan_tapcell.def\r\n[INFO PDN-0016] Power Delivery Network Generator: Generating PDN\r\n config: platforms/test_plat/pdn.cfg\r\n[INFO PDN-0008] Design Name is gcd\r\n[INFO PDN-0009] Reading technology data\r\n[WARNING PDN-0018] No macro grid specifications found - no straps added\r\n[INFO PDN-0011] ****** INFO ******\r\nType: stdcell, grid\r\n Stdcell Rails\r\n Layer: metal1 - width: 0.170 pitch: 2.400 offset: 0.000 \r\n Straps\r\n Layer: metal4 - width: 0.480 pitch: 56.000 offset: 2.000 \r\n Layer: metal7 - width: 1.400 pitch: 40.000 offset: 2.000 \r\n Connect: {metal1 metal4} {metal4 metal7}\r\n[INFO PDN-0012] **** END INFO ****\r\n[INFO PDN-0013] Inserting stdcell grid - grid\r\n-code 1 -level 0 -errorcode NONE -errorinfo {can't read \"stripe_locs(metal4,POWER)\": no such element in array\r\n while executing\r\n\"::odb::subtractSet $stripe_locs($layer_name,$tag) [dict get $blockages $layer_name]\"\r\n (procedure \"cut_blocked_areas\" line 12)\r\n invoked from within\r\n\"cut_blocked_areas $tag\"\r\n (procedure \"add_grid\" line 60)\r\n invoked from within\r\n\"add_grid\"\r\n (procedure \"plan_grid\" line 46)\r\n invoked from within\r\n\"plan_grid\"\r\n (procedure \"apply\" line 5)\r\n invoked from within\r\n\"apply $config\"\r\n (procedure \"pdngen::apply_pdn\" line 13)\r\n invoked from within\r\n\"pdngen::apply_pdn $config_file $verbose \"} -errorline 9\r\n[ERROR PDN-9999] Unexpected error: can't read \"stripe_locs(metal4,POWER)\": no such element in array\r\nError: pdn.tcl, 11 PDN-9999\r\n```\r\n\r\nThis looks to be coming from the fact that the power pins of the stdcell are different than \"VDD\" and \"VSS\"...\r\n\r\nTESTCASE:\r\nTo reproduce it, I created a test platform (based on the nangate45, but without any macros). In the _OK testcase, the ```pdn.tcl``` runs fine, while it crashes on the _NOK testcase. The only difference between the 2 are the power pins defined in the platform are named \"VDD_TEST\" instead of \"VDD\" (same for VSS)\r\n[20210511_OK.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/6459158/20210511_OK.zip)\r\n\r\n[20210511_NOK.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/6459160/20210511_NOK.zip)\r\n\r\nFor both testcases, I ran the command ```openroad -no_init -exit pdn.tcl ```\r\n\r\n\r\n\r\n"}, {"user": "Hi,\r\nany updates on this ?"}, {"assistant": "@Colin-Holehouse @ibrahimkhairy @osamahammad21 please update with the current status."}, {"assistant": "@quentinw91 You have to update pdn::global_connections in the pdn.cfg as well with the new names:\r\nset pdngen::global_connections {\r\n VDD_TEST {\r\n {inst_name .* pin_name VDD_TEST}\r\n }\r\n VSS_TEST {\r\n {inst_name .* pin_name VSS_TEST}\r\n }\r\n}\r\n\r\nNote: Since this is a core design you need to add the pins layer in pdngen::specify_grid\r\n\r\npdngen::specify_grid stdcell {\r\n name grid\r\n rails {\r\n metal1 {width 0.17 pitch 2.4 offset 0}\r\n }\r\n straps {\r\n metal4 {width 0.48 pitch 56.0 offset 2}\r\n metal7 {width 1.40 pitch 40.0 offset 2}\r\n }\r\n connect {{metal1 metal4} {metal4 metal7}}\r\n pins { metal7 } #power pins on metal7\r\n}"}, {"user": "Thanks, that fixed the pdngen issue.\r\nBut I now face a segmentation fault at the next step (global routing)\r\n```\r\nbash-4.2$ openroad -no_init -exit run_me.tcl \r\nOpenROAD 1 GITDIR-NOTFOUND\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0222] Reading LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0225] Created 134 library cells\r\n[INFO ODB-0226] Finished LEF file: platforms/test_plat/lef/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0127] Reading DEF file: results/2_floorplan.def\r\n[INFO ODB-0128] Design: gcd\r\n[INFO ODB-0130] Created 56 pins.\r\n[INFO ODB-0131] Created 458 components and 2061 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 916 connections.\r\n[INFO ODB-0133] Created 415 nets and 1145 connections.\r\n[INFO ODB-0134] Finished DEF file: results/2_floorplan.def\r\n[INFO GRT-0020] Min routing layer: metal2\r\n[INFO GRT-0021] Max routing layer: metal10\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[WARNING GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0224] Chose via via1_4 as default.\r\n[INFO GRT-0224] Chose via via2_8 as default.\r\n[INFO GRT-0224] Chose via via3_2 as default.\r\n[INFO GRT-0224] Chose via via4_0 as default.\r\n[INFO GRT-0224] Chose via via5_0 as default.\r\n[INFO GRT-0224] Chose via via6_0 as default.\r\n[INFO GRT-0224] Chose via via7_0 as default.\r\n[INFO GRT-0224] Chose via via8_0 as default.\r\n[INFO GRT-0224] Chose via via9_0 as default.\r\n[INFO GRT-0088] Layer metal1 Track-Pitch = 0.1400 line-2-Via Pitch: 0.1350\r\n[INFO GRT-0088] Layer metal2 Track-Pitch = 0.1900 line-2-Via Pitch: 0.1400\r\n[INFO GRT-0088] Layer metal3 Track-Pitch = 0.1400 line-2-Via Pitch: 0.1400\r\n[INFO GRT-0088] Layer metal4 Track-Pitch = 0.2800 line-2-Via Pitch: 0.2800\r\n[INFO GRT-0088] Layer metal5 Track-Pitch = 0.2800 line-2-Via Pitch: 0.2800\r\n[INFO GRT-0088] Layer metal6 Track-Pitch = 0.2800 line-2-Via Pitch: 0.2800\r\n[INFO GRT-0088] Layer metal7 Track-Pitch = 0.8000 line-2-Via Pitch: 0.8000\r\n[INFO GRT-0088] Layer metal8 Track-Pitch = 0.8000 line-2-Via Pitch: 0.8000\r\n[INFO GRT-0088] Layer metal9 Track-Pitch = 1.6000 line-2-Via Pitch: 1.6000\r\n[INFO GRT-0088] Layer metal10 Track-Pitch = 1.6000 line-2-Via Pitch: 1.6000\r\n[WARNING GRT-0039] Found pin outside die area in instance _348_.\r\n[WARNING GRT-0039] Found pin outside die area in instance _348_.\r\n...\r\n[INFO GRT-0124] Update congestion history type 4.\r\n[INFO GRT-0102] iteration 53, enlarge 23, costheight 529, threshold 0 via cost 0. \r\nlog_coef 0.43634596, healingTrigger 30 cost_step 5 L 1 cost_type 1 updatetype 4.\r\n[INFO GRT-0126] Overflow Report:\r\n[INFO GRT-0127] total Usage : 2731\r\n[INFO GRT-0128] Max H Overflow: 41\r\n[INFO GRT-0129] Max V Overflow: 29\r\n[INFO GRT-0130] Max Overflow : 41\r\n[INFO GRT-0131] Num Overflow e: 11\r\n[INFO GRT-0132] H Overflow : 55\r\n[INFO GRT-0133] V Overflow : 44\r\n[INFO GRT-0134] Final Overflow: 99\r\n\r\n[INFO GRT-0124] Update congestion history type 4.\r\n[INFO GRT-0102] iteration 54, enlarge 23, costheight 539, threshold 0 via cost 0. \r\nlog_coef 0.43634596, healingTrigger 31 cost_step 5 L 1 cost_type 1 updatetype 4.\r\n[WARNING GRT-0164] initial grid wrong y1 x1 [0 0] , net start [0 1] routelen 54.\r\n[WARNING GRT-0167] checking failed 1.\r\nSegmentation fault (core dumped)\r\n```\r\n\r\nLooks like the possibility to have IO placement (and not just core design) modified the way to setup platforms, but it is not clear to me what was changed. I was able with previous versions of OpenRoad to create a new platform by simply looking at what was done in nangate45, but this does not work anymore... Is there an example where the platform is only setup for core design ? \r\n\r\nAnyway, here is the testcase with the segmentation fault:\r\n[20210622.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/6693481/20210622.zip)\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "Please don't tack entirely new issues on to this report. Please open a new issue for global route. This issue is resolved by your reporting."}, {"user": "Hi, \r\nSorry, it was difficult for me to see if the issue that i am facing is related or not to the global connection definition. So difficult to know if it is a follow-up of the fix proposed in this one or something else. But no problem, I will open a new issue..."}]} +{"num": 634, "messages": [{"user": "Thank you for your work on this project. The antenna violations reported by `check_antennas` command are dumped in a report file. It is not clear what the syntax of that file is and how to read it.\r\n\r\nCan you please offer some documentation or guidance for that ?"}, {"user": "Hello, thank you for the response, any progress in this regard, should I close the issue? "}, {"assistant": "I know @msaligane is busy with a tapeout at the moment so it might be a bit until he responds. If you have a specific question I can try to help."}, {"assistant": "Sorry for the delay. \r\n@maliberty where should I add the doc for this?"}, {"assistant": "You can make a src/ant/doc directory to hold your module docs. Please add a link to it from the top-level README."}, {"assistant": "You never ended up committing that documentation file. We're kind of blocked by this. Any ETA?"}, {"assistant": "@msaligane please give an eta"}, {"assistant": "I will work on this tonight. Apologies I have been busy with the tapeout and other misc. things."}, {"assistant": "@ahmednofal can you be more specific on what is unclear on the report? I can maybe add some explanations if the report is unclear.\r\n"}, {"assistant": "How to interpret the report at all. There are many symbols and abbreviations that do not appear to be standard. All the report can successfully tell us now is that there are violations, the data without context is not particularly useful."}, {"assistant": "Mehdi is working on a document but I suggest you look at the lef/def manual as it is the basis for all the checking and has a lot of explanation of the terms."}, {"assistant": "I added some more documentation. You will need to dig a little more to understand how the ratios are calculated. I added a simple example of how it is done (step-by-step)."}]} +{"num": 643, "messages": [{"user": "After changing the extRules file from the default file `ext_pattern.rules` to `patterns.rules` (the same as existing `patterns.rulesok`) generated by `generate_rules.tcl`\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/be6a3a955857542f34bf8c46cf7cc3fde5763977/src/rcx/test/gcd.tcl#L15\r\n\r\nI got the following `gcd.log`\r\n\r\n> \r\n\r\n[INFO ODB-0222] Reading LEF file: sky130hs/sky130hs.tlef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: sky130hs/sky130hs.tlef\r\n[INFO ODB-0222] Reading LEF file: sky130hs/sky130hs_std_cell.lef\r\n[INFO ODB-0225] Created 390 library cells\r\n[INFO ODB-0226] Finished LEF file: sky130hs/sky130hs_std_cell.lef\r\n[INFO ODB-0127] Reading DEF file: gcd.def\r\n[INFO ODB-0128] Design: gcd\r\n[INFO ODB-0130] Created 54 pins.\r\n[INFO ODB-0131] Created 8171 components and 33894 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 0 connections.\r\n[INFO ODB-0133] Created 411 nets and 1210 connections.\r\n[INFO ODB-0134] Finished DEF file: gcd.def\r\nNotice 0: Split top of 102 T shapes.\r\n[INFO RCX-0033] Defined process_corner X with ext_model_index 0\r\n[INFO RCX-0029] Defined extraction corner X\r\n[INFO RCX-0008] extracting parasitics of gcd ...\r\n[INFO RCX-0035] Reading extraction model file patterns.rulesok ...\r\n[INFO RCX-0036] Database dbFactor= 1.0 dbunit= 1000\r\nERROR segmentation violation\r\n\r\n\r\nWhat is the difference between `ext_pattern.rules` and `patterns.rulesok`?\r\nHow to get an extRules file that would work for `extract_parasitics`?\r\n\r\nRunning `run_130.sh` under folder `calibration/` also leads to a segmentation fault for the same reason."}, {"assistant": "@Yang-Yihang Please make sure that you are using the latest repo version of rcx, I couldn't reproduce the error on my end.\r\nThe rcx regression test is working just fine on my end."}, {"user": "Thanks, the latest version works! I was using a version committed a month ago."}]} +{"num": 679, "messages": [{"user": "Not providing a guide file to `detailed_route` causes TritonRoute GR to run, but it errors out.\r\n\r\nOpenROAD version:\r\n```\r\nOpenROAD 1 89c42b436a0ecfdb0420b89c3bbc4425920a242c\r\n```\r\n\r\nSystem info:\r\n```\r\nDistributor ID: Ubuntu\r\nDescription: Ubuntu 20.04.2 LTS\r\nRelease: 20.04\r\nCodename: focal\r\n```\r\n\r\nBacktrace:\r\n```\r\nProgram received signal SIGSEGV, Segmentation fault.\r\n0x0000555556312d0b in std::__cxx11::list >::begin (this=0x48)\r\n at /usr/include/c++/9/bits/stl_list.h:942\r\n942 { return iterator(this->_M_impl._M_node._M_next); }\r\n\r\n#0 0x0000555556312d0b in std::__cxx11::list >::begin (this=0x48)\r\n at /usr/include/c++/9/bits/stl_list.h:942\r\n#1 0x0000555556306d6d in fr::FlexGR::initGR_initObj_net (this=0x7fffffffd720, net=0x55555a3888b0)\r\n at OpenROAD-flow-scripts/tools/OpenROAD/src/TritonRoute/src/gr/FlexGR.cpp:1449\r\n#2 0x0000555556306b64 in fr::FlexGR::initGR_initObj (this=0x7fffffffd720)\r\n at OpenROAD-flow-scripts/tools/OpenROAD/src/TritonRoute/src/gr/FlexGR.cpp:1427\r\n#3 0x0000555556304194 in fr::FlexGR::initGR (this=0x7fffffffd720)\r\n at OpenROAD-flow-scripts/tools/OpenROAD/src/TritonRoute/src/gr/FlexGR.cpp:967\r\n#4 0x00005555562fe28e in fr::FlexGR::main (this=0x7fffffffd720, db=0x555558adb8e8)\r\n at OpenROAD-flow-scripts/tools/OpenROAD/src/TritonRoute/src/gr/FlexGR.cpp:57\r\n#5 0x00005555562e8e15 in triton_route::TritonRoute::gr (this=0x555558a5c550)\r\n at OpenROAD-flow-scripts/tools/OpenROAD/src/TritonRoute/src/TritonRoute.cpp:198\r\n#6 0x00005555562e918f in triton_route::TritonRoute::main (this=0x555558a5c550)\r\n at OpenROAD-flow-scripts/tools/OpenROAD/src/TritonRoute/src/TritonRoute.cpp:231\r\n#7 0x00005555562fb5d4 in detailed_route_cmd (guideFile=0x7ffff7fac028 \"\", outputGuideFile=0x7ffff7fac028 \"\",\r\n outputMazeFile=0x7ffff7fac028 \"\", outputDrcFile=0x7ffff7fac028 \"\", outputCmapFile=0x7ffff7fac028 \"\",\r\n dbProcessNode=0x7ffff7fac028 \"\", drouteEndIter=-1, drouteViaInPinBottomLayerNum=-1,\r\n drouteViaInPinTopLayerNum=-1, orSeed=-1, orK=0, bottomRoutingLayer=0x7ffff7fac028 \"\",\r\n topRoutingLayer=0x7ffff7fac028 \"\", verbose=1)\r\n at OpenROAD-flow-scripts/tools/build/OpenROAD/src/TritonRoute/CMakeFiles/TritonRoute.dir/TritonRouteTCL_wrap.cxx:1744\r\n#8 0x00005555562fc6c2 in _wrap_detailed_route_cmd__SWIG_0 (clientData=0x0, interp=0x555558a978f0, objc=15,\r\n objv=0x555558b1cf80)\r\n at OpenROAD-flow-scripts/tools/build/OpenROAD/src/TritonRoute/CMakeFiles/TritonRo--\r\nute.dir/TritonRouteTCL_wrap.cxx:2038\r\n#9 0x00005555562fd0be in _wrap_detailed_route_cmd (clientData=0x0, interp=0x555558a978f0, objc=15,\r\n objv=0x555558b1cf80)\r\n at OpenROAD-flow-scripts/tools/build/OpenROAD/src/TritonRoute/CMakeFiles/TritonRoute.dir/TritonRouteTCL_wrap.cxx:2179\r\n#10 0x00007ffff7e2c5f2 in TclNRRunCallbacks () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#11 0x00007ffff7ecb694 in Tcl_RecordAndEvalObj () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#12 0x00007ffff7ef058d in Tcl_MainEx () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n#13 0x000055555566c4a4 in main (argc=1, argv=0x7fffffffe238)\r\n at OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:224\r\n```\r\n\r\ntestcase: \r\n[detail_route_gcd_nangate45_base_2021-05-25_17-19.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6542446/detail_route_gcd_nangate45_base_2021-05-25_17-19.tar.gz)\r\n"}, {"assistant": "Is there some potential benefit to the Triton Route global router, or do we think that fastroute will provide better results?\r\n\r\nI ask because I think it's potentially splitting resources by having two global routers that might be better spent on a single global router. @maliberty "}, {"assistant": "The claim from BX was that WXL is better is some ways. It shares the pin access code with TR which is one current advantage. \r\n @eder-matheus did some study but in general it has been languishing. I integrated it so that it wouldn't become impossible later as the code bases diverged. However it has no unit tests so it seems something has gone wrong. If it is easy to fix I would do so but I'm not committed to it (nor totally ready to give up either)."}, {"assistant": "You can lookup the \"TritonRoute-WXL: The Open Source Router with Integrated DRC Engine\" paper for more details."}, {"assistant": "@rovinski \r\nWith latest commit not facing any segfault.\r\n```\r\n[INFO DRT-0198] Complete detail routing.\r\nTotal wire length = 5693 um.\r\nTotal wire length on LAYER metal1 = 15 um.\r\nTotal wire length on LAYER metal2 = 2418 um.\r\nTotal wire length on LAYER metal3 = 2766 um.\r\nTotal wire length on LAYER metal4 = 463 um.\r\nTotal wire length on LAYER metal5 = 0 um.\r\nTotal wire length on LAYER metal6 = 29 um.\r\nTotal wire length on LAYER metal7 = 0 um.\r\nTotal wire length on LAYER metal8 = 0 um.\r\nTotal wire length on LAYER metal9 = 0 um.\r\nTotal wire length on LAYER metal10 = 0 um.\r\nTotal number of vias = 2616.\r\nUp-via summary (total 2616):.\r\n\r\n---------------\r\n active 0\r\n metal1 1307\r\n metal2 1242\r\n metal3 63\r\n metal4 2\r\n metal5 2\r\n metal6 0\r\n metal7 0\r\n metal8 0\r\n metal9 0\r\n---------------\r\n 2616\r\n\r\n\r\n[INFO DRT-0267] cpu time = 00:00:07, elapsed time = 00:00:05, memory = 688.79 (MB), peak = 688.79 (MB)\r\n\r\n[INFO DRT-0180] Post processing.\r\n```\r\nCan we close this?"}, {"user": "@vijayank88 did you actually run TritonRoute GR? The issue was not with not providing a guide file (which since this issue was posted, guides are now being passed in ODB anyways), the issue was with TritonRoute GR segfaulting which is what happens when DRT is invoked and no guides exist.\r\n\r\nIf we want to just mark GR as \"won't fix\" and close the issue, that's fine too. But the code still exists in the repository and is still callable AFAICT."}]} +{"num": 685, "messages": [{"user": "According to @mithro this project has official Python SWIG support now, but there appears to be no documentation for it and/or any way to build and use it. Can someone in the know weigh in?"}, {"assistant": "We are deprecating standalone opendb's python executable in favor of \"openroad -python\". The later has the same database apis as opendb but it doesn't support all the same commands as the tcl interface to openroad does."}, {"user": "Ah, I have no interest in the executable per se. The app I'm building (https://github.com/cloud-v/dffram) uses a Python API, i.e.:\r\n\r\n```python3\r\nimport opendbpy as odb\r\n\r\ndb = odb.dbDatabase.create()\r\ndb = odb.read_lef(db, \"/path/to/lef\")\r\ndb = odb.read_lef(db, \"/path/to/tlef\")\r\n```\r\n\r\nIs there a way to make post-standalone OpenDB OpenROAD behave similarly? I have an odd Frankenstein I'm maintaining that couples some of the old standalone OpenDB code to achieve this."}, {"assistant": "could your python code run inside openroad?"}, {"user": "I would have to assess what running \"inside openroad\" entails first (any pointer to documentation for that would be appreciated) but I am not opposed to the idea."}, {"assistant": "Unfortunately there isn't any documentation yet (nor was there any for opendb python). Basically it would replace your python interpreter so openroad -python ... instead of python ....\r\n\r\nWe could also look at exporting just a python library like we used to for loading into a standard interpreter if needed."}, {"user": "Let me toy around with `openroad -python` and report back, then."}, {"assistant": "It's not too bad @donn I have the import module working in bazel. You basically get a .so and a .py out of the make file swig module in src/OpenDB. You then read in that .py file in the normal way, and it will attempt to dynamically link that .so file in the same directory it exists in."}, {"user": "@QuantamHD Mind sharing your build commands?"}, {"user": "NVM- Cmake worked fine if you just \"import opendb\". I'm gonna test if this works overall\u2026 Just that there's some Python 3.8 syntax that needs to be unexisted in my project first."}, {"user": "Alright, that appears to have worked fairly simply: no need to mess with shared objects or anything, just `openroad -python` suffices. You can then just `import opendb` and everything works as Opendbpy previously did.\r\n\r\nThough another gotcha is the locale is set to ascii for some reason so you'd need to set these environment flags: `-e LC_ALL=en_US.UTF-8 -e LANG=en_US.UTF-8`.\r\n\r\nBut overall, yeah. I can probably put https://github.com/Cloud-V/Opendbpy to rest now. Thanks y'all"}, {"assistant": "@donn can we consider this issue closed? I'll make another issue to update the documentation on the openroad -python command."}, {"user": "Yeah, that'll be it."}]} +{"num": 686, "messages": [{"user": "Hi -\r\n\r\nI am using Ubuntu 20.04 in WSL (Windows Subsystem for Linux). I am trying to install OpenROAD using clone and build and getting error. \r\n\r\n[https://openroad.readthedocs.io/en/latest/user/GettingStarted.html](url)\r\n\r\n$ git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow\r\n$ cd OpenROAD-flow\r\n$ ./build_openroad.sh --local\r\n\r\nI have installed all the install dependencies tools and libraries given here [https://github.com/The-OpenROAD-Project/OpenROAD](url)\r\n\r\nGetting the error. See image -\r\n\r\n![error](https://user-images.githubusercontent.com/84976778/119995872-b03dec00-bfeb-11eb-85ea-eeb36f45192c.jpg)\r\n\r\n\r\nPlease help. \r\n\r\nThanks,\r\nNishita "}, {"assistant": "I think the docs need a bit of updating. Please run etc/DependencyInstaller.sh -dev which should get you the needed Qt library."}, {"assistant": "To add some more context I believe the issue is related to this question https://askubuntu.com/questions/1034313/ubuntu-18-4-libqt5core-so-5-cannot-open-shared-object-file-no-such-file-or-dir\r\n\r\nWith the solution being to run the strip command `sudo strip --remove-section=.note.ABI-tag /usr/lib64/libQt5Core.so.5`\r\n\r\nWhich if you follow @maliberty's advice will be run as part of etc/DependencyInstaller.sh -dev\r\n\r\n https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/etc/DependencyInstaller.sh#L114"}, {"user": "> I think the docs need a bit of updating. Please run etc/DependencyInstaller.sh -dev which should get you the needed Qt library.\r\n\r\nHey I'm getting this message after executing - \r\n\r\n-bash: etc/DependencyInstaller.sh: No such file or directory"}, {"assistant": "Are you running it in your OpenROAD directory? You might try ./etc/DependencyInstaller.sh in case you don't have the current directory in your PATH."}, {"user": "> Are you running it in your OpenROAD directory? You might try ./etc/DependencyInstaller.sh in case you don't have the current directory in your PATH.\r\n\r\nPlease check the images. \r\n\r\n![error2](https://user-images.githubusercontent.com/84976778/120057706-cc30a480-c062-11eb-851e-7cfb2ddf5aa9.jpg)\r\n\r\n\r\n![error3](https://user-images.githubusercontent.com/84976778/120057894-9391ca80-c064-11eb-9034-ba1198d8937f.jpg)\r\n"}, {"assistant": "You need to cd into tools/OpenROAD "}, {"user": "There is no such directory named tools/OpenROAD"}, {"assistant": "Then you forgot to do 'git submodule update --init --recursive'"}, {"user": "> Then you forgot to do 'git submodule update --init --recursive'\r\n\r\nYes I had forgotten but even after executing this I'm getting the same error. Kindly help. \r\n\r\n![error4](https://user-images.githubusercontent.com/84976778/120078195-d9ce4480-c0cb-11eb-8d27-530c1d24722b.jpg)\r\n"}, {"assistant": "You need to run as root, you can use sudo"}, {"user": "Thank you so much! OpenROAD has been installed successfully. You've been a great help. Thanks again."}, {"assistant": "Glag you succeeded."}]} +{"num": 688, "messages": [{"user": "In issue #685 a user asked about the python support in OpenROAD. Since OpenROAD put in the effort to enable this feature we should publicize it in the documentation and explain its current limitations. "}, {"assistant": "@osamahammad21 would you take care of this? We just need a short entry in the docs about it and the limitations (ie only opendb apis are present)"}]} +{"num": 690, "messages": [{"user": "While updating OpenLane to use a relatively recent OR commit, I was met with this:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/b762efa78105daa31935d6d18345f86680a91543/src/dpl/src/Opendp.cpp#L132\r\n\r\nThere's been a patch in the OpenLane repo forever that allows this number to be provided as an optional input. but naturally the codebase has changed significantly since then. Is there any reason why this hasn't been made an input by now? Should I open a PR?"}, {"assistant": "This is my non-authoratative opinion.\r\n\r\nI think a PR here would be nice with the caveat that options in general are counter to the projects goals.\r\n\r\nOpenROAD's goal is to simplify the IC design process with full automation. The existing EDA tools are completely overrun with options that make it extremely difficult to get a useable result out of them.\r\n\r\nI think a PR to add this as an option would be best if it also included code that could automatically set it based on the design.\r\n\r\nThe goal in the long run needs to be something like this\r\n\r\n`openroad --platform=sky130 design.v -o design.gds`"}, {"assistant": "Please explain why it needs to be an option? Is there a specific issue that can't be resolved without it? We did make a change to handle instances placed on macros automatically which was the only reason for needing to increase the limit that I know of."}, {"user": "Then I believe that settles that. Apologies, I'm just taking a deep dive into OpenLane's OpenROAD scripts and I'm trying to make sense of some of the inconsistencies."}]} +{"num": 691, "messages": [{"user": "Potential stupid quesstion incoming:\r\n\r\n```\r\nOpenROAD 1 b762efa78105daa31935d6d18345f86680a91543\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /openLANE_flow/designs/inverter/runs/openlane_test/tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 440 library cells\r\n[INFO ODB-0226] Finished LEF file: /openLANE_flow/designs/inverter/runs/openlane_test/tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: /openLANE_flow/designs/inverter/runs/openlane_test/tmp/floorplan/6-pdn.def\r\n[INFO ODB-0128] Design: inverter\r\n[INFO ODB-0130] Created 2 pins.\r\n[INFO ODB-0131] Created 32 components and 116 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 0 connections.\r\n[INFO ODB-0133] Created 2 nets and 2 connections.\r\n[INFO ODB-0134] Finished DEF file: /openLANE_flow/designs/inverter/runs/openlane_test/tmp/floorplan/6-pdn.def\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 460 2720\r\n[INFO GPL-0004] CoreAreaLxLy: 5520 10880\r\n[INFO GPL-0005] CoreAreaUxUy: 28520 43520\r\n[INFO GPL-0006] NumInstances: 32\r\n[INFO GPL-0007] NumPlaceInstances: 1\r\n[INFO GPL-0008] NumFixedInstances: 31\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 2\r\n[INFO GPL-0011] NumPins: 4\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 34165 54885\r\n[INFO GPL-0014] CoreAreaLxLy: 5520 10880\r\n[INFO GPL-0015] CoreAreaUxUy: 28520 43520\r\n[INFO GPL-0016] CoreArea: 750720000\r\n[INFO GPL-0017] NonPlaceInstsArea: 98844800\r\n[INFO GPL-0018] PlaceInstsArea: 3753600\r\n[INFO GPL-0019] Util(%): 0.58\r\n[INFO GPL-0020] StdInstsArea: 3753600\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[INFO GPL-0031] FillerInit: NumGCells: 130\r\n[INFO GPL-0032] FillerInit: NumGNets: 2\r\n[INFO GPL-0033] FillerInit: NumGPins: 4\r\n[INFO GPL-0023] TargetDensity: 0.75\r\n[INFO GPL-0024] AveragePlaceInstArea: 3753600\r\n[INFO GPL-0025] IdealBinArea: 5004800\r\n[INFO GPL-0026] IdealBinCnt: 150\r\n[INFO GPL-0027] TotalBinArea: 750720000\r\n[INFO GPL-0028] BinCnt: 8 8\r\n[INFO GPL-0029] BinSize: 2875 4080\r\n[INFO GPL-0030] NumBins: 64\r\n[ERROR RSZ-0022] no buffers found.\r\nError: or_replace.tcl, 92 RSZ-0022\r\n```\r\nI should quite hope there are no buffers considering that there aren't any in the design, which is a smoke-test single inverter. What am I doing wrong here?"}, {"assistant": "In general we need a reproducible test case to address issues. This is usually in the form of the TCL script used to run the command and its required files.\r\n\r\nHowever, It looks like the line in question is complaining that resizer was run and it couldn't find any buffers in your cell library i.e. liberty file. \r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/ba7cb6557354a3280959e8122f184da866703be5/src/rsz/src/Resizer.cc#L404-L421"}, {"user": "Well... there simply wasn't a liberty file loaded. We didn't need one for older versions, curiously. Wonder when that changed.\r\n\r\nThanks."}]} +{"num": 695, "messages": [{"user": "Another oddity while updating the OpenROAD version on OpenLane:\r\n\r\n```\r\nOpenROAD 1 b762efa78105daa31935d6d18345f86680a91543\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 440 library cells\r\n[INFO ODB-0226] Finished LEF file: merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: inverter.floorplan.def\r\n[INFO ODB-0128] Design: inverter\r\n[INFO ODB-0130] Created 2 pins.\r\n[INFO ODB-0131] Created 32 components and 116 component-terminals.\r\n[INFO ODB-0133] Created 2 nets and 2 connections.\r\n[INFO ODB-0134] Finished DEF file: inverter.floorplan.def\r\n[INFO PDN-0016] Power Delivery Network Generator: Generating PDN\r\n config: common_pdn.tcl\r\n[INFO PDN-0008] Design Name is inverter\r\n[INFO PDN-0009] Reading technology data\r\n[INFO PDN-0011] ****** INFO ******\r\nType: stdcell, grid\r\n Stdcell Rails\r\n Layer: met1 - width: 0.480 pitch: 2.720 offset: 0.000 \r\n Straps\r\n Layer: met4 - width: 1.600 pitch: 7.708 offset: 3.854 \r\n Layer: met5 - width: 1.600 pitch: 11.042 offset: 5.521 \r\n Connect: {met4 met5} {met1 met4}\r\nType: macro, macro_1\r\n Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90\r\n Straps\r\n Connect: {met4_PIN_ver met5}\r\n[INFO PDN-0012] **** END INFO ****\r\n[INFO PDN-0013] Inserting stdcell grid - grid\r\n[INFO PDN-0015] Writing to database\r\n-code 1 -level 0 -errorcode NONE -errorinfo {key \"VPWR\" not known in dictionary\r\n while executing\r\n\"dict get $global_connections $net_name\"\r\n (procedure \"get_valid_mterms\" line 5)\r\n invoked from within\r\n\"get_valid_mterms $net_name\"\r\n (procedure \"get_global_connect_list_default\" line 8)\r\n invoked from within\r\n\"get_global_connect_list_default [dict get $design_data core_domain] false\"\r\n (procedure \"export_opendb_global_connection\" line 8)\r\n invoked from within\r\n\"export_opendb_global_connection\"\r\n (procedure \"export_opendb_specialnets\" line 13)\r\n invoked from within\r\n\"export_opendb_specialnets\"\r\n (procedure \"opendb_update_grid\" line 4)\r\n invoked from within\r\n\"opendb_update_grid\"\r\n (procedure \"apply\" line 7)\r\n invoked from within\r\n\"apply $config\"\r\n (procedure \"pdngen::apply_pdn\" line 13)\r\n invoked from within\r\n\"pdngen::apply_pdn $config_file $verbose \"} -errorline 9\r\n[ERROR PDN-9999] Unexpected error: key \"VPWR\" not known in dictionary\r\n```\r\n\r\nHere are the relevant files. Please note that they're tarred, xzipped and gzipped, in that order, to be uploadable to GitHub (Simple .gz compression does a horrendous job of compressing the liberty file and .xz files cannot be uploaded to GitHub.)\r\n\r\n[packaged.tar.xz.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6574665/packaged.tar.xz.gz)\r\n"}, {"assistant": "This seems similar to #633. It seems there is some kind of naming issue with the pdn config."}, {"user": "Any ETA on a fix? I'm blocked on this."}, {"assistant": "@maliberty depends on [OR-1015](https://openroad.atlassian.net/browse/OR-1015?atlOrigin=eyJpIjoiZDExMmI5M2Q5MTYzNDliYjg1NzVjMjk0ZTc2NjEwMmQiLCJwIjoiaiJ9)"}, {"user": "Ah, met with the JIRA blackhole. Welp. Is there any workaround at least? Problem is when I just tried renaming the pins to VDD and VSS other facilities appeared to break."}, {"assistant": "Jira summary: the suggested solution leads to a crash so there is no solution or workaround yet."}, {"user": "Heck. Well, keep me apprised."}, {"assistant": "Fwiw this was the suggestion:\r\n![image](https://user-images.githubusercontent.com/761514/121413849-f3b52600-c91a-11eb-8eb6-7319377064d6.png)\r\n"}, {"user": "Eh, I'll give it a shot. Can't hurt to see the crash for myself."}, {"assistant": "@ibrahimkhairy can you help with this?"}, {"assistant": "OK, I'll have a look "}, {"assistant": "@donn power pins are generated now as instructed in [the comment](https://github.com/The-OpenROAD-Project/OpenROAD/issues/695#issuecomment-858010283) . Also you will need to update pdngen::global_connections with the power and ground names. For openlane, just set FP_PDN_ENABLE_GLOBAL_CONNECTIONS to 1"}, {"user": "Will try & report"}, {"user": "Oh yeah this is fixed now. Thanks for the help."}]} +{"num": 700, "messages": [{"user": "Hi -\r\n\r\nI have installed all the pre-requisites mentioned here [https://github.com/The-OpenROAD-Project/PDNSim](url) but I am unable to build it. Could you please let me know how do I solve this error?\r\n\r\n![PDNerror1](https://user-images.githubusercontent.com/84976778/120447714-7cc2df00-c3a8-11eb-96b8-51f84a02a538.jpg)\r\n\r\nThanks.\r\n"}, {"assistant": "The standalone repo is archived as pdnsim has been integrated to openroad. I suggest just using openroad but if you required the standalone version then @VidyaChhabria is the author and could help."}, {"user": "Okay, thanks!"}, {"assistant": "I would agree with @maliberty here. The latest of pdnsim is integrated into OpenROAD and the standalone is not being developed anymore so I suggest using openroad. The related commands for PDNSim can be found at the far end of the [README ](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/README.md) and a simple test to run PDNSim is [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/psm/test/gcd_no_vsrc.tcl) after you have an openroad binary. "}]} +{"num": 702, "messages": [{"user": "hello, I am using centos 8 for openroad and facing error will using command openroad - gui\r\n\r\nbash-4.2$ openroad -gui\r\nQStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-'\r\nqt.qpa.screen: QXcbConnection: Could not connect to display \r\nCould not connect to any X display.\r\n"}, {"assistant": "Can you run any X application? It sounds like you don't have DISPLAY set."}, {"user": "> Can you run any X application? It sounds like you don't have DISPLAY set.\n\nyeah i am able to open x application.\ni was running waylant before but now i have switched to x11 server in which i am able to open x application like klayout but openroad is not able to connect to x server\n\ni can see x server running by systemctl "}, {"assistant": "What platform are you on?"}, {"user": "> What platform are you on?\nCentos 8.3 aarch64"}, {"assistant": "Are you running openroad in docker?\r\n\r\nIf so running this command might fix it `xhost +local:docker`"}, {"assistant": "I don't have access to an arm based machine to test. I'm guessing you need to set QT_QPA_PLATFORM but I'm not certain what the right setting would be. See https://doc.qt.io/qt-5/embedded-linux.html It looks like it is try xcb. It looks like wayland is supported too: https://www.qt.io/blog/2018/05/29/whats-new-in-qt-5-11-for-the-wayland-platform-plugin"}, {"user": "> Are you running openroad in docker?\n> \n> If so running this command might fix it `xhost +local:docker`\n\nyes i am running operoad in docker\n\nSo i have run this command in docker(in bash)?"}, {"assistant": "You need to run that command in the host session, so outside docker in bash."}, {"assistant": "https://hdl.github.io/containers/#_tools_with_gui"}, {"assistant": "The page at https://hdl.github.io/containers/#_tools_with_gui has a lot of information about running GUI apps in containers."}, {"user": "> Are you running openroad in docker?\r\n> \r\n> If so running this command might fix it `xhost +local:docker`\r\n\r\n$ xhost +local:docker\r\nnon-network local connections being added to access control list\r\n\r\nafter this i again tried to run command openroad -gui but same problem occurred again\r\n\r\nbash-4.2$ openroad -gui\r\nQStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-'\r\nqt.qpa.screen: QXcbConnection: Could not connect to display \r\nCould not connect to any X display."}, {"assistant": "As a quick experiment try \"xhost +\" which will disable all access controls and determine whether this is the issue or not. 'xhost -' will restrict access again."}, {"assistant": "Hi, I was able to get gui applications working inside docker with\r\n```\r\nxhost +local:docker\r\ndocker run -v /tmp/.X11-unix:/tmp/.X11-unix -e DISPLAY=unix${DISPLAY} [...]\r\n```\r\nI used a VNC session with Centos7, but should work for others"}]} +{"num": 703, "messages": [{"user": "I'm trying to create a layout using openlane, and I ran into this error that says \r\n\r\n```\r\nRePlAce diverged at initial iteration.\r\nPlease tune the parameters again\r\nError: or_replace.tcl, 94 GPL-0304`\r\n```\r\n\r\nI took a look at the RePlAce repo, but I wasn't able to find this error there: https://github.com/The-OpenROAD-Project/RePlAce\r\n\r\nThe design is quite small as it's just the digital part of an ADC. I tried formatting the config.tcl file appropriately for a small layout based on this wiki: https://github.com/The-OpenROAD-Project/OpenLane/wiki#how-to-add-a-small-design . I've successfully used config.tcl files for a small design before, but perhaps there something I'm missing here.\r\n\r\nI also tried changing some parameters like this:\r\n* setting `INIT_DENSITY_PENALTY` to the minimum value 1e-6, but the error still persists\r\n* setting `PL_SKIP_INITIAL_PLACEMENT` to 1 (instead of the default 0)\r\n\r\nHere's the verilog (written by my teammate Jonah) for reference. It compiles without errors:\r\n```\r\nmodule digADC(\r\n\r\ninput clk, // input clock from crystal\r\ninput Vtripped, //ADC control signal, Vout > 0.9 V\r\noutput reg intc, // Controls integrator direction, 0 for sampling, 1 for measuring\r\noutput reg[6:0] val1, // 7 bit output value\r\noutput reg[6:0] val2, // 7 bit output value\r\noutput reg source, // Swaps input source\r\noutput reg sample); //Pulses to allow capacitor to sample sensor input\r\n\r\nreg [7:0] counter;\r\nreg s1, s2;\r\n\r\n\r\ninitial begin //Everything should be 0\r\n counter <= 8'd0;\r\n val1 <= 7'd0;\r\n val2 <= 7'd0;\r\n source <= 1'b0;\r\n s1 <= 0;\r\n s2 <= 0;\r\n sample <= 0;\r\nend\r\n\r\n\r\nalways @(*) begin\r\n intc <= counter[7]; //intc is always just 8th bit of the counter\r\n\r\nend\r\n\r\nalways @(posedge Vtripped) begin\r\n val1 <= source ? counter[6:0] : val1;\r\n val2 <= source ? val2: counter[6:0];\r\n counter <= 8'd0;\r\n\r\nend\r\n\r\nalways @(posedge intc) begin\r\n source <= ~source; //Swap source select before its important (but after we use it for assigning)\r\n sample <= intc && !s1; // Gets pulse on rising edge of Vtripped\r\nend\r\n\r\nalways @(posedge clk) begin\r\n counter <= Vtripped ? 8'd0 : counter + 8'd1;\r\n s1 <= intc;\r\n s2 <= sample;\r\n sample <= (sample && s2) ? 0 : sample;\r\nend\r\nendmodule\r\n```\r\n\r\nAnd here's the config.tcl file\r\n```\r\n# User config\r\nset ::env(DESIGN_NAME) digADC\r\n\r\n# Change if needed\r\nset ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n\r\n# Fill this\r\nset ::env(CLOCK_PERIOD) \"32767\"\r\nset ::env(CLOCK_PORT) \"clk\"\r\nset ::env(CLOCK_NET) $::env(CLOCK_PORT)\r\n\r\nset ::env(FP_CORE_UTIL) 5\r\nset ::env(PL_TARGET_DENSITY) 0.5\r\n\r\n# set ::env(INIT_DENSITY_PENALTY) 1e-6\r\n# set ::env(PL_SKIP_INITIAL_PLACEMENT) 1\r\n\r\nset filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl\r\nif { [file exists $filename] == 1} {\r\n\tsource $filename\r\n}\r\n```\r\nAny advice would be appreciated. Thank you!\r\n"}, {"assistant": "It would be helpful if you could package this as a standalone test case (eg without source $filename that isn't included)."}, {"user": "Oh sorry about that! Should I upload the openlane design src folder? "}, {"assistant": "I don't know the best way to package a test case from OpenLane. @donn is there a mechanism like 'make issue' in OpenRoad-flow-scripts that produces a standalone tgz with all relevant files?"}, {"user": "I'm happy to write a test script or package this however is helpful, just not sure how to do this. Thanks for pointing me to Donn!"}, {"assistant": "The ideal is a tgz that when unpacked you can just run \"openroad bug.tcl\" and the problem is reproduced without needing to have anything more than a working openroad in your path."}, {"assistant": "The smallest/fastest reproducer is also desirable."}, {"assistant": "There is no official mechanism but I've been contending with this script here. It works mostly OK.\r\n\r\n```rb\r\n#!/usr/bin/env ruby\r\n\r\n# Copyright 2020 Efabless Corporation\r\n#\r\n# Licensed under the Apache License, Version 2.0 (the \"License\");\r\n# you may not use this file except in compliance with the License.\r\n# You may obtain a copy of the License at\r\n#\r\n# http://www.apache.org/licenses/LICENSE-2.0\r\n#\r\n# Unless required by applicable law or agreed to in writing, software\r\n# distributed under the License is distributed on an \"AS IS\" BASIS,\r\n# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\r\n# See the License for the specific language governing permissions and\r\n# limitations under the License.\r\n\r\n# This script creates a reproducible, self-contained package of files to demonstrate\r\n# OpenROAD behavior in a vaccum, suitable for filing issues.\r\n#\r\n# The result is a tarred, xzipped and gzipped tarball for minimal size while\r\n# maintaining GitHub uploadability.\r\n\r\nrequire 'fileutils'\r\n\r\nrun_path = \"./designs/inverter/runs/openlane_test\"\r\nor_script = \"./scripts/openroad/or_pdn.tcl\"\r\ntarball = true\r\n\r\n# --\r\nrun_name = File.basename(run_path)\r\nor_script_basename = File.basename(or_script)\r\n\r\n# Read Envs\r\nenv = {}\r\nrx = /\\s*set\\s*(::env\\(\\w+\\))\\s*(.+)/\r\nload_env = File.read(File.join(run_path, \"config.tcl\")) + \"\\n\" + File.read(\"/usr/local/pdk/sky130A/libs.tech/openlane/config.tcl\")\r\nfor line in load_env.split(\"\\n\")\r\n match = rx.match(line)\r\n if match.nil?\r\n next\r\n end\r\n env[\"$#{match[1]}\"] = match[2]\r\n env[\"[info exists #{match[1]}]\"] = \"1 == 1\"\r\nend\r\n\r\n# Set Up Destination\r\ndestination_folder = \"./_build/#{run_name}_#{or_script_basename}_packaged\"\r\n\r\nsystem \"rm -rf #{destination_folder}\"\r\nsystem \"mkdir -p #{destination_folder}\"\r\n\r\n# Get Full List Of Files\r\nstr_rx = /(\"((?:[^\\\\\"]|\\\\\\\\|\\\\|\\\\\\[|\\\\\\]\")*)\"([^\\s{}\\(\\)]*))/\r\n\r\nfiles = { or_script => or_script }\r\nfile_copy_list = [or_script]\r\n\r\nwhile filename = file_copy_list.shift\r\n script_str = File.read(filename)\r\n for k, v in env\r\n script_str.gsub!(k, v)\r\n end\r\n\r\n string_matches = script_str.scan(str_rx)\r\n current_file_list = []\r\n for m in string_matches\r\n full_replacable = m[0]\r\n in_quotes = m[1]\r\n after_quotes = (m[2] or \"\")\r\n\r\n if not in_quotes.start_with?(\"/openLANE_flow\") and not in_quotes.start_with?(\"/usr/local/pdk\")\r\n next\r\n end\r\n\r\n full_path = in_quotes + after_quotes\r\n\r\n if full_path.start_with?(\"/openLANE_flow\")\r\n full_path = full_path.sub(\"/openLANE_flow\", ENV[\"PWD\"])\r\n end\r\n\r\n if full_path.end_with?(\".tcl\")\r\n file_copy_list << full_path\r\n end\r\n\r\n current_file_list << [full_path, full_replacable]\r\n\r\n files[full_path] = full_replacable\r\n end\r\nend\r\n\r\nfor path, replacable in files \r\n basename = File.basename(path)\r\n destination = File.join(destination_folder, basename)\r\n\r\n \r\n begin \r\n if Dir.exist?(path)\r\n FileUtils.copy_entry(path, destination)\r\n else\r\n file_str = File.read(path)\r\n\r\n if basename.end_with?(\".tcl\")\r\n puts \"Processing #{basename}...\"\r\n for k, v in env\r\n file_str.gsub!(k, v)\r\n end\r\n for k, v in files\r\n puts \"Replacing `#{v}` with `#{File.basename(k)}`\"\r\n file_str.gsub!(v, File.basename(k)) # Replacable is v\r\n end\r\n end\r\n\r\n File.open(destination, \"w\") { |f|\r\n f << file_str\r\n }\r\n\r\n end\r\n rescue\r\n STDERR.puts \"Couldn't copy #{basename}, skipping...\"\r\n end\r\nend\r\n\r\nrun_cmd = File.join(destination_folder, \"run\")\r\nFile.open(run_cmd, \"w\") { |f|\r\n f << \"#!/bin/sh\\n\"\r\n f << \"dir=$(cd -P -- \\\"$(dirname -- \\\"$0\\\")\\\" && pwd -P)\\n\";\r\n f << \"cd $dir; docker run --rm -tiv `pwd`:`pwd` -w `pwd` efabless/openlane:current openroad #{File.basename(or_script)}\"\r\n}\r\nsystem \"chmod +x '#{run_cmd}'\"\r\n\r\nif tarball\r\n system \"tar -cv #{destination_folder} | xz | gzip > ./_build/packaged.tar.xz.gz\"\r\nend\r\n```"}, {"assistant": "@vscheyer it should probably be noted that your Verilog code is buggy.\r\n1. `initial` constructs are not synthesizable in ASICs (only FPGAs). This is because it is not possible to control the state of a register when the power is first turned on.\r\nYou should instead use a reset signal which is asserted when the device turns on, and then de-asserted when the clock inputs are stable. Something like:\r\n```\r\nalways @(posedge clk) begin\r\n if (reset) begin\r\n counter <= '0;\r\n end else begin\r\n counter <= counter + 1;\r\nend\r\n```\r\n2. Generally you should use a blocking assignment `=` for combinational blocks, not non-blocking `<=`\r\n```\r\nalways @(*) begin\r\n intc <= counter[7];\r\n```\r\n\r\n3. Your design will infer multiple independent clocks. I really don't think that's what you want. Every time you have `always @(posedge [signal])`, and `[signal]` is an input, you are creating a new clock. You should try to rewrite your design such that everything is running off the same clock.\r\nIf you truly need some of the inputs to be asynchronous (they could change at any time), you should add synchronizers to your design (look up the term Clock Domain Crossing).\r\n\r\n4. As an addition to (3), you have a register `counter` which is being assigned by multiple signals from different clocks (`clk` and `Vtripped`). This is a huge no-no and will probably lead to undefined behavior. It definitely will not do what you want, and I doubt OpenROAD could handle that anyways."}, {"user": "@donn thanks for your help! I tried using your ruby script to make a tarball to reproduce my issue. I've never done this before so I hope I did it correctly, please let me know if I need to try again.\r\n[digADC_packaged.tar.xz.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6594715/digADC_packaged.tar.xz.gz)"}, {"user": "@rovinski thank you for those pointers! I'm mostly familiar with using verilog on FPGAs since that's what I've done in classes, so that's helpful to know how `initial` constructs work with ASICs. The blocking vs. non-blocking advice is also helpful. I'll take a look at the clock situation too! The idea was to have multiple externally generated clocks that are synced up in the rest of the system, but perhaps that will complicate things in OpenROAD."}, {"assistant": "There's not much in that file. It's missing most of the required information like the def, lib and lef files."}, {"user": "@QuantamHD oh yeah that makes sense. Perhaps you or @donn could help me clarify something about generating a usable tarball? From what I can tell, the script that Donn shared (above) requires changes on the following lines. I replaced `run_path` to match my design (digADC, run 03-06_22-55:\r\n\r\n```\r\nrun_path = \"./designs/digADC/runs/03-06_22-55\"\r\nor_script = \"./scripts/openroad/or_pdn.tcl\"\r\n```\r\nWhat does `op_pdn.tcl` do? Is that specific to the test case and should I change it?\r\n\r\nWhen I run the script from Donn as set up here, I get the following terminal output which appears to be free of errors but I think I'm missing a step:\r\n\r\n```\r\nProcessing or_pdn.tcl...\r\nReplacing `./scripts/openroad/or_pdn.tcl` with `or_pdn.tcl`\r\nReplacing `\"/openLANE_flow/designs/digADC/runs/03-06_22-55/tmp/merged_unpadded.lef\"` with `merged_unpadded.lef`\r\n./_build/03-06_22-55_or_pdn.tcl_packaged/\r\n./_build/03-06_22-55_or_pdn.tcl_packaged/or_pdn.tcl\r\n./_build/03-06_22-55_or_pdn.tcl_packaged/merged_unpadded.lef\r\n./_build/03-06_22-55_or_pdn.tcl_packaged/run\r\n```\r\n\r\nThank you!"}, {"user": "Thanks @maliberty for opening an issue about packaging openlane errors!"}, {"assistant": "@vscheyer `or_script` is supposed to point to the OpenROAD script causing the failure, i.e. in your case, `./scripts/openroad/or_replace.tcl`.\r\n\r\nAdditionally, you should replace `\"/usr/local/pdk/sky130A/libs.tech/openlane/config.tcl\"` with wherever you installed your PDK."}, {"user": "Thanks @donn ! I changed it so that `or_script` points to `./scripts/openroad/or_replace.tcl`, and I made sure that line 40 points to the config.tcl file for my openlane install. I tried running your script again with seemingly more success. I'm getting a few warnings about missing files though, and I'm not sure if that is detrimental or not. Is there something I should do to fix this?\r\n\r\n```\r\nCouldn't copy replace.timing.rpt, skipping...\r\nCouldn't copy replace.min_max.rpt, skipping...\r\nCouldn't copy replace.rpt, skipping...\r\nCouldn't copy replace_wns.rpt, skipping...\r\nCouldn't copy replace_tns.rpt, skipping...\r\n```\r\n\r\nHere's a new tarball version that has more items in it and is maybe more useful!\r\n\r\n[digADC_packaged_2.xz.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6604761/digADC_packaged_2.xz.gz)\r\n\r\n"}, {"assistant": "Yeah those are normal, they're all outputs. The ruby script cant tell whats an input and whats an output, so it just tries to copy everything."}, {"user": "Ok great! Thanks for clarifying. If anyone is able to reproduce the issue using that tarball I'd love to see if I can resolve the diverging RePlAce error. I've tried playing around with the parameters a bit more but still no luck."}, {"assistant": "you need to include 7-pdn.def"}, {"user": "@maliberty Do you mean I need to add it to the tarball? Or I need to do something so it shows up in my design folder to make the error go away? Right now I can find a 7-pdn.def file in `digADC/runs/03-06_22-55/tmp/floorplan/`"}, {"assistant": "When I unpack digADC_packaged_2.xz.gz all I see are:\r\n\r\n% ls\r\nbase.sdc merged_unpadded.lef run*\r\ndigADC.synthesis.v or_replace.tcl trimmed.lib\r\n\r\nThere is no def."}, {"assistant": "More precisely \r\n_build/03-06_22-55_or_replace.tcl_packaged/base.sdc\r\n_build/03-06_22-55_or_replace.tcl_packaged/merged_unpadded.lef\r\n_build/03-06_22-55_or_replace.tcl_packaged/digADC.synthesis.v\r\n_build/03-06_22-55_or_replace.tcl_packaged/trimmed.lib\r\n_build/03-06_22-55_or_replace.tcl_packaged/run\r\n_build/03-06_22-55_or_replace.tcl_packaged/or_replace.tcl\r\n\r\nthere is no digADC/runs/03-06_22-55/tmp/floorplan directory"}, {"assistant": "Try this script @vscheyer: https://github.com/The-OpenROAD-Project/OpenLane/blob/develop/or_issue.py\r\n\r\nThe ruby script was kind of ad-hoc, this is designed to be more general."}, {"user": "Oh awesome, thank you @donn ! I just tried that script and it seems like it worked. Here's the result:\r\n[03-06_22-55_or_pdn_packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6626593/03-06_22-55_or_pdn_packaged.tar.gz)\r\n"}, {"assistant": "We are getting warmer as I have the def, but this is a replace issue and you've given a script to run pdngen. Fwiw this script doesn't run either:\r\n\r\n[INFO ODB-0134] Finished DEF file: ./tmp/floorplan/7-pdn.def\r\ncan't read \"::env(PDN_CFG)\": no such variable\r\n\r\n@donn would you fix this issue with the script not getting all the dependencies and not setting all the envars, and advise on how to properly package a global placement issue."}, {"assistant": "@vscheyer Ensure that you pass `-s ./scripts/openroad/or_replace.tcl` instead of `-s ./scripts/openroad/or_pdn.tcl` "}, {"user": "Ah my bad! Here's the result using `-s ./scripts/openroad/or_replace.tcl` \r\n[03-06_22-55_or_replace_packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6626882/03-06_22-55_or_replace_packaged.tar.gz)\r\n"}, {"assistant": "The data is all there but I had to update the script to the current OR style:\r\n\r\nread_lef ./tmp/merged_unpadded.lef\r\nread_def ./tmp/floorplan/7-pdn.def\r\nglobal_placement -density 0.5 \r\n\r\nWhen I run that through the current OR I don't get any error:\r\n\r\nINFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG Error: 0.00000006 HPWL: 920280\r\n[InitialPlace] Iter: 2 CG Error: 0.00000000 HPWL: 109720\r\n[InitialPlace] Iter: 3 CG Error: 0.00000000 HPWL: 109720\r\n[InitialPlace] Iter: 4 CG Error: 0.00000000 HPWL: 109720\r\n[InitialPlace] Iter: 5 CG Error: 0.00000000 HPWL: 109720\r\n[INFO GPL-0031] FillerInit: NumGCells: 151\r\n[INFO GPL-0032] FillerInit: NumGNets: 19\r\n[INFO GPL-0033] FillerInit: NumGPins: 36\r\n[INFO GPL-0023] TargetDensity: 0.50\r\n[INFO GPL-0024] AveragePlaceInstArea: 3753600\r\n[INFO GPL-0025] IdealBinArea: 7507200\r\n[INFO GPL-0026] IdealBinCnt: 166\r\n[INFO GPL-0027] TotalBinArea: 1252451200\r\n[INFO GPL-0028] BinCnt: 8 8\r\n[INFO GPL-0029] BinSize: 4428 4420\r\n[INFO GPL-0030] NumBins: 64\r\n[NesterovSolve] Iter: 1 overflow: 0 HPWL: 201556\r\n[NesterovSolve] Iter: 10 overflow: 0 HPWL: 201556\r\n[NesterovSolve] Iter: 20 overflow: 0 HPWL: 201556\r\n[NesterovSolve] Iter: 30 overflow: 0 HPWL: 201556\r\n[NesterovSolve] Iter: 40 overflow: 0 HPWL: 201556\r\n[NesterovSolve] Iter: 50 overflow: 0 HPWL: 201556\r\n[NesterovSolve] Finished with Overflow: 0.000000\r\n\r\nSo this is really an issue with OpenLane using an older version of the tool. There is nothing to fix in the current OR so I'm going to close this."}, {"assistant": "Well, hey! At least we verified the packager script works.\r\n\r\nSorry for the inconvenience, @vscheyer. I'll ping you when OpenLane's version of OR is up to date."}, {"user": "Thanks for your help @donn and @maliberty ! I'll keep an eye out for the OR update in OpenLane"}]} +{"num": 708, "messages": [{"user": "Hi -\r\n\r\nI am using Ubuntu 20.04 and have been trying to install OpenROAD in the system. I've already cloned it in my home directory using the following -\r\n$git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\n$cd OpenROAD-flow\r\n$./build_openroad.sh --local\r\n\r\nAt the final step I encounter error. See image -\r\n![Error 1](https://user-images.githubusercontent.com/85390797/120886668-b3009880-c60c-11eb-8e8c-6494f04a4337.png)\r\n\r\nI have also attached the Makefile in the image which supposedly resulted into error. Already installed all the prerequisite dependencies mentioned here https://github.com/The-OpenROAD-Project/OpenROAD\r\n\r\nPlease Help.\r\n\r\nThanks,\r\nPiyush\r\n"}, {"assistant": "That's odd as there is no obvious error. If you run the strip command yourself do you get an error or a non-zero exit code (eg echo $? in bash right afterwards)?"}, {"user": "It returns non-zero (in my case it is **2**) exit code.\r\n"}, {"assistant": "That sounds like no such file - does the file you are trying to strip exist?\r\n\r\nWere there any other errors earlier in the build process?"}, {"user": "No errors. Just encountered some warnings while cloning OpenROAD. "}, {"assistant": "Something is not right. Please do a new checkout and build and capture the full output."}, {"user": "> Something is not right. Please do a new checkout and build and capture the full output.\r\n\r\nI removed the files and reinstalled everything again. Also, updated the dependencies using the command **./etc/DependencyInstaller.sh** which I referred from here https://github.com/The-OpenROAD-Project/OpenROAD/issues/686\r\n\r\nIt worked for me this time. Maybe one of the file was missing while cloning. \r\n\r\nThank you for your help.\r\n\r\n\r\n\r\n\r\n"}]} +{"num": 713, "messages": [{"user": "Hi -\r\nI have added a new design in Makefile, vedic8x8 and have commented the default design. See image\r\n\r\n![makefile](https://user-images.githubusercontent.com/84976778/121157297-0bcb6d80-c867-11eb-86f0-8ef0f92f0d54.jpg)\r\n\r\nThis is the config.mk file for vedic multiplier in the OpenROAD-flow/flow/designs/nangate45/vedic8x8\r\n\r\n![configmk](https://user-images.githubusercontent.com/84976778/121157846-85fbf200-c867-11eb-8687-f7a05ed8a9db.jpg)\r\n\r\n\r\nAfter writing the make command I am getting error\r\n\r\n![new](https://user-images.githubusercontent.com/84976778/121157968-9f04a300-c867-11eb-92e3-eaf745d94429.jpg)\r\n\r\nPlease help. Thanks\r\n\r\n"}, {"assistant": "The 'Permission denied' is likely the root of the problem. Can you do\r\n\r\ncat designs/nangate45/vedic8x8/config.mk\r\n\r\nand see if the file is readable?"}, {"user": "It shows permission denied. "}, {"assistant": "Sounds like you have a basic unix file permission issue. Try chmod u+r designs/nangate45/vedic8x8/config.mk"}, {"user": "chmod: cannot access 'designs/nangate45/vedic8x8/config.mk': Permission denied"}, {"assistant": "This really a file permission issue rather than an openroad issue that you'll need to resolve at your side. ls -l designs/nangate45/vedic8x8/config.mk is your starting point to see what's going on."}]} +{"num": 719, "messages": [{"user": "Hello-\r\n\r\nI have created a new design and have made all appropriate files and folders to store the results. After writing _make_ command, I am getting the error that no clock nets have been found.\r\n\r\n![cts](https://user-images.githubusercontent.com/84976778/121565631-42a5ad00-ca3a-11eb-9b40-5d34d563028b.jpg)\r\n\r\n \r\nCould you please let me know what changes are to be made in my code for resolving this issue? I have attached my code herewith. Thanks.\r\n\r\n**Code for 8 bit vedic multiplier:**\r\n\r\n`module half_adder(input a,b, output sum, carry);\r\n\r\n\tassign sum = a ^ b;\r\n\tassign carry = a & b;\r\n\r\nendmodule\r\nmodule full_adder(input a, b, cin, output sum, cout);\r\n\r\n\twire sum1,carry1,carry2;\r\n\thalf_adder HA0(a,b,sum1,carry1);\r\n\thalf_adder HA1(cin,sum1,sum,carry2);\r\n\r\n\tassign cout = carry1 | carry2;\r\n\r\nendmodule\r\nmodule ripple_adder_4bit(input [3:0] a,b, input cin, output [3:0] sum, output cout);\r\n\r\n\twire carry1, carry2, carry3;\r\n\tfull_adder FA0(a[0],b[0],cin,sum[0],carry1);\r\n\tfull_adder FA1(a[1],b[1],carry1,sum[1],carry2);\r\n\tfull_adder FA2(a[2],b[2],carry2,sum[2],carry3);\r\n\tfull_adder FA3(a[3],b[3],carry3,sum[3],cout);\r\n\r\nendmodule\r\nmodule ripple_adder_6bit(input [5:0] a,b, input cin, output [5:0] sum, output cout);\r\n\r\n\twire carry1, carry2, carry3, carry4, carry5;\r\n\r\n\tfull_adder FA0(a[0],b[0],cin,sum[0],carry1);\r\n\tfull_adder FA1(a[1],b[1],carry1,sum[1],carry2);\r\n\tfull_adder FA2(a[2],b[2],carry2,sum[2],carry3);\r\n\tfull_adder FA3(a[3],b[3],carry3,sum[3],carry4);\r\n\tfull_adder FA4(a[4],b[4],carry4,sum[4],carry5);\r\n\tfull_adder FA5(a[5],b[5],carry5,sum[5],cout);\r\n\r\nendmodule\r\nmodule ripple_adder_8bit(input [7:0] a,b, input cin, output [7:0] sum, output cout);\r\n\r\n\twire carry;\r\n\r\n\tripple_adder_4bit RA0(a[3:0],b[3:0],cin,sum[3:0],carry);\r\n\tripple_adder_4bit RA1(a[7:4],b[7:4],carry,sum[7:4],cout);\r\n\r\nendmodule\r\nmodule ripple_adder_12bit(input [11:0] a,b, input cin, output [11:0] sum, output cout);\r\n\r\n\twire carry;\r\n\r\n\tripple_adder_6bit RA0(a[5:0],b[5:0],cin,sum[5:0],carry);\r\n\tripple_adder_6bit RA1(a[11:6],b[11:6],carry,sum[11:6],cout);\r\n\r\nendmodule\r\nmodule vedic2x2(input [1:0] a,b, output [3:0] prod);\r\n\r\n\twire a1b1 = a[1] & b[1];\r\n\twire a0b1 = a[0] & b[1];\r\n\twire a1b0 = a[1] & b[0];\r\n\twire a0b0 = a[0] & b[0];\r\n\twire carry;\r\n\t\r\n\tassign prod[0] = a0b0;\r\n\r\n\thalf_adder HA0(a0b1,a1b0,prod[1],carry);\r\n\thalf_adder HA1(a1b1,carry,prod[2],prod[3]);\r\n\r\nendmodule\r\nmodule vedic4x4(input [3:0] a,b, output [7:0] prod);\r\n\r\n\twire [3:0] mult0, mult1, mult2, mult3;\r\n\twire [3:0] sum0;\r\n\twire [5:0] sum1, sum2;\r\n\twire carry0, carry1, carry2;\r\n\r\n\tvedic2x2 VD0(a[1:0],b[1:0],mult0);\r\n\tvedic2x2 VD1(a[1:0],b[3:2],mult1);\r\n\tvedic2x2 VD2(a[3:2],b[1:0],mult2);\r\n\tvedic2x2 VD3(a[3:2],b[3:2],mult3);\r\n\r\n\tripple_adder_4bit RA0({2'b0,mult0[3:2]},mult2,1'b0,sum0,carry0);\r\n\tripple_adder_6bit RA1({2'b0,mult1},{mult3,2'b0},1'b0,sum1,carry1);\r\n\tripple_adder_6bit RA2({2'b0,sum0},sum1,1'b0,sum2,carry2);\r\n\t\r\n\tassign prod = {sum2,mult0[1:0]};\r\n\r\nendmodule\r\nmodule vedic8x8(input [7:0] a,b, output [15:0] prod);\r\n\r\n\twire [7:0] mult0, mult1, mult2, mult3;\r\n\twire [7:0] sum0;\r\n\twire [11:0] sum1, sum2;\r\n\twire carry0, carry2, carry3;\r\n\r\n\tvedic4x4 VD0(a[3:0],b[3:0],mult0);\r\n\tvedic4x4 VD1(a[3:0],b[7:4],mult1);\r\n\tvedic4x4 VD2(a[7:4],b[3:0],mult2);\r\n\tvedic4x4 VD3(a[7:4],b[7:4],mult3);\r\n\r\n\tripple_adder_8bit RA0({4'b0,mult0[7:4]},mult2,1'b0,sum0,carry0);\r\n\tripple_adder_12bit RA1({4'b0,mult1},{mult3,4'b0},1'b0,sum1,carry1);\r\n\tripple_adder_12bit RA2({4'b0,sum0},sum1,1'b0,sum2,carry2);\r\n\r\n\tassign prod = {sum2,mult0[3:0]};\r\n\r\nendmodule\r\nmodule test;\r\n\r\n\treg [7:0] a,b;\r\n\twire [15:0] prod;\r\n\treg clk;\r\n\r\n\tvedic8x8 U0(a,b,prod);\r\n\r\n\tinitial begin\r\n\t\tclk = 0;\r\n\t\t#500;\r\n\t\t$finish;\r\n\tend\r\n\r\n\talways@(posedge clk) begin\r\n\t\ta = $random;\r\n\t\tb = $random;\r\n\t\t#20;\r\n\tend\r\n\r\n\talways #10 clk = !clk;\r\n\r\n\treg [7:0] a_reg,b_reg;\r\n\r\n\talways@(posedge clk) begin\r\n\t\ta_reg <= a;\r\n\t\tb_reg <= b;\r\n\tend\r\n\r\n\talways@(posedge clk)begin\r\n\t\tif((a_reg > 0) && (b_reg > 0)) begin\r\n\t\t\tif(prod == a_reg *b_reg)\r\n\t\t\t\t$display(\"%d x %d = %d, Test Passed\", a_reg, b_reg, prod);\r\n\t\t\telse\r\n\t\t\t\t$display(\"%d x %d = %d, Test Failed\", a_reg, b_reg, prod);\r\n\t\tend\r\n\tend\r\n\r\nendmodule`"}, {"assistant": "@mathursanjiv I think this should be a warning not an error and CTS should just return as there is no work to do.\r\n\r\nYou could work around this by hacking up flow/scripts/cts.tcl to just skip cts and repair_clock_nets"}, {"assistant": "This is similar to #299"}, {"assistant": "The more things change, the more they stay the same. Probably this cropped up due to the logger conversion."}, {"user": "> This is similar to #299\r\n\r\nSo is there some report I need to update? "}, {"assistant": "No its clear what the problem is from this report."}, {"user": "I mean what is the next step I should follow?"}, {"assistant": "You can either wait for a fix or use the workaround I suggested earlier:\r\n\r\nYou could work around this by hacking up flow/scripts/cts.tcl to just skip cts and repair_clock_nets"}]} +{"num": 726, "messages": [{"user": "@tspyrou @jjcherry56 it looks like PR #723 introduced a new lib file that isn't in the security repo. \r\n![image](https://user-images.githubusercontent.com/3784748/121634998-64069900-ca3a-11eb-9a1a-2bf3712a614e.png)\r\n"}, {"assistant": "Looks like it needs to be updated to ignore symlinks."}, {"assistant": "@rovinski that's what I thought, but the real issue is that the test directory was not whitelisted because it was new. it should be working now."}]} +{"num": 735, "messages": [{"user": "OR commit: 1dae3ba766284714256c53813a117f3a0062279c\r\n\r\nPDNGEN does not appear to export VDD and VSS as pins, rather, only as specialnets. Not really sure what's going on here.\r\n\r\n[or_upgrade_test_or_pdn_packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6648050/or_upgrade_test_or_pdn_packaged.tar.gz)\r\n"}, {"user": "Potentially helpful (or useless info): I once was re-running the scripts haphazardly while updating the or_issue script and it appears to have generated pins. Not sure what the exact repro steps here, but thought I should mention it."}, {"user": "Apparently, fix is best suited for `open_pdks`? https://github.com/osamahammad21/open_pdks/commit/5aa6367857b2209bd186a9cad5735894f782fb6c\r\n\r\n@osamahammad21 Can you confirm?"}, {"assistant": "@donn yes, you have to specify the pins metal layer in the pdn config. I will close that now since the solution is known."}]} +{"num": 743, "messages": [{"user": "@VidyaChhabria could you please help me with the commands for writing a def file for a new design? The def file for the default gcd design was already present in the folder OpenROAD/src/psm/test. Now that I want IR report for a new design, which requires reading def file, what are the steps to be followed to write one?"}, {"assistant": "@nishita2626 What is the the file that you havethat represents the new design you want to run? If you do not have a DEF, I am guessing you have RTL/gate-level netlist? PDNSim requires at minimum a placed design with PDN stripes defined as input. Refer the OpenROAD-flow-scripts repo on how to perform floorplan, placement and generate a PDN-synthesized and placed-DEF from a RTL/gate-level netlist. You can also refer the OpenROAD test to generate the same files with a simple write_def.\r\n\r\nHowever, if you want to use PDNSim within any of the above environments either the OpenROAD-flow-scripts or the OpenROAD test directory you do not really need a \"write_def\" per se. Once you clone and build OpenROAD-flow-script using the instructions in the readme, just do a make for a sky130hd design for example and you should see IR drop reports as a part of the final reports. If you use OpenROAD test directory just uncomment the last two lines in flow.tcl file."}]} +{"num": 761, "messages": [{"user": "Excuse me, I am now learning codes of `TritonCTS` and try to use gTest to test it.\r\nWhile running `runTritonCts()`, core dumped,\r\nI was running same flow with `test/simple_test.tcl`\r\n\r\nHere's my codes:\r\n\r\n const char* defName = \"src/TritonCTS/test/16sinks.def\";\r\n const char* lefName = \"src/TritonCTS/test/Nangate45/Nangate45.lef\";\r\n const char* libName = \"src/TritonCTS/test/Nangate45/Nangate45_typ.lib\";\r\n\r\n ord::OpenRoad* openRoad;\r\n Tcl_Interp* interp = Tcl_CreateInterp();\r\n Tcl_Init(interp);\r\n openRoad = ord::OpenRoad::openRoad();\r\n openRoad->getDbNetwork()->clear();\r\n openRoad->getSta()->clear();\r\n ord::tclAppInit(interp);\r\n\r\n openRoad->readLef(lefName, \"test\", true, true);\r\n openRoad->getSta()->readLiberty(\r\n libName, openRoad->getSta()->cmdCorner(), sta::MinMaxAll::all(), true);\r\n openRoad->readDef(defName, false, false, false);\r\n // give arguments necessary to tritonCTS\r\n Tcl_Eval(interp, \"create_clock -period 5 clk\");\r\n Tcl_Eval(interp, \"set_wire_rc -clock -layer metal3\");\r\n TritonCTS* cts = openRoad->getTritonCts();\r\n cts->getParms()->setRootBuffer(\"CLKBUF_X3\");\r\n ASSERT_NO_THROW(cts->setBufferList(\"CLKBUF_X3\"));\r\n cts->getParms()->setSinkBuffer(\"CLKBUF_X3\");\r\n cts->getParms()->setWireSegmentUnit(20);\r\n cts->getParms()->setSinkClustering(false);\r\n\r\n cts->runTritonCts(); // <=here segment fail\r\n openRoad->getDb()->clear(); \r\n\r\nAnd the result is as following:\r\n\r\n Eden 1 bf0818133c50a401442cb0b8185912bfd142c7b2\r\n This program is licensed under the BSD-3 license. See the LICENSE file for details.\r\n Components of this program may be licensed under more restrictive licenses which must be honored.\r\n [INFO ODB-0222] Reading LEF file: src/TritonCTS/test/Nangate45/Nangate45.lef\r\n [INFO ODB-0223] Created 22 technology layers\r\n [INFO ODB-0224] Created 27 technology vias\r\n [INFO ODB-0225] Created 134 library cells\r\n [INFO ODB-0226] Finished LEF file: src/TritonCTS/test/Nangate45/Nangate45.lef\r\n [INFO ODB-0127] Reading DEF file: src/TritonCTS/test/16sinks.def\r\n [INFO ODB-0128] Design: test_16_sinks\r\n [INFO ODB-0130] Created 1 pins.\r\n [INFO ODB-0131] Created 16 components and 96 component-terminals.\r\n [INFO ODB-0133] Created 1 nets and 16 connections.\r\n [INFO ODB-0134] Finished DEF file: src/TritonCTS/test/16sinks.def\r\n *****************\r\n * TritonCTS 2.0 *\r\n *****************\r\n *****************************\r\n * Create characterization *\r\n *****************************\r\n [INFO CTS-0039] Number of created patterns = 2376.\r\n [INFO CTS-0084] Compiling LUT\r\n Min. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n 2 4 1 34 1 26 \r\n [WARNING CTS-0043] 792 wires are pure wire and no slew degration.\r\n TritonCTS forced slew degradation on these wires.\r\n [INFO CTS-0046] Num wire segments: 2376\r\n [INFO CTS-0047] Num keys in characterization LUT: 838\r\n [INFO CTS-0048] Actual min input cap: 1\r\n **********************\r\n * Find clock roots *\r\n **********************\r\n [INFO CTS-0002] User did not specify clock roots.\r\n ************************\r\n * Populate TritonCTS *\r\n ************************\r\n Initializing clock nets\r\n Looking for clock nets in the design\r\n [INFO CTS-0007] Net \"clk\" found for clock \"clk\"\r\n [INFO CTS-0009] Initializing clock net for : \"clk\"\r\n [INFO CTS-0010] Clock net \"clk\" has 16 sinks\r\n [INFO CTS-0008] TritonCTS found 1 clock nets.\r\n ****************************\r\n * Check characterization *\r\n ****************************\r\n The chacterization used 1 buffer(s) types. All of them are in the loaded DB.\r\n ***********************\r\n * Build clock trees *\r\n ***********************\r\n [INFO CTS-0027] Generating H-Tree topology for net clk\r\n [INFO CTS-0028] Tot. number of sinks: 16\r\n [INFO CTS-0030] Number of static layers: 0\r\n [INFO CTS-0020] Wire segment unit: 14000 dbu (7 um)\r\n [INFO CTS-0023] Original sink region: [(3730, 1730), (22730, 20730)]\r\n [INFO CTS-0024] Normalized sink region: [(0.266429, 0.123571), (1.62357, 1.48071)]\r\n [INFO CTS-0025] Width: 1.3571\r\n [INFO CTS-0026] Height: 1.3571\r\n [WARNING CTS-0045] Creating fake entries in the LUT.\r\n Level 1\r\n Direction: Vertical\r\n # sinks per sub-region: 8\r\n Sub-region size: 1.3571 X 0.6786\r\n [INFO CTS-0034] Segment length (rounded): 1\r\n Key: 2376 outSlew: 2 load: 1 length: 1 isBuffered: false\r\n [INFO CTS-0032] Stop criterion found. Max number of sinks is (15)\r\n Building clock sub nets...\r\n [INFO CTS-0035] Number of sinks covered: 16\r\n [INFO CTS-0033] Clock topology of net \"clk\" done.\r\n ****************\r\n * Post CTS opt *\r\n ****************\r\n [INFO CTS-0036] Avg. source sink dist: 17902.50 dbu.\r\n [INFO CTS-0037] Num outlier sinks: 0\r\n ********************\r\n * Write data to DB *\r\n ********************\r\n Writing clock net \"clk\" to DB\r\n [INFO CTS-0018] Created 3 clock buffers.\r\n Segmentation fault (core dumped)\r\n\r\n\r\nWhile I try `Tcl_EvalFile(interp, \"src/TritonCTS/test/simple_test.tcl\")`\r\nIt gave me same result.\r\nI guess I have missed something to init `openRoad` or `TritonCTS`\r\nBut I have called all codes that i could find.\r\n\r\nCould you plz give me some advice?\r\nApologies to give you a stupid question.\r\n"}, {"assistant": "I'm not clear why you don't just\r\n```\r\ncd cd src/TritonCTS/test/\r\nopenroad simple_test.tcl\r\n```\r\nto run this test. Why write your own driver that is mostly calling tcl_eval?"}, {"user": "It is because i wanna do unit tests for every functions in TritonCTS,\r\nand in case their functions changed if i modify some codes.\r\n\r\n`openroad simple_test.tcl` runs well\r\nbut it only do a global regression for TritonCTS.\r\n\r\nIs it impossible to call functions individually in `TritonCTS`?\r\nSry to disturb you with a stupid question"}, {"assistant": "No worries I'm just trying to understand so I can best help you. In your code you are calling cts->runTritonCts which is the top level entry point you would get from calling the tcl command. Is your goal to have more fine grained tests or just setup different situations that would lead to more coverage. The later is easier to do and would require less c++ coding but sometimes it is tricky to hit a particular condition."}, {"user": "Thank you for your understanding.\r\nIndeed, i'm going to *have more fine grained tests*.\r\nI tried every functions before `writeDateToDb()` individually , for example `buildClockTrees` and `populateTritonCts`\r\nThey all run correctly.\r\n\r\nI have test all parts of `TritonCTS` in gtest except this one.\r\nIf it is difficult to achieve, maybe I should give it up temporarily."}, {"assistant": "Is this a project you are doing with the intention to submit it or just for your own use? If the former we should discuss how this would integrate as we don't currently use gtest."}, {"user": "It is *just for my own use* and not urgent.\r\nI'm now doing this just for learning algorithm and codes of `TritonCTS`.\r\nSo if this will cost you too much energy, I don't think there is any need to waste your precious time.\r\nI can just use another way to do the same thing, maybe, gtest is not necessary.\r\n\r\nI just wonder why after building `HTreeBuilder` successfully, `writeDateToDb()` failed.\r\nIf it is possible to run tcl script, why I can't call these function directly in cpp?\r\nIs that I forgot anything before init `TritonCTS`? openRoad? openDB? or Tcl_interp?\r\n\r\nThese are my questions indeed.\r\nI'm sorry for such a chattering."}, {"assistant": "I don't know off hand why it crashes. The initialization sequence is a bit involved so you might have missed a step. You could debug writeDataToDb as a learning exercise as well. "}]} +{"num": 774, "messages": [{"user": "Hi,\r\nI am trying to setup a new platform for this flow. I took the files from the nangate45 example (removed some stuff like the macro definitions), but the flow errors with a segmentation fault after the floorplan. \r\n```\r\n...\r\n\r\n[INFO GRT-0124] Update congestion history type 4.\r\n[INFO GRT-0102] iteration 51, enlarge 23, costheight 509, threshold 0 via cost 0. \r\nlog_coef 0.43634596, healingTrigger 29 cost_step 5 L 1 cost_type 1 updatetype 4.\r\n[INFO GRT-0126] Overflow Report:\r\n[INFO GRT-0127] total Usage : 2731\r\n[INFO GRT-0128] Max H Overflow: 41\r\n[INFO GRT-0129] Max V Overflow: 29\r\n[INFO GRT-0130] Max Overflow : 41\r\n[INFO GRT-0131] Num Overflow e: 10\r\n[INFO GRT-0132] H Overflow : 55\r\n[INFO GRT-0133] V Overflow : 44\r\n[INFO GRT-0134] Final Overflow: 99\r\n\r\n[INFO GRT-0124] Update congestion history type 4.\r\n[INFO GRT-0102] iteration 52, enlarge 23, costheight 519, threshold 0 via cost 0. \r\nlog_coef 0.43634596, healingTrigger 30 cost_step 5 L 1 cost_type 1 updatetype 4.\r\n[INFO GRT-0126] Overflow Report:\r\n[INFO GRT-0127] total Usage : 2731\r\n[INFO GRT-0128] Max H Overflow: 41\r\n[INFO GRT-0129] Max V Overflow: 29\r\n[INFO GRT-0130] Max Overflow : 41\r\n[INFO GRT-0131] Num Overflow e: 9\r\n[INFO GRT-0132] H Overflow : 56\r\n[INFO GRT-0133] V Overflow : 41\r\n[INFO GRT-0134] Final Overflow: 97\r\n\r\n[INFO GRT-0124] Update congestion history type 4.\r\n[INFO GRT-0102] iteration 53, enlarge 23, costheight 529, threshold 0 via cost 0. \r\nlog_coef 0.43634596, healingTrigger 30 cost_step 5 L 1 cost_type 1 updatetype 4.\r\n[INFO GRT-0126] Overflow Report:\r\n[INFO GRT-0127] total Usage : 2731\r\n[INFO GRT-0128] Max H Overflow: 41\r\n[INFO GRT-0129] Max V Overflow: 29\r\n[INFO GRT-0130] Max Overflow : 41\r\n[INFO GRT-0131] Num Overflow e: 11\r\n[INFO GRT-0132] H Overflow : 55\r\n[INFO GRT-0133] V Overflow : 44\r\n[INFO GRT-0134] Final Overflow: 99\r\n\r\n[INFO GRT-0124] Update congestion history type 4.\r\n[INFO GRT-0102] iteration 54, enlarge 23, costheight 539, threshold 0 via cost 0. \r\nlog_coef 0.43634596, healingTrigger 31 cost_step 5 L 1 cost_type 1 updatetype 4.\r\n[WARNING GRT-0164] initial grid wrong y1 x1 [0 0] , net start [0 1] routelen 54.\r\n[WARNING GRT-0167] checking failed 1.\r\nSegmentation fault (core dumped)\r\n\r\n```\r\n\r\nIt can be reproduced with the following testcase:\r\n[20210622.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/6695488/20210622.zip)\r\n"}, {"assistant": "@quentinw91 Your script is calling global_router before global_place. I was able to run without errors with this script:\r\n\r\n```\r\n# Read lef\r\nread_lef platforms/test_plat/lef/NangateOpenCellLibrary.tech.lef\r\nread_lef platforms/test_plat/lef/NangateOpenCellLibrary.macro.mod.lef\r\n\r\n# Read liberty files\r\nread_liberty platforms/test_plat/lib/NangateOpenCellLibrary_typical.lib\r\n\r\n\r\n# Read design files\r\nread_def results/2_floorplan.def\r\nread_sdc results/2_floorplan.sdc\r\n\r\n# Set res and cap\r\nsource platforms/test_plat/setRC.tcl\r\n\r\n#set_dont_use $::env(DONT_USE_CELLS)\r\n\r\n# set fastroute layer reduction\r\n#source platforms/test_plat/fastroute.tcl\r\nset_global_routing_layer_adjustment metal2 0.8\r\nset_global_routing_layer_adjustment metal3 0.7\r\nset_global_routing_layer_adjustment metal4-metal10 0.4\r\n\r\nset_routing_layers -signal metal2-metal10\r\nset_macro_extension 2\r\n\r\nglobal_placement -routability_driven -density 0.30 \\\r\n -pad_left 2 \\\r\n -pad_right 2\r\n\r\nglobal_route -guide_file results/route.guide \\\r\n -overflow_iterations 100 \\\r\n -verbose 2\r\n\r\nwrite_def results/3_1_place_gp.def\r\n```"}, {"assistant": "@eder-matheus please fix it so you get an error message rather than a segv."}, {"user": "Yeah, sorry, I was trying to reproduce the issue and got confused with the scripts while debugging. I am also able to run your script, but I still have an issue when I try to run global_place.tcl:\r\n[global_place_gcd_test_plat_base_2021-06-23_08-12.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6700155/global_place_gcd_test_plat_base_2021-06-23_08-12.tar.gz)\r\n\r\n"}, {"assistant": "@quentinw91 Looks like you're using an old version of our flow scripts. In your platforms/test_plat/fastroute.tcl, it calls the `global_route` command, while our new scripts don't do it anymore. Check the new fastroute.tcl script here: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/platforms/nangate45/fastroute.tcl"}, {"user": "Oh ok, that's where it was coming from... Thanks !"}]} +{"num": 789, "messages": [{"user": "```\r\n OpenROAD 1 eb6eef87c5643e69e3cc5b80238aff4ed277bca9\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: donn/openlane/designs/aes_cipher/runs/24-06_09-47/tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 440 library cells\r\n[INFO ODB-0226] Finished LEF file: donn/openlane/designs/aes_cipher/runs/24-06_09-47/tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: donn/openlane/designs/aes_cipher/runs/24-06_09-47/tmp/routing/16-addspacers.def\r\n[INFO ODB-0128] Design: aes_cipher\r\n[INFO ODB-0130] Created 393 pins.\r\n[INFO ODB-0131] Created 64415 components and 301350 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 244092 connections.\r\n[INFO ODB-0133] Created 16049 nets and 57257 connections.\r\n[INFO ODB-0134] Finished DEF file: donn/openlane/designs/aes_cipher/runs/24-06_09-47/tmp/routing/16-addspacers.def\r\n[INFO ORD-0030] Using 8 thread(s)\r\n[WARNING DRT-0252] params file is deprecated. Use tcl arguments.\r\n[INFO DRT-0149] Reading Tech And Libs\r\n\r\nunits: 1000\r\n#layers: 13\r\n#macros: 440\r\n#vias: 25\r\n#viarulegen: 25\r\n\r\n[INFO DRT-0150] Reading Design\r\n\r\ndesign: aes_cipher\r\ndie area: ( 0 0 ) ( 702685 713405 )\r\ntrackPts: 12\r\ndefvias: 4\r\n#components: 64415\r\n#terminals: 393\r\n#snets: 2\r\n#nets: 16049\r\n\r\n[INFO DRT-0151] Reading Guide\r\n[INFO DRT-0156] guideIn read 100000 guides\r\n\r\n#guides: 133714\r\n\r\n[INFO DRT-0167] List of default vias:\r\n Layer mcon\r\n default via: L1M1_PR_MR\r\n Layer via\r\n default via: M1M2_PR\r\n Layer via2\r\n default via: M2M3_PR_M\r\n Layer via3\r\n default via: M3M4_PR_M\r\n Layer via4\r\n default via: M4M5_PR_C\r\n[INFO DRT-0162] libcell analysis ...\r\n[INFO DRT-0163] instance analysis ...\r\n complete 10000 instances\r\n complete 20000 instances\r\n complete 30000 instances\r\n complete 40000 instances\r\n complete 50000 instances\r\n complete 60000 instances\r\n[INFO DRT-0164] # unique instances = 285\r\n[INFO DRT-0168] Init region query ...\r\n[INFO DRT-0018] complete 10000 insts\r\n[INFO DRT-0018] complete 20000 insts\r\n[INFO DRT-0018] complete 30000 insts\r\n[INFO DRT-0018] complete 40000 insts\r\n[INFO DRT-0018] complete 50000 insts\r\n[INFO DRT-0018] complete 60000 insts\r\n[INFO DRT-0024] complete FR_MASTERSLICE\r\n[INFO DRT-0024] complete FR_VIA\r\n[INFO DRT-0024] complete li1\r\n[INFO DRT-0024] complete mcon\r\n[INFO DRT-0024] complete met1\r\n[INFO DRT-0024] complete via\r\n[INFO DRT-0024] complete met2\r\n[INFO DRT-0024] complete via2\r\n[INFO DRT-0024] complete met3\r\n[INFO DRT-0024] complete via3\r\n[INFO DRT-0024] complete met4\r\n[INFO DRT-0024] complete via4\r\n[INFO DRT-0024] complete met5\r\n[INFO DRT-0033] FR_MASTERSLICE shape region query size = 0\r\n[INFO DRT-0033] FR_VIA shape region query size = 0\r\n[INFO DRT-0033] li1 shape region query size = 629566\r\n[INFO DRT-0033] mcon shape region query size = 766754\r\n[INFO DRT-0033] met1 shape region query size = 137777\r\n[INFO DRT-0033] via shape region query size = 4588\r\n[INFO DRT-0033] met2 shape region query size = 2526\r\n[INFO DRT-0033] via2 shape region query size = 4588\r\n[INFO DRT-0033] met3 shape region query size = 2453\r\n[INFO DRT-0033] via3 shape region query size = 4588\r\n[INFO DRT-0033] met4 shape region query size = 1197\r\n[INFO DRT-0033] via4 shape region query size = 41\r\n[INFO DRT-0033] met5 shape region query size = 59\r\n[INFO DRT-0165] start pin access\r\n[INFO DRT-0076] complete 100 pins\r\n[INFO DRT-0076] complete 200 pins\r\n[INFO DRT-0076] complete 300 pins\r\n[INFO DRT-0076] complete 400 pins\r\n[INFO DRT-0076] complete 500 pins\r\n[INFO DRT-0076] complete 600 pins\r\n[INFO DRT-0076] complete 700 pins\r\n[INFO DRT-0076] complete 800 pins\r\n[INFO DRT-0076] complete 900 pins\r\n[INFO DRT-0077] complete 1000 pins\r\n[INFO DRT-0078] complete 1139 pins\r\n[INFO DRT-0079] complete 100 unique inst patterns\r\n[INFO DRT-0079] complete 200 unique inst patterns\r\n[INFO DRT-0081] complete 279 unique inst patterns\r\n[INFO DRT-0082] complete 1000 groups\r\n[INFO DRT-0082] complete 2000 groups\r\n[INFO DRT-0082] complete 3000 groups\r\n[INFO DRT-0082] complete 4000 groups\r\n[INFO DRT-0082] complete 5000 groups\r\n[INFO DRT-0082] complete 6000 groups\r\n[INFO DRT-0082] complete 7000 groups\r\n[INFO DRT-0082] complete 8000 groups\r\n[INFO DRT-0082] complete 9000 groups\r\n[INFO DRT-0083] complete 10000 groups\r\n[INFO DRT-0084] complete 15773 groups\r\n#scanned instances = 64415\r\n#unique instances = 285\r\n#stdCellGenAp = 8215\r\n#stdCellValidPlanarAp = 0\r\n#stdCellValidViaAp = 6366\r\n#stdCellPinNoAp = 0\r\n#stdCellPinCnt = 57257\r\n#instTermValidViaApCnt = 0\r\n#macroGenAp = 0\r\n#macroValidPlanarAp = 0\r\n#macroValidViaAp = 0\r\n#macroNoAp = 0\r\n[INFO DRT-0166] complete pin access\r\n[INFO DRT-0267] cpu time = 00:00:08, elapsed time = 00:00:01, memory = 353.81 (MB), peak = 367.84 (MB)\r\n[INFO DRT-0169] Post process guides ...\r\n[INFO DRT-0176] GCELLGRID X 0 DO 103 STEP 6900 ;\r\n[INFO DRT-0177] GCELLGRID Y 0 DO 101 STEP 6900 ;\r\n[INFO DRT-0026] complete 10000 orig guides\r\n[INFO DRT-0026] complete 20000 orig guides\r\n[INFO DRT-0026] complete 30000 orig guides\r\n[INFO DRT-0026] complete 40000 orig guides\r\n[INFO DRT-0026] complete 50000 orig guides\r\n[INFO DRT-0026] complete 60000 orig guides\r\n[INFO DRT-0026] complete 70000 orig guides\r\n[INFO DRT-0026] complete 80000 orig guides\r\n[INFO DRT-0026] complete 90000 orig guides\r\n[INFO DRT-0027] complete 100000 orig guides\r\n[INFO DRT-0028] complete FR_MASTERSLICE\r\n[INFO DRT-0028] complete FR_VIA\r\n[INFO DRT-0028] complete li1\r\n[INFO DRT-0028] complete mcon\r\n[INFO DRT-0028] complete met1\r\n[INFO DRT-0028] complete via\r\n[INFO DRT-0028] complete met2\r\n[INFO DRT-0028] complete via2\r\n[INFO DRT-0028] complete met3\r\n[INFO DRT-0028] complete via3\r\n[INFO DRT-0028] complete met4\r\n[INFO DRT-0028] complete via4\r\n[INFO DRT-0028] complete met5\r\n[WARNING DRT-0225] _02097_ 1 pin not visited, fall back to feedrough mode\r\n complete 10000 nets\r\n[WARNING DRT-0225] _10000_ 1 pin not visited, fall back to feedrough mode\r\n[WARNING DRT-0225] _11118_ 3 pin not visited, fall back to feedrough mode\r\n[WARNING DRT-0225] _11317_ 1 pin not visited, fall back to feedrough mode\r\n[WARNING DRT-0225] _11351_ 4 pin not visited, fall back to feedrough mode\r\n[WARNING DRT-0225] clknet_leaf_67_clk 1 pin not visited, fall back to feedrough mode\r\n[WARNING DRT-0225] clknet_leaf_80_clk 2 pin not visited, fall back to feedrough mode\r\n[WARNING DRT-0225] clknet_leaf_81_clk 2 pin not visited, fall back to feedrough mode\r\n[INFO DRT-0178] init guide query ...\r\n[INFO DRT-0029] complete 10000 nets (guide)\r\n[INFO DRT-0035] complete FR_MASTERSLICE (guide)\r\n[INFO DRT-0035] complete FR_VIA (guide)\r\n[INFO DRT-0035] complete li1 (guide)\r\n[INFO DRT-0035] complete mcon (guide)\r\n[INFO DRT-0035] complete met1 (guide)\r\n[INFO DRT-0035] complete via (guide)\r\n[INFO DRT-0035] complete met2 (guide)\r\n[INFO DRT-0035] complete via2 (guide)\r\n[INFO DRT-0035] complete met3 (guide)\r\n[INFO DRT-0035] complete via3 (guide)\r\n[INFO DRT-0035] complete met4 (guide)\r\n[INFO DRT-0035] complete via4 (guide)\r\n[INFO DRT-0035] complete met5 (guide)\r\n[INFO DRT-0036] FR_MASTERSLICE guide region query size = 0\r\n[INFO DRT-0036] FR_VIA guide region query size = 0\r\n[INFO DRT-0036] li1 guide region query size = 50597\r\n[INFO DRT-0036] mcon guide region query size = 0\r\n[INFO DRT-0036] met1 guide region query size = 43887\r\n[INFO DRT-0036] via guide region query size = 0\r\n[INFO DRT-0036] met2 guide region query size = 23780\r\n[INFO DRT-0036] via2 guide region query size = 0\r\n[INFO DRT-0036] met3 guide region query size = 555\r\n[INFO DRT-0036] via3 guide region query size = 0\r\n[INFO DRT-0036] met4 guide region query size = 82\r\n[INFO DRT-0036] via4 guide region query size = 0\r\n[INFO DRT-0036] met5 guide region query size = 0\r\n[INFO DRT-0179] init gr pin query ...\r\n[INFO DRT-0185] post process initialize RPin region query ...\r\n[INFO DRT-0181] start track assignment\r\n[INFO DRT-0184] Done with 74459 vertical wires in 3 frboxes and 44442 horizontal wires in 3 frboxes.\r\n[INFO DRT-0186] Done with 13407 vertical wires in 3 frboxes and 20339 horizontal wires in 3 frboxes.\r\n[INFO DRT-0182] complete track assignment\r\n[INFO DRT-0267] cpu time = 00:00:18, elapsed time = 00:00:06, memory = 672.80 (MB), peak = 672.80 (MB)\r\n[INFO DRT-0187] start routing data preparation\r\n[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 672.80 (MB), peak = 672.80 (MB)\r\n[INFO DRT-0194] start detail routing ...\r\n[INFO DRT-0195] start 0th optimization iteration ...\r\n completing 10% with 0 violations\r\n elapsed time = 00:00:10, memory = 1012.43 (MB)\r\n completing 20% with 0 violations\r\n elapsed time = 00:00:23, memory = 1071.48 (MB)\r\n completing 30% with 6157 violations\r\n elapsed time = 00:00:31, memory = 984.45 (MB)\r\n completing 40% with 6157 violations\r\n elapsed time = 00:00:44, memory = 1064.93 (MB)\r\n completing 50% with 6157 violations\r\n elapsed time = 00:00:59, memory = 1097.05 (MB)\r\n completing 60% with 12354 violations\r\n elapsed time = 00:01:13, memory = 1105.18 (MB)\r\n completing 70% with 12354 violations\r\n elapsed time = 00:01:24, memory = 1106.54 (MB)\r\n completing 80% with 16688 violations\r\n elapsed time = 00:01:40, memory = 1079.39 (MB)\r\n completing 90% with 16688 violations\r\n elapsed time = 00:01:54, memory = 1166.09 (MB)\r\n completing 100% with 20712 violations\r\n elapsed time = 00:02:12, memory = 1118.61 (MB)\r\n[INFO DRT-0199] number of violations = 24674\r\n[INFO DRT-0267] cpu time = 00:15:35, elapsed time = 00:02:13, memory = 1419.65 (MB), peak = 1419.65 (MB)\r\ntotal wire length = 1113839 um\r\ntotal wire length on LAYER li1 = 5208 um\r\ntotal wire length on LAYER met1 = 513215 um\r\ntotal wire length on LAYER met2 = 508242 um\r\ntotal wire length on LAYER met3 = 62474 um\r\ntotal wire length on LAYER met4 = 24698 um\r\ntotal wire length on LAYER met5 = 0 um\r\ntotal number of vias = 140418\r\nup-via summary (total 140418):\r\n\r\n-------------------------\r\n FR_MASTERSLICE 0\r\n li1 58747\r\n met1 75916\r\n met2 4985\r\n met3 770\r\n met4 0\r\n-------------------------\r\n 140418\r\n\r\n\r\n[INFO DRT-0195] start 1st optimization iteration ...\r\n completing 10% with 24674 violations\r\n elapsed time = 00:00:07, memory = 1444.27 (MB)\r\n completing 20% with 24674 violations\r\n elapsed time = 00:00:18, memory = 1481.59 (MB)\r\n completing 30% with 22664 violations\r\n elapsed time = 00:00:26, memory = 1444.49 (MB)\r\n completing 40% with 22664 violations\r\n elapsed time = 00:00:37, memory = 1420.00 (MB)\r\n completing 50% with 22664 violations\r\n elapsed time = 00:00:50, memory = 1461.83 (MB)\r\n completing 60% with 20701 violations\r\n elapsed time = 00:01:00, memory = 1450.99 (MB)\r\n completing 70% with 20701 violations\r\n elapsed time = 00:01:09, memory = 1472.21 (MB)\r\n completing 80% with 18403 violations\r\n elapsed time = 00:01:23, memory = 1444.78 (MB)\r\n completing 90% with 18403 violations\r\n elapsed time = 00:01:35, memory = 1458.70 (MB)\r\n completing 100% with 16394 violations\r\n elapsed time = 00:01:52, memory = 1420.51 (MB)\r\n[INFO DRT-0199] number of violations = 16394\r\n[INFO DRT-0267] cpu time = 00:13:35, elapsed time = 00:01:53, memory = 1420.51 (MB), peak = 1486.83 (MB)\r\ntotal wire length = 1108953 um\r\ntotal wire length on LAYER li1 = 5066 um\r\ntotal wire length on LAYER met1 = 508073 um\r\ntotal wire length on LAYER met2 = 504874 um\r\ntotal wire length on LAYER met3 = 66288 um\r\ntotal wire length on LAYER met4 = 24650 um\r\ntotal wire length on LAYER met5 = 0 um\r\ntotal number of vias = 140777\r\nup-via summary (total 140777):\r\n\r\n-------------------------\r\n FR_MASTERSLICE 0\r\n li1 58784\r\n met1 75354\r\n met2 5766\r\n met3 873\r\n met4 0\r\n-------------------------\r\n 140777\r\n\r\n\r\n[INFO DRT-0195] start 2nd optimization iteration ...\r\n completing 10% with 16394 violations\r\n elapsed time = 00:00:06, memory = 1460.28 (MB)\r\n completing 20% with 16394 violations\r\n elapsed time = 00:00:17, memory = 1456.27 (MB)\r\n completing 30% with 16211 violations\r\n elapsed time = 00:00:27, memory = 1431.77 (MB)\r\n completing 40% with 16211 violations\r\n elapsed time = 00:00:40, memory = 1470.05 (MB)\r\n completing 50% with 16211 violations\r\n elapsed time = 00:00:50, memory = 1420.55 (MB)\r\n completing 60% with 16057 violations\r\n elapsed time = 00:01:01, memory = 1430.72 (MB)\r\n completing 70% with 16057 violations\r\n elapsed time = 00:01:15, memory = 1479.32 (MB)\r\n completing 80% with 15589 violations\r\n elapsed time = 00:01:28, memory = 1433.47 (MB)\r\n completing 90% with 15589 violations\r\n elapsed time = 00:01:40, memory = 1454.72 (MB)\r\n completing 100% with 15634 violations\r\n elapsed time = 00:01:57, memory = 1420.72 (MB)\r\n[INFO DRT-0199] number of violations = 15637\r\n[INFO DRT-0267] cpu time = 00:13:20, elapsed time = 00:01:58, memory = 1420.72 (MB), peak = 1488.32 (MB)\r\ntotal wire length = 1106062 um\r\ntotal wire length on LAYER li1 = 5007 um\r\ntotal wire length on LAYER met1 = 507304 um\r\ntotal wire length on LAYER met2 = 503462 um\r\ntotal wire length on LAYER met3 = 65872 um\r\ntotal wire length on LAYER met4 = 24413 um\r\ntotal wire length on LAYER met5 = 0 um\r\ntotal number of vias = 140358\r\nup-via summary (total 140358):\r\n\r\n-------------------------\r\n FR_MASTERSLICE 0\r\n li1 58757\r\n met1 74896\r\n met2 5861\r\n met3 844\r\n met4 0\r\n-------------------------\r\n 140358\r\n\r\n\r\n[INFO DRT-0195] start 3rd optimization iteration ...\r\n completing 10% with 15637 violations\r\n elapsed time = 00:00:09, memory = 1458.28 (MB)\r\n completing 20% with 15637 violations\r\n elapsed time = 00:00:20, memory = 1477.76 (MB)\r\n completing 30% with 12256 violations\r\n elapsed time = 00:00:28, memory = 1420.72 (MB)\r\n completing 40% with 12256 violations\r\n elapsed time = 00:00:39, memory = 1463.47 (MB)\r\n completing 50% with 12256 violations\r\n elapsed time = 00:00:49, memory = 1446.50 (MB)\r\n completing 60% with 8911 violations\r\n elapsed time = 00:01:04, memory = 1468.27 (MB)\r\n completing 70% with 8911 violations\r\n elapsed time = 00:01:13, memory = 1460.98 (MB)\r\n completing 80% with 5754 violations\r\n elapsed time = 00:01:24, memory = 1445.70 (MB)\r\n completing 90% with 5754 violations\r\n elapsed time = 00:01:35, memory = 1456.49 (MB)\r\n completing 100% with 2939 violations\r\n elapsed time = 00:01:50, memory = 1420.72 (MB)\r\nError: _10813_ 1 pin not visited #guides = 6\r\nError: checkConnectivity break, net _10813_\r\nObjs not visited:\r\nfrPathSeg: begin (291870 208930 ) end ( 291870 209610 ) layerNum 2\r\nfrPathSeg: begin (291410 209610 ) end ( 291870 209610 ) layerNum 2\r\nfrPathSeg: begin (291410 209610 ) end ( 291410 210970 ) layerNum 2\r\nfrPathSeg: begin (291410 210970 ) end ( 291870 210970 ) layerNum 4\r\nfrVia: at ( 291410 210970 )\r\nVIA DEF:\r\nVIA L1M1_PR_MR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nfrVia: at ( 291870 210970 )\r\nVIA DEF:\r\nVIA L1M1_PR_MR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nINSTTERM: (INST/CELL/TERM/NET) _19376_ sky130_fd_sc_hd__o221ai_1 C1 _10813_\r\nTERM (NAME/NET) C1 \r\nPIN (NAME) C1\r\n RECT 85 995 465 1325END_INSTTERM\r\n[INFO DRT-0180] post processing ...\r\n[ERROR DRT-0206] checkConnectivity error\r\nError: or_droute.tcl, 27 DRT-0206\r\n```\r\n\r\n[droute_issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6707537/droute_issue.tar.gz)\r\n"}, {"assistant": "There was a revert yesterday related to this cfd649c6d3f6fa3dd166f65fe6501f4847c9c08c:\r\n\r\nMerge pull request #784 from The-OpenROAD-Project-staging/drt-revert \u2026\r\nmaliberty committed 19 hours ago\r\n\r\nIs your run from after that time? If not, could you retry it?"}]} +{"num": 792, "messages": [{"user": "This is a very minimal design: two inverter connected together. Get to global_placement and end up with the error:\r\n\r\nglobal_placement -verbose 3\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 1500 14400\r\n[INFO GPL-0004] CoreAreaLxLy: 0 0\r\n[INFO GPL-0005] CoreAreaUxUy: 13500 14400\r\n[INFO GPL-0006] NumInstances: 2\r\n[INFO GPL-0007] NumPlaceInstances: 2\r\n[INFO GPL-0008] NumFixedInstances: 0\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 3\r\n[INFO GPL-0011] NumPins: 6\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 14697 14697\r\n[INFO GPL-0014] CoreAreaLxLy: 0 0\r\n[INFO GPL-0015] CoreAreaUxUy: 13500 14400\r\n[INFO GPL-0016] CoreArea: 194400000\r\n[INFO GPL-0017] NonPlaceInstsArea: 0\r\n[INFO GPL-0018] PlaceInstsArea: 129600000\r\n[INFO GPL-0019] Util(%): 66.67\r\n[INFO GPL-0020] StdInstsArea: 129600000\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG Error: 0.00000000 HPWL: 65700\r\n[InitialPlace] Iter: 2 CG Error: 0.00000000 HPWL: 68014\r\n[InitialPlace] Iter: 3 CG Error: 0.00000000 HPWL: 68244\r\n[InitialPlace] Iter: 4 CG Error: 0.00000000 HPWL: 68271\r\n[InitialPlace] Iter: 5 CG Error: 0.00000005 HPWL: 68274\r\n[DEBUG GPL-0003] FillerInit: CoreArea 194400000\r\n[DEBUG GPL-0003] FillerInit: WhiteSpaceArea 194400000\r\n[DEBUG GPL-0003] FillerInit: MovableArea 136080000\r\n[DEBUG GPL-0003] FillerInit: TotalFillerArea 6480000\r\n[DEBUG GPL-0003] FillerInit: NumFillerCells 0\r\n[DEBUG GPL-0003] FillerInit: FillerCellArea 64800000\r\n[DEBUG GPL-0003] FillerInit: FillerCellSize 4500 14400\r\n[INFO GPL-0031] FillerInit: NumGCells: 2\r\n[INFO GPL-0032] FillerInit: NumGNets: 3\r\n[INFO GPL-0033] FillerInit: NumGPins: 6\r\n[INFO GPL-0023] TargetDensity: 0.70\r\n[INFO GPL-0024] AveragePlaceInstArea: 64800000\r\n[INFO GPL-0025] IdealBinArea: 92571432\r\n[INFO GPL-0026] IdealBinCnt: 2\r\n[INFO GPL-0027] TotalBinArea: 194400000\r\n[INFO GPL-0028] BinCnt: 2048 2048\r\n[INFO GPL-0029] BinSize: 7 8\r\n[INFO GPL-0030] NumBins: 4194304\r\n[DEBUG GPL-0003] npinit: InitialHPWL: 24100\r\n[DEBUG GPL-0003] npinit: BaseWireLengthCoef: 0.0333333\r\n[DEBUG GPL-0003] npinit: InitSumOverflow: 40.8482\r\n[DEBUG GPL-0003] updateWireLengthCoef: NewWireLengthCoef: 0.00333333\r\n[DEBUG GPL-0003] npinit: WireLengthCoef: 0.00333333\r\n[DEBUG GPL-0003] updateGrad: DensityPenalty: 0\r\n[DEBUG GPL-0003] updateGrad: WireLengthGradSum: 4.37213\r\n[DEBUG GPL-0003] updateGrad: DensityGradSum: nan\r\n[DEBUG GPL-0003] updateGrad: GradSum: nan\r\n[ERROR GPL-0306] RePlAce diverged at wire/density gradient Sum.\r\n\r\nTraced the error to back to source file: replace/src/nesterovPlace.cpp, method: NesterovPlace::updateGradients\r\n\r\nMinimal flow script too:\r\nset process \"amic5n\"\r\nread_lef ${process}/${process}.tlef\r\nread_lef ${process}/${process}_std_cell.lef\r\nread_liberty ${process}/${process}_tt.lib\r\nread_verilog simple.v\r\nlink_design simple\r\ninitialize_floorplan -utilization 60 -site core\r\nmake_tracks\r\nauto_place_pins metal2\r\nglobal_placement -verbose 3\r\n\r\n\r\nsimple.v:\r\nmodule simple(z, a);\r\n output z;\r\n input a;\r\n\r\n wire x1;\r\n\r\n inv_b G1 (\r\n .z(x1),\r\n .a(a)\r\n );\r\n\r\n inv_b G2 (\r\n .z(z),\r\n .a(x1)\r\n );\r\nendmodule\r\n\r\n\r\nAm I missing a step? ...no other WARNING messages before the error.\r\n\r\nPointers where to look? Thank you.\r\n"}, {"assistant": "Sometimes the placer has issues with very small designs (it reaches a solution so early it thinks something went wrong). I'll take a look."}, {"user": "Here's a self-contained test case to duplicate the issue. \r\n\r\nopenroad -exit cmd.tcl\r\n\r\nTested with latest code base. \r\n\r\nThanks.\r\n[OpenRoad_tc1.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6715337/OpenRoad_tc1.tar.gz)\r\n"}, {"user": "I've done some tracing, and it looks like the nan values are originating from FFT::doFFT()'s call to ddct2d() \r\nThe binDensity_ array is populated with nan\r\n\r\nThis is during NesterovPlace::init()\r\n\r\nComparing to a larger design, the binDensity_ array does get set with real values during this time"}, {"user": "...and check out the NumBins: for this minimal design: 4194304 a slightly larger design (a 8 bit gray counter) has 16"}, {"user": "Confirmed that the commit fixed this issue. Thank you."}]} +{"num": 798, "messages": [{"user": "This is a simple two cell design that I'm using for learning and evaluation -- it's two inverters and two ports:\r\n\r\n![Screenshot from 2021-06-28 23-15-52](https://user-images.githubusercontent.com/441535/123747312-9ea86800-d867-11eb-8c5d-fa6cd553e12e.png)\r\n\r\nPorts and pins are in metal1 -- the routing looks obvious: just three pieces of metal1 and you're done. However, the router does something strange:\r\n\r\n![Screenshot from 2021-06-28 23-17-58](https://user-images.githubusercontent.com/441535/123747325-a405b280-d867-11eb-9d59-5b79bf9b7392.png)\r\n\r\nIt's jumping up to metal2 when not necessary (in all cases), and doing some \"scenic routing\"\r\n\r\nIs there a way to direct the router to conserve upper layer resources? \r\nComplete stand-alone test case provided, just run make to reproduce. \r\n[OpenRoad_tc2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6731172/OpenRoad_tc2.tar.gz)\r\n\r\nThanks, Dan\r\n"}, {"assistant": "We avoid routing on m1 as that tends to lead to pin access problems (not in this simple case but in general). However the scenic routes will be investigated."}, {"assistant": "@mooredan the straight wire segments on metal1 didnt happen because there are high routing costs for running over/into the pins, due the the reasons Matt mentioned above.\r\n\r\nStill, speaking the net a, it seems it could go metal2, and go straight to the left IO pin, and then go metal1. This didnt happen because TritonRoute forbids via access on IO pins at the moment.\r\n\r\nThus, in these very simple scenarios you can expect to see those things."}, {"assistant": "We generally don't see IOs on m1 so that is unusual. In other layers planar access works well. @mooredan is there anything more to pursue here?"}, {"user": "This isn't a modern process so routing resources are limited with only three layers of metal, and a couple of more complex cells use some metal2. Just looking at it from the perspective of what would a human do in these situations where a simple and obvious route in metal1 wouldn't occupy those precious metal2 resources.\r\n\r\nLooking on the left hand side, it did do the route in metal1 (it did so too on the right hand side, but not as cleanly), and then did the unnecessary, and redundant, m1,via1,m2,via1 route blocking two metal2 tracks (metal2's preferred direction is horizontal).\r\n\r\nThanks for looking into this."}, {"assistant": "Do you think such simple cases occur often? It can really only happen between adjacent pins. We have focused on 130nm and below for which this isn't a concern.\r\n\r\nIt never really routed in m1 so much as the m1 shapes happened to touch in the process of going up and down to m2."}, {"user": "Sure, if it's possible and within the design rules, then it can occur. My concern is needlessly utilizing the upper level routing resource when it isn't necessary to do so. I did confirm that if the input and output pins were place on metal2 (in the same locations), then a much cleaner route occurs -- however it still is using metal2 for the intermediate route when a small piece of metal1 would suffice.\r\n\r\nThat said, anyone in the ASIC business knows that we spend significant time learning the tools that we work with and understanding their limitations and devising workarounds. The tools from the \"big three\" aren't immune from these sort of things either. However, I must say that support is so much better with FOSS."}, {"assistant": "It certainly could occur but I expect it will be very low frequency so the actual savings will be small. I'm not basing this on any hard data and am open to being proven wrong on a more realistic design."}, {"assistant": "I'm going to close this as there is no further action on this simple case. If you have a more realistic case in the future please (re)open an issue for it."}]} +{"num": 805, "messages": [{"user": "AMI C5N process.\r\n\r\nI'm running pdn and getting this result where metal2 (lavender) and metal3 (pink) power strapping overlap. Only one via is being inserted where two would actually fit.\r\n\r\n![Screenshot from 2021-06-30 16-14-11](https://user-images.githubusercontent.com/441535/124042874-aaf30900-d9be-11eb-807d-c9b6ccae0e55.png)\r\n\r\nThe overlap area is 2.2um x 0.9um, via size is 0.5um x 0.5um and design rules require an enclosure of the via by 0.2um in all directions on both layers. via spacing is 0.8um \r\n\r\nHere's a legal placement for the same area:\r\n\r\n![Screenshot from 2021-06-30 16-11-35](https://user-images.githubusercontent.com/441535/124043043-0e7d3680-d9bf-11eb-9aff-55407e53ec63.png)\r\n\r\nThis can also be seen in the DEF file after running pdn:\r\n\r\n$ grep 'VIARULE viagen32' pdn.def \r\n - via2_2200x900 + VIARULE viagen32 + CUTSIZE 500 500 + LAYERS metal2 via2 metal3 + CUTSPACING 800 800 + ENCLOSURE 850 200 850 200 ;\r\n\r\nSelf contained test case attached, unpack and enter \"make\"\r\n\r\n[OpenRoad_tc3.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6744470/OpenRoad_tc3.tar.gz)\r\n\r\nFollowing up with a pull request with a potential fix.\r\n\r\n\r\n\r\n"}, {"user": "Pull request merged."}]} +{"num": 807, "messages": [{"user": "jiadyuan@JiadongdeMacBook-Pro OpenROAD % ./etc/DependencyInstaller.sh -dev\r\nDarwin is not supported\r\nwe only support Linux at the moment\r\nusage: ./etc/DependencyInstaller.sh -run[time]\r\n ./etc/DependencyInstaller.sh -dev[elopment]"}, {"assistant": "mac is not a platform we support directly. There are some mac users but they have to setup their environment. If you can use docker that is simpler to get going, otherwise try homebrew."}]} +{"num": 808, "messages": [{"user": "[ 44%] Built target lefzlib\r\nmake[2]: Nothing to be done for `src/OpenDB/src/def/CMakeFiles/def.dir/build'.\r\n[ 44%] Built target zutil\r\n[ 44%] Built target def\r\nmake: *** [all] Error 2\r\n\r\n\r\n\r\n\r\nI am running on MacOS, I have installed all the dependencies. Then run sudo ./etc/Build.sh. However whatever I tried, there was always an Error 2 happened...."}, {"assistant": "You need to include more of the log. Likely there was an error further up"}, {"user": "[ 44%] Swig compile OpenRoad-py.i for python\r\ncd /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src && /usr/local/Cellar/cmake/3.20.1/bin/cmake -E make_directory /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig_py.dir\r\ncd /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src && /usr/local/Cellar/cmake/3.20.1/bin/cmake -E touch /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON.stamp\r\ncmake -E touch: failed to update \"/Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON.stamp\".\r\nmake[2]: *** [src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON.stamp] Error 1\r\nmake[1]: *** [src/CMakeFiles/openroad_swig_py_swig_compilation.dir/all] Error 2\r\nmake: *** [all] Error 2\r\n\r\n"}, {"assistant": "do you have swig installed? Did it give an error?"}, {"user": "jiadyuan@JiadongdeMacBook-Pro build % brew install swig\r\nUpdating Homebrew...\r\n==> Auto-updated Homebrew!\r\nUpdated Homebrew from 89aeaffd9 to e20ec394b.\r\nUpdated 2 taps (homebrew/core and homebrew/cask).\r\n==> New Formulae\r\ns4cmd\r\n==> Updated Formulae\r\nUpdated 18 formulae.\r\n==> Updated Casks\r\nUpdated 7 casks.\r\n\r\nWarning: swig 4.0.2 is already installed and up-to-date.\r\nTo reinstall 4.0.2, run:\r\n brew reinstall swig"}, {"user": "-- OpenROAD version: 1\r\n-- OpenROAD git sha: cf744a3734204ef12378150d429acf32af385ea4\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 12.0.5.12050022\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- TCL library: /usr/local/lib/libtcl8.6.dylib\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- TCL readline library: /opt/local/lib/libtclreadline.dylib\r\n-- TCL readline header: /opt/local/include\r\n-- Found Boost: /usr/local/include (found version \"1.68.0\") \r\n-- spdlog: 1.8.5\r\n-- STA version: 2.3.0\r\n-- STA git sha: 35a3f1e4e3f148b30678f9455e64d220c6758462\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 12.0.5.12050022\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- TCL library: /usr/local/lib/libtcl8.6.dylib\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/include (found suitable version \"1.68.0\", minimum required is \"1.68.0\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n"}, {"assistant": "The stamp file is just a timestamp of when swig ran. If it is failing it is likely because swig is failing. Would you attach a full build log?"}, {"user": "Swig compile OpenRoad-py.i for python\r\ncd /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src && /usr/local/Cellar/cmake/3.20.1/bin/cmake -E make_directory /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig_py.dir\r\ncd /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src && /usr/local/Cellar/cmake/3.20.1/bin/cmake -E touch /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON.stamp\r\ncd /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src && /usr/local/Cellar/cmake/3.20.1/bin/cmake -E env SWIG_LIB=/opt/local/share/swig/4.0.2 /opt/local/bin/swig -python -Werror -w317,325,378,401,402,467,472,503,509 -outdir /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src -c++ -module openroad_swig_py -interface _openroad_swig_py -o /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON_wrap.cxx /Users/jiadyuan/Desktop/OpenROAD-flow-scripts/tools/OpenROAD/src/OpenRoad-py.i\r\n:3: Error: Unable to find 'python.swg'\r\nmake[2]: *** [src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON.stamp] Error 1\r\nmake[2]: *** Deleting file `src/CMakeFiles/openroad_swig_py.dir/OpenRoad-pyPYTHON.stamp'\r\nmake[1]: *** [src/CMakeFiles/openroad_swig_py_swig_compilation.dir/all] Error 2\r\nmake: *** [all] Error 2\r\n\r\n\r\n\r\nUnable to find 'python.swg', what is this file?"}, {"assistant": "That is part of the swig installation so there is something wrong with your install.\r\nhttps://github.com/swig/swig/blob/master/Lib/python/python.swg"}, {"user": "Solved the problem, if installing the openroad on MacOS, need more dependencies, as for swig, still need swig-python\r\n\r\nbrew install swig-python"}, {"assistant": "If you find the right recipe and want to contribute it back as documentation that would be welcome."}]} +{"num": 842, "messages": [{"user": "Platform Version\r\n```\r\nNo LSB modules are available.\r\nDistributor ID: Debian\r\nDescription: Debian GNU/Linux rodete\r\nRelease: rodete\r\nCodename: rodete\r\n```\r\n\r\nIn the CI the test src/rcx/test/generate_pattern.ok generates the following log.\r\n\r\n```\r\n[INFO ODB-0222] Reading LEF file: sky130hs/sky130hs.tlef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: sky130hs/sky130hs.tlef\r\n[INFO RCX-0055] Finished 252 bench measurements for pattern MET_OVER_MET\r\n[INFO RCX-0055] Finished 330 bench measurements for pattern MET_OVER_MET\r\n[INFO RCX-0007] Finished 240 measurements for pattern MET_UNDER_MET\r\n[INFO RCX-0057] Finished 180 bench measurements for pattern MET_UNDER_MET\r\n[INFO RCX-0058] Finished 182 bench measurements for pattern MET_DIAGUNDER_MET\r\nNo differences found.\r\nNo differences found.\r\n```\r\n\r\nHowever, on my machine this tests failed with the following log.\r\n\r\n```\r\n[INFO ODB-0222] Reading LEF file: sky130hs/sky130hs.tlef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: sky130hs/sky130hs.tlef\r\n[INFO RCX-0437] RECT li1 ( 9910 85 ) ( 10080 16915 ) jids= ( 1 2 )\r\n[INFO RCX-0055] Finished 252 bench measurements for pattern MET_OVER_MET\r\n[INFO RCX-0055] Finished 330 bench measurements for pattern MET_OVER_MET\r\n[INFO RCX-0007] Finished 240 measurements for pattern MET_UNDER_MET\r\n[INFO RCX-0057] Finished 180 bench measurements for pattern MET_UNDER_MET\r\n[INFO RCX-0058] Finished 182 bench measurements for pattern MET_DIAGUNDER_MET\r\nNo differences found.\r\nNo differences found.\r\n```\r\n\r\nThe test generates `[INFO RCX-0437] RECT li1 ( 9910 85 ) ( 10080 16915 ) jids= ( 1 2 )` which does not appear in the prod CI\r\n"}, {"assistant": "I can't reproduce this but I tracked the output down to a debug print statement.\r\nI took a shot at fixing it with the following commit, so please try it again with your environment.\r\ndfdebc174 rcx_issue842 origin/rcx_issue842 rcx issue#842 regression test has different results depending on platform"}, {"assistant": "@QuantamHD \r\nIs still relevant?"}]} +{"num": 846, "messages": [{"user": "OpenDB/src/swig/tcl/ and OpenDB/src/swig/python have different mappings. Make them common where possible or equivalent where not."}, {"user": "One example:\r\n// (dbGCellGrid|dbTrackGrid)::getGridPattern[XY]\r\n%apply int& OUTPUT { int& origin_x, int& origin_y, int& line_count, int& step };\r\n\r\nis in tcl only"}]} +{"num": 849, "messages": [{"user": "I want to initialize exactly 35 rows. The command I'm using is as follows:\r\n\r\n```\r\n initialize_floorplan\\\r\n -die_area \"0 0 451.35 95.2\"\\\r\n -core_area \"0.0 0.0 451.35 95.2\"\\\r\n -site unithd\r\n```\r\n\r\nThere has been a regression since 4d4d7205fd0292dbf3fae55fad9109b3f0bd5786 where it creates one less row.\r\n\r\nThis appears to be a rounding or off-by-one of some kind given that adding +0.1 creates the correct number of rows.\r\n\r\nAdditionally, when using my custom placer, which utilizes the entire grid, the last *column* experiences a similar issue, where all cells placed on the last column fail `verify_placement`. Again, incrementing the width by +0.1 works around this issue.\r\n\r\n```\r\nOpenROAD 1 4d4d7205fd0292dbf3fae55fad9109b3f0bd5786\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./sky130_fd_sc_hd.merged.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2008): NOWIREEXTENSIONATPIN statement is obsolete in version 5.6 or later.\r\nThe NOWIREEXTENSIONATPIN statement will be ignored. See file ./sky130_fd_sc_hd.merged.lef at line 2.\r\n\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 437 library cells\r\n[INFO ODB-0226] Finished LEF file: ./sky130_fd_sc_hd.merged.lef\r\n[INFO IFP-0001] Added 35 rows of 981 sites.\r\n```\r\n\r\nAfter:\r\n\r\n```\r\nOpenROAD 1 4cfaab83011661be0aef64288c0d5a76315c443c\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./sky130_fd_sc_hd.merged.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2008): NOWIREEXTENSIONATPIN statement is obsolete in version 5.6 or later.\r\nThe NOWIREEXTENSIONATPIN statement will be ignored. See file ./sky130_fd_sc_hd.merged.lef at line 2.\r\n\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 437 library cells\r\n[INFO ODB-0226] Finished LEF file: ./sky130_fd_sc_hd.merged.lef\r\n[INFO IFP-0001] Added 34 rows of 981 sites.\r\n```\r\n\r\nReproducible: [RAM32_SIZE32.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/6811216/RAM32_SIZE32.zip)\r\nInstructions: run `fp_init.tcl` with the desired version of OR."}, {"assistant": "The value is 95.2 and it is scaled to meters by distance_ui_sta and back to microns in InitFloorplan::metersToDbu. However the scaling to meters is done with a float not a double which leads to rounding issues.\r\n\r\nIn gdb:\r\n\r\n(gdb) p value\r\n$43 = 95.200000000000003\r\n(gdb) p (value * 1e-6f) * 1e6\r\n$44 = 95.199999759643106\r\n(gdb) p (value * 1e-6) * 1e6\r\n$45 = 95.200000000000003\r\n\r\n$44 is what we are getting while $45 is what using a double would produce. In InitFloorplan::initFloorplan:\r\n\r\nint cux = metersToDbu(core_ux);\r\n\r\nrounds down to 95199 instead of 95200 as a result. This leads to 34 rows instead of 35 from InitFloorplan::makeRows:\r\n\r\n int rows_y = core_dy / site_dy;\r\n\r\nI think sta should use a double scale factor here. @jjcherry56 "}, {"assistant": "I believe the previous PR fixed this @donn can you confirm"}, {"user": "It did. Thanks, all."}]} +{"num": 853, "messages": [{"user": "Hi,\r\nI have defined horizontal and vertical Vias in my tech file:\r\n```\r\nVIA via2_V DEFAULT\r\n LAYER via2 ;\r\n RECT -0.035 -0.035 0.035 0.035 ;\r\n LAYER metal2 ;\r\n RECT -0.035 -0.07 0.035 0.07 ;\r\n LAYER metal3 ;\r\n RECT -0.035 -0.07 0.035 0.07 ;\r\nEND via2_V\r\n\r\nVIA via2_H DEFAULT\r\n LAYER via2 ;\r\n RECT -0.035 -0.035 0.035 0.035 ;\r\n LAYER metal2 ;\r\n RECT -0.07 -0.035 0.07 0.035 ;\r\n LAYER metal3 ;\r\n RECT -0.07 -0.035 0.07 0.035 ;\r\nEND via2_H\r\n```\r\n\r\nBut TritonRoute gives a warning that it needs to create a new custom VIA:\r\n```\r\n...\r\n[INFO DRT-0151] Reading Guide\r\n\r\n#guides: 3417\r\n\r\n[WARNING DRT-0160] Warning: metal2 does not have viaDef aligned with layer direction, generating new viaDef via2_FR.\r\n[WARNING DRT-0160] Warning: metal3 does not have viaDef aligned with layer direction, generating new viaDef via3_FR.\r\n[INFO DRT-0167] List of default vias:\r\n Layer via1\r\n default via: via1_7\r\n Layer via2\r\n default via: via2_FR\r\n Layer via3\r\n default via: via3_FR\r\n```\r\n\r\nIt creates new VIAs, with a cross shape like the following:\r\n![custom_via](https://user-images.githubusercontent.com/66719560/125609677-d2b1e45e-9eee-4ae0-93c9-f1306cf28617.png)\r\n\r\n\r\nThis works fine in this case, but on another platform (i cannot release the files), it causes DRC errors. Is it possible to prevent TritonRoute from creating this custom VIAs ? TritonRoute was previously able to route without the cross-shaped vias. Or has it become mandatory ?\r\n\r\ntestcase if you want to reproduce it: \r\n[detail_route_gcd_test_plat2_base_2021-07-14_10-20.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6815473/detail_route_gcd_test_plat2_base_2021-07-14_10-20.tar.gz)\r\n"}, {"assistant": "This code hasn't changed in a long time so I'm surprised you have a new issue. Do you know what the via used previously was for this layer? Do you only have square via enclosures in your other platform?\r\n\r\nThere is an internal switch to disable via generation but there is no user control currently."}, {"assistant": "Also what sort of rule does the generated via violate?"}, {"user": "Well, I am working on a platform where the VIA enclosure needs to be increased if another VIA is stacked on top of the first one. So TritonRoute created the new VIAs with the default enclosure. And did not take the VIAs that I defined (with increased enclosure) to avoid this issue. I guess it could be solved by redefining the enclosure in the tech file, but this cross shape also prevents insertion of double vias...\r\nAnyway, I managed to find the internal switch and set it up, similar to what is done for the GF14 platform:\r\n```\r\n if (DBPROCESSNODE == \"GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB\") {\r\n VIAINPIN_BOTTOMLAYERNUM = 2;\r\n VIAINPIN_TOPLAYERNUM = 2;\r\n USENONPREFTRACKS = false;\r\n BOTTOM_ROUTING_LAYER = 4;\r\n TOP_ROUTING_LAYER = 18;\r\n ENABLE_VIA_GEN = false;\r\n }\r\n\r\n//my modif\r\n if (DBPROCESSNODE == \"test_plat2_Node\") {\r\n ENABLE_VIA_GEN = false;\r\n logger_->info(utl::DRT,\r\n 251,\r\n \"my modif: Disabled the via generation. ENABLE_VIA_GEN is {}\",\r\n ENABLE_VIA_GEN);\r\n```\r\nThis solved the issue. Thank you"}, {"assistant": "I'm glad open-source allows you to fix your issue :)\r\n\r\nWe could consider making via selection/generation smarter in the future though it would be difficult without a LEF to work with."}, {"user": "Yep, this is really nice !\r\nThis enclosure rule is quite special. It is on an older technology, and I have never seen anything like this on another technology (even in the same foundry). So to be honest, I am not sure that modifying the tool for this is worth it... And I am not even sure that the LEF format lets you define the enclosure of a VIA depending on the fact that it is stacked or not.\r\nI think having the tool try to search between a set of possible viaDEFs when routing (especially when trying to find access point to the cells) would be a more interesting upgrade. As it would then allow to have full double VIAs capability :)"}, {"assistant": "Seems like `ENABLE_VIA_GEN` should just be settable via tcl. I could make a quick PR if desired."}, {"user": "That would be nice if you don't mind !"}, {"assistant": "@quentinw91 this is done, you can use `detailed_route -disable_via_gen` with 1a9a8b6"}]} +{"num": 866, "messages": [{"user": "I ran into the following error while trying to build (manually) on Fedora 34 (5.11.20-300.fc34.x86_64).:\r\n\r\n```\r\nIn file included from /home/$USER/sources/OpenROAD/src/TritonRoute/src/gr/FlexGRCMap.h:37,\r\n from /home/$USER/sources/OpenROAD/src/TritonRoute/src/gr/FlexGRCMap.cpp:29:\r\n/home/$USER/sources/OpenROAD/src/TritonRoute/src/frBaseTypes.h:331:20: error: \u2018segment\u2019 in namespace \u2018boost::geometry::model\u2019 does not name a template type\r\n 331 | typedef bg::model::segment segment_t;\r\n\r\n```\r\nI also share here the `cmake ..` output :\r\n\r\n```\r\n-- OpenROAD version: 1\r\n-- OpenROAD git sha: 1ad7ce2303b8c5a56b4cbb9ef95866e4ac41c683\r\n-- System name: Linux\r\n-- Compiler: GNU 11.1.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /home/$USER/.local\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Boost: /usr/include (found version \"1.75.0\") \r\n-- spdlog: 1.8.5\r\n-- STA version: 2.3.0\r\n-- STA git sha: be0d38e382bbc7d07bc633ae87d1459816252c3d\r\n-- System name: Linux\r\n-- Compiler: GNU 11.1.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O2 -DNDEBUG\r\n-- Install prefix: /home/$USER/.local\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/$USER/sources/OpenROAD/src/sta/app/sta\r\n-- GUI is not enabled\r\n-- Found Boost: /usr/include (found suitable version \"1.75.0\", minimum required is \"1.68.0\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /home/$USER/sources/OpenROAD/build\r\n```\r\nThanks "}, {"user": "I am sorry, I have just re-read in the dependency list : **boost 1.68 (1.75 will not compile)**.\r\nI am closing the issue.\r\n"}, {"assistant": "@maliberty can we fix up the CMake find_package to better enforce this? Currently we just have:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/a5a56584fed1523f384e2944ee8439256ab5e12d/src/CMakeLists.txt#L70\r\n\r\nWe could do this if 1.68 is the only version that works:\r\n```\r\nfind_package(Boost 1.68 EXACT REQUIRED)\r\n```\r\nOr this if 1.68 - 1.74 work:\r\n```\r\nfind_package(Boost 1.68...1.74 REQUIRED)\r\n```\r\n"}, {"assistant": "That would probably be better. It's been on the todo list for a while to look at what changed in the newer boost versions. I'll try to take a look soon."}]} +{"num": 907, "messages": [{"user": "There are still a fair number of calls to notice and other functions in dbLogger.h. Please finish the conversion to the new logger."}, {"assistant": "@maliberty Is this conversion to logger complete?"}, {"user": "No - @ahmadelrouby has been out but is return now."}]} +{"num": 908, "messages": [{"user": "I want to be able to initialize a floorplan based on a provided DEF file where a component has a \"HALO\" annotation, and noticed this currently isn't supported (`read_def -floorplan_initialize` outputs \"error: HALO on component is unsupported\"). Are there plans to add this feature eventually? It'd be helpful for my use case.\r\n\r\nThanks!\r\n"}, {"assistant": "It would be helpful to have the exact message which makes it easier to see where in the code the problem is. Even better is a small test case."}, {"assistant": "I see, it is one of our old pre-logger messages. Are you in need of ROUTEHALO too? Are you applying this to a macro? If so that macro placer supports a halo option though it has to be specified outside of DEF."}, {"user": "Thanks for the prompt reply! We don't need ROUTEHALO, and yes, we are applying it to a macro. Thanks for the note about the macro placer - I think that could be a helpful workaround, but for our case it would ultimately be ideal to read the DEF file annotation (I'm trying to prepare a floorplan that could in theory be fed into a variety of tools with minimal tool-specific configuration). "}, {"assistant": "I agree that the macro placer should be able to pick up the halo from DEF as well. We are in the middle of bringing up a new macro placer so I'll suggest this for the new one (@ravi-varadarajan)."}, {"assistant": "@nmoroze To confirm your use model you are initializing a floorplan with read_def -floorplan_initialize and in the COMPONENT section of the DEF there is +HALO which is currently throwing an error. You would like this to be to used as the halo specification for the component. Also if there is a global halo specification as in the call _**macro_placement**_ command, would this be additive?\r\n"}, {"assistant": "@ahmadelrouby please take care of the opendb part of this problem (taking the halo from def and storing it). "}, {"assistant": "If it is stored as a PORPERTY on the COMPONENT, we can use during macro placement"}, {"assistant": "There is a dbInst::getHalo but oddly not setHalo. There is a field to store the data so we just need to hook it up and make it functional. There is no field for a soft halo but we could add a bit if needed."}, {"user": "> @nmoroze To confirm your use model you are initializing a floorplan with read_def -floorplan_initialize and in the COMPONENT section of the DEF there is +HALO which is currently throwing an error. You would like this to be to used as the halo specification for the component. Also if there is a global halo specification as in the call _**macro_placement**_ command, would this be additive?\r\n\r\nThat's correct! I haven't thought about how this would interact with a global halo specification. Maybe the HALO in the DEF could take priority, since it's more specific? I won't be needing a `macro_placement` command for my use case though (all macros will be placed in the provided DEF), so I have no specific needs for how those two should interact."}]} +{"num": 909, "messages": [{"user": "Results directory: https://people.freebsd.org/~yuri/openroad-test-results.tgz\r\n\r\nVersion: 2.0\r\nOS: FreeBSD 13"}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}, {"user": "I didn't ask to support FreeBSD. This issue is about a QA failure."}, {"assistant": "There is no such failure on other platforms"}]} +{"num": 910, "messages": [{"user": "```\r\n*** /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/test/ispd18_sample.ok Fri Jul 16 14:09:30 2021\r\n--- /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/TritonRoute/test/results/ispd18_sample.log Thu Jul 22 23:44:18 2021\r\n***************\r\n*** 78,81 ****\r\n [INFO DRT-0036] Via8 guide region query size = 0\r\n [INFO DRT-0036] Metal9 guide region query size = 0\r\n [INFO DRT-0179] init gr pin query ...\r\n! No differences found.\r\n--- 78,83 ----\r\n [INFO DRT-0036] Via8 guide region query size = 0\r\n [INFO DRT-0036] Metal9 guide region query size = 0\r\n [INFO DRT-0179] init gr pin query ...\r\n! Differences found at line 61.\r\n! + ROUTED Metal2 ( 92200 73530 ) ( * 78850 )\r\n! + ROUTED Metal2 ( 92200 73530 ) ( * 77710 )\r\n```"}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}, {"user": "I didn't ask to support FreeBSD. This issue is about a QA failure.\r\n"}, {"assistant": "There is no such failure on other platforms."}]} +{"num": 911, "messages": [{"user": "```\r\n*** /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/rcx/test/ext_pattern.ok\tFri Jul 16 14:09:30 2021\r\n--- /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/rcx/test/results/ext_pattern.log\tThu Jul 22 23:42:45 2021\r\n***************\r\n*** 11,17 ****\r\n [INFO RCX-0029] Defined extraction corner X\r\n [INFO RCX-0008] extracting parasitics of blk ...\r\n [INFO RCX-0435] Reading extraction model file ext_pattern.rules ...\r\n! [INFO RCX-0436] RC segment generation blk (max_merge_res 0.0) ...\r\n [INFO RCX-0040] Final 70552 rc segments\r\n [INFO RCX-0439] Coupling Cap extraction blk ...\r\n [INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\r\n--- 11,17 ----\r\n [INFO RCX-0029] Defined extraction corner X\r\n [INFO RCX-0008] extracting parasitics of blk ...\r\n [INFO RCX-0435] Reading extraction model file ext_pattern.rules ...\r\n! [INFO RCX-0436] RC segment generation blk (max_merge_res 0) ...\r\n [INFO RCX-0040] Final 70552 rc segments\r\n [INFO RCX-0439] Coupling Cap extraction blk ...\r\n [INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\r\n*** /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/rcx/test/gcd.ok\tFri Jul 16 14:09:30 2021\r\n--- /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/rcx/test/results/gcd.log\tThu Jul 22 23:43:00 2021\r\n***************\r\n*** 17,23 ****\r\n [INFO RCX-0008] extracting parasitics of gcd ...\r\n Notice 0: Split top of 102 T shapes.\r\n [INFO RCX-0435] Reading extraction model file ext_pattern.rules ...\r\n! [INFO RCX-0436] RC segment generation gcd (max_merge_res 0.0) ...\r\n [INFO RCX-0040] Final 3221 rc segments\r\n [INFO RCX-0439] Coupling Cap extraction gcd ...\r\n [INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\r\n--- 17,23 ----\r\n [INFO RCX-0008] extracting parasitics of gcd ...\r\n Notice 0: Split top of 102 T shapes.\r\n [INFO RCX-0435] Reading extraction model file ext_pattern.rules ...\r\n! [INFO RCX-0436] RC segment generation gcd (max_merge_res 0) ...\r\n [INFO RCX-0040] Final 3221 rc segments\r\n [INFO RCX-0439] Coupling Cap extraction gcd ...\r\n [INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\r\n*** /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/rcx/test/45_gcd.ok\tFri Jul 16 14:09:30 2021\r\n--- /disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0/src/rcx/test/results/45_gcd.log\tThu Jul 22 23:43:10 2021\r\n***************\r\n*** 15,21 ****\r\n [INFO RCX-0008] extracting parasitics of gcd ...\r\n Notice 0: Split top of 123 T shapes.\r\n [INFO RCX-0435] Reading extraction model file 45_patterns.rules ...\r\n! [INFO RCX-0436] RC segment generation gcd (max_merge_res 0.0) ...\r\n [INFO RCX-0040] Final 2656 rc segments\r\n [INFO RCX-0439] Coupling Cap extraction gcd ...\r\n [INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\r\n--- 15,21 ----\r\n [INFO RCX-0008] extracting parasitics of gcd ...\r\n Notice 0: Split top of 123 T shapes.\r\n [INFO RCX-0435] Reading extraction model file 45_patterns.rules ...\r\n! [INFO RCX-0436] RC segment generation gcd (max_merge_res 0) ...\r\n [INFO RCX-0040] Final 2656 rc segments\r\n [INFO RCX-0439] Coupling Cap extraction gcd ...\r\n [INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\r\n```\r\n\r\nVersion: 2,0\r\nOS: FreeBSD 13\r\n"}, {"assistant": "Similar issue in https://github.com/The-OpenROAD-Project/OpenROAD/pull/806"}, {"assistant": "That pull request fixes this by adding formatting to the RCX-0436 message for the _mergeResBound double variable in src/rcx/src/netRC.cpp \r\n\r\n"}, {"assistant": "@yurivict Please pull from master and verify if this issue is resolved."}, {"user": "Thanks.\r\n\r\nI will verify with the next release."}, {"assistant": "no action needed at this point"}]} +{"num": 921, "messages": [{"user": "As mentioned in the Gitter discussion, I have been constantly getting the **[ERROR DRT-0073] no ap for (...)** when running the `detailed_route` step. \r\n\r\nIn an effort to find out the root cause for this issue I have reduced the design to a [ Minimum Working Example - mwe.tar.gz](https://drive.google.com/file/d/1hHTpQJTrkNwJY7ddwkiOwFEsqDvCgXsX/view?usp=sharing.).\r\n\r\nThis design example has 8% utilization, so this is should not be related with excessive routing congestion.. \r\nIn order to easily replicate the issue, please proceed as follows:\r\n\r\n[Download **mwe.tar.gz**](https://drive.google.com/file/d/1hHTpQJTrkNwJY7ddwkiOwFEsqDvCgXsX/view?usp=sharing.) to **OpenROAD-flow-scripts/** directory, untar it to **OpenROAD-flow-scripts/mwe/** and then run:\r\n\r\n```\r\ndocker run -it -u $(id -u ${USER}):$(id -g ${USER}) --mount type=bind,source=$(pwd)/flow/platforms,target=/OpenROAD-flow-scripts/flow/platforms --mount type=bind,source=$(pwd)/mwe,target=/mwe openroad/flow-scripts\r\n```\r\nor, alternatively, run\r\n```\r\nsource mwe/run.sh\r\n```\r\nthen inside the running docker container, run the following:\r\n```\r\nsource setup_env.sh \r\nopenroad /mwe/cmd.tcl \r\n```\r\nPlease let me know if there is something else I can do to aid in the debug.\r\nThank you in advance!\r\n\r\n"}, {"assistant": "The problem is with STDLIB_3bdec cell, pin yz[0]. You are creating a port for each rect, when you could create a single port for all of them (at least for those that are in touch with each other). If you create a port for all the pin shapes it should work. Still, the macro definition is not wrong and I think TR should handle this situation, but if you want a quick solution, you can do as I suggested.\r\n\r\nAs you can see in the picture, there are many rectangles in metal2 that could be \"merged\" into one port. TR fails to find an ap for the one marked in purple and gives the error.\r\n\r\n![image](https://user-images.githubusercontent.com/1700817/127072287-2cce4a33-4536-42d2-8259-fc7140abbb06.png)\r\n"}, {"assistant": "Putting touch shapes in different ports is wrong, see the LEF manual:\r\n Each PORT of the same PIN is considered weakly connected to the other PORTs\r\n\r\nIf they are touching shapes they are not weakly connected. We might be able to route anyways but it is not correct modeling."}, {"user": "Thanks for such a quick feedback.\r\nI confirm that modifying the LEF in this way solves the issue and I am able to get a fully routed DEF on this design sample! \r\n\r\nStill, I am now trying to do the same on the original design and I get this error one just one cell. \r\nI am trying to check if this is still a LEF issue with the particular std cell.\r\n\r\nDo you have any hints on how to debug this kind of errors ? \r\nIs there anyway to output a partial routed DEF file for debug whenever this kind of errors arise ? \r\n"}, {"user": "I have been able to avoid this error by slightly changing the pin location of STDCELL. \r\nThanks very much for the great support."}, {"user": "I am sorry to re-open the issue, but could you please help me debug a similar error, on a different STD cell ? \r\nThis time I do not have _weakly connected_ PORTs, so I guess it should be related with a different issue here.\r\nThe error I get is `[ERROR DRT-0073] no ap for I150_16581/s`, which corresponds to the **s** port of a SR-latch.\r\n\r\nThe files are at: [ Minimum Working Example 2 - mwe2.tar.gz](https://drive.google.com/file/d/1oxzPsNqyFzfY3CsswZtvDUm3s2rDANNz/view?usp=sharing)\r\n\r\nI really want to understand how to debug this issues so I can avoid them in future designs.\r\nThank you once again for the great feedback."}, {"assistant": "Hi zml, no worries.\r\n\r\nFor debugging, you can used the flag -gui when you call openroad, but you also need to add, in you cmd.tcl, before detailed_route command, the following command:\r\n\r\ndetailed_route_debug -pa\r\n\r\nYou can also use the flag -pin (for this command) to track a specific pin. Use the following format for pin name: :. Example:\r\n\r\ndetailed_route_debug -pa -pin inst0:A\r\n\r\nHowever, I dont know if this will help much. My debugging required me to look at the code. But at least you can understand the context and narrow the error.\r\n\r\nI will take a look on the new error right now.\r\n"}, {"assistant": "The problem is that you have an obstacle over a small pin and TR is trying to create access points accessible only by vias. In the picture below, the red X's are access points, and the light red rectangles are M2 obstacles.\r\n\r\n![image](https://user-images.githubusercontent.com/1700817/127365630-fed818c6-c259-499f-aaeb-4422b1f57420.png)\r\n\r\nI will further investigate why it is not allowing planar access."}, {"assistant": "@Stephanommg this sort of situation is what nearby grid APs were created for. There is a similar situation in skywater. I'm not sure if they are possible for this shape or not. In general this isn't a good cell design practice."}, {"assistant": "This is the full picture with nearby aps. It seems that any via that will be used will be still close to the obstacle.\r\n![image](https://user-images.githubusercontent.com/1700817/127368891-914fe045-3341-40e7-882e-229b14fbef43.png)\r\n\r\n@zml By default TR doesnt allow planar access to access points in M1."}, {"assistant": "@zml you can set VIA_ACCESS_LAYERNUM in src/global.cpp to something less than 2 to allow planar access to those access points, but do this at you own risk since this is non-default in TR."}, {"user": "> @zml By default TR doesnt allow planar access to access points in M1.\r\n\r\nJust to make sure I am getting this right.\r\nDoes that mean that TR will always try to connect with M2 + Via directly and would not try to create a vertical M1 path ?\r\nBecause if simply increase M1 rectangle height of the **PIN s** as follows :\r\n```\r\n# RECT 2.11 3.63 2.245 3.87 ;\r\n RECT 2.11 3.63 2.245 5.7 ;\r\n```\r\nThe error no longer occurs. \r\nThanks \r\n"}, {"assistant": "Right, in general routing on m1 may make other pins inaccessible. To avoid those complications we just assume via access and check for compatibility of via access. More work could be put into this area but that's the current strategy and it tends to work ok when pins are not blocked like this one.\r\n\r\nMaking the pin bigger likely means we find a way to drop a via on it and the problem is gone. "}, {"user": "I get it. Many thanks."}]} +{"num": 943, "messages": [{"user": "Greetings,\r\n\r\nI've just started the OpenROAD porting to OpenBSD; in the official port tree we already have OpenSTA as standalone tool; I'm wondering if it's possible to build OpenROAD without compiling the OpenSTA sources too.\r\n\r\nIf that's is supported (or even not fully supported, but the effort is low), please give me some hints on how to proceed.\r\n\r\nThanks and Regards\r\n\r\n--\r\nAlessandro"}, {"assistant": "It isn't supported and I don't think it would make sense as many parts of the system depend on opensta."}]} +{"num": 964, "messages": [{"user": "I am trying to install the dependencies using etc/DependencyInstaller.sh, but I am getting error that:\r\nE: The repository 'http://ppa.launchpad.net/saltmakrell/ppa/ubuntu focal Release' does not have a Release file.\r\nN: Updating from such a repository can't be done securely, and is therefore disabled by default.\r\n\r\nI am attaching screenshot as well. Am I missing any step?\r\n![Screenshot from 2021-08-05 00-22-20](https://user-images.githubusercontent.com/69325690/128238944-b3bf5d70-71ef-48d5-96fe-438dc8297db0.png)\r\n"}, {"assistant": "@praharshapm this looks unrelated to OpenROAD. Does the error also occurs if you run `sudo apt update`?"}, {"user": "Oh, you are right. I am also getting same error for `sudo apt update`. Looks like there is some other issue. Thanks"}]} +{"num": 965, "messages": [{"user": "https://github.com/The-OpenROAD-Project/OpenLane/issues/497\r\n\r\n[05-08_13-27_or_groute_packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/6938507/05-08_13-27_or_groute_packaged.tar.gz)\r\n"}, {"assistant": "I ran it with the head of OR (cb60cd1859458b0089c7d9bdb483bc95cf1c9ce3) without error."}, {"assistant": "Btw, if it dying it will be in repair_antennas not global_route."}, {"assistant": "@donn would you test the latest OR in OL and see if this is resolved?"}, {"user": "It appears that there is a regression of some kind (I would wager another metric\u2019s output has changed,) so I will need to take a look at that first. Apologies for the delay."}, {"assistant": "@donn should I close this?"}, {"assistant": "Having heard nothing I'm closing this."}]} +{"num": 1008, "messages": [{"user": "Regression. Not sure what it means.\r\n\r\n```log\r\nOpenROAD 1 7ff717102e1699417600b0d0df4025348124d824\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file ./tmp/merged_unpadded.lef at line 973.\r\n\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: Users/donn/efabless/openlane/designs/spm/runs/openlane_test/results/floorplan/spm.floorplan.def\r\n[INFO ODB-0128] Design: spm\r\n[INFO ODB-0130] Created 36 pins.\r\n[INFO ODB-0131] Created 433 components and 2537 component-terminals.\r\n[INFO ODB-0133] Created 312 nets and 997 connections.\r\n[INFO ODB-0134] Finished DEF file: Users/donn/efabless/openlane/designs/spm/runs/openlane_test/results/floorplan/spm.floorplan.def\r\n[INFO PDN-0016] Power Delivery Network Generator: Generating PDN\r\n config: pdk/sky130A/libs.tech/openlane/common_pdn.tcl\r\n[INFO PDN-0008] Design name is spm.\r\n[INFO PDN-0009] Reading technology data.\r\n[INFO PDN-0011] ****** INFO ******\r\nType: stdcell, grid\r\n Stdcell Rails\r\n Layer: met1 - width: 0.480 pitch: 2.720 offset: 0.000 \r\n Straps\r\n Layer: met4 - width: 1.600 pitch: 153.600 offset: 16.320 \r\n Layer: met5 - width: 1.600 pitch: 153.180 offset: 16.650 \r\n Connect: {met4 met5} {met1 met4}\r\nType: macro, CORE_macro_grid_1\r\n Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90\r\n Straps\r\n Connect: {met4_PIN_ver met5}\r\n[INFO PDN-0012] **** END INFO ****\r\n[INFO PDN-0013] Inserting stdcell grid - grid.\r\ncan't read \"stripe_locs(met4,POWER)\": no such element in array\r\n```\r\n\r\n[openlane_test_or_pdn_packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7012651/openlane_test_or_pdn_packaged.tar.gz)\r\n"}, {"user": "We're blocked on this, btw."}, {"assistant": "@Colin-Holehouse please take a look and give an ETA."}, {"assistant": "@Colin-Holehouse or @ibrahimkhairy can one of you pick this up urgently? OpenLane can't uprev to the latest openroad until this is fixed."}, {"assistant": "@ibrahimkhairy Colin has a higher priority issue, can you try to debug this?"}, {"assistant": "ok, I'll have a look"}, {"assistant": " Hi Donn, \r\n \r\n I just checked with Colin today and the test case needs 2 changes to pass: \r\n1) add voltage_domans definitions in pdngen config:\r\n`set pdngen::voltage_domains {\r\n CORE {\r\n primary_power VPWR primary_ground VGND\r\n }\r\n }\r\n`\r\n \r\n 2) Change the variables FP_PDN_HPITCH and FP_PDN_VPITCH to a value that fits in the design; it was previously set to 153.18 which is larger than design dimentions\r\n \r\n I've attached a modified working test cases with changes to the following scripts:\r\n \"run\" , \"run_ol\", \"common_pdn.tcl\"\r\n \r\n Also, we'll have a PR later today to allow pdngen to detect these cases automatically in future; it'll have the following updates: \r\n 1) pdngen will initialize voltage_domains if not set in the config\r\n 2) will return a detailed error message when strap pitch exceeds design width or height\r\n\r\n[updated_openlane_test_or_pdn_packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7106063/updated_openlane_test_or_pdn_packaged.tar.gz)\r\n"}, {"user": "```\r\nadd voltage_domans definitions in pdngen config:\r\nset pdngen::voltage_domains { CORE { primary_power VPWR primary_ground VGND } } \r\n```\r\n\r\nThis is suddenly required... why?"}, {"user": "merged the voltage domains thing into open_pdks and openlane. thanks for the help"}]} +{"num": 1009, "messages": [{"user": "@mgwoo could you take a look and check if the [gpl README](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/gpl/README.md) and [gpl doc folder](https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/gpl/doc) is updated and all files are accessible from the main read me file?\r\n\r\nAlso, @abk-openroad raised the following questions during a review:\r\n- Is CImg still a noteworthy feature, given the GUI progress in the past year?\r\n- How does this verbosity level comply with the spdlog / messaging standard? I hope there is not a discrepancy here... I recall 3 levels and ~5 basic message types, plus reporting, for all integrated OpenROAD engines (tools).\r\n- Is `-timing_driven` the new virtual Resizer flow?"}, {"assistant": "- Is CImg still a noteworthy feature, given the GUI progress in the past year?\r\n - I think so. \r\n- How does this verbosity level comply with the spdlog / messaging standard? I hope there is not a discrepancy here... I recall 3 levels and ~5 basic message types, plus reporting, for all integrated OpenROAD engines (tools).\r\n - will change this as 5\r\n- Is -timing_driven the new virtual Resizer flow?\r\n - Yes. "}]} +{"num": 1010, "messages": [{"user": "@mgwoo could you check if the commands and options are up to date? Also @abk-openroad asked if in the first paragraph of the [README.md](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/mpl/README.md#macro-placement) where it says it requires `global_placement` before running macro placement is for MS-RePlAce? If so, then please say so explicitly."}, {"assistant": "Hello Vitor,\r\nThe global_placement should be done before running macro_placement -- the MacroPlacer takes inputs from the placed solution from MS-RePl. (The ORFS always runs MS-RePl before macro_placement command -- stage 2-3 and 2-4)"}, {"assistant": "I reviewed it (since I wrote it)"}, {"user": "@jjcherry56, the PR #1015 adds to the documentation about `-style` option. Is this option no longer used or deprecated?"}, {"assistant": "I would consider it an experiment that isn't used. So there isn't much point in documenting it."}]} +{"num": 1011, "messages": [{"user": "@eder-matheus could you review the commands and arguments for ppl on the [README.md](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/ppl/README.md)?\r\n\r\nAlso the Pin Placement for the Top Layer features is missing. Maybe @arlpetergadfort could help with motivation/use case for these."}, {"assistant": "Everything looks correct to me. I think the Pin Placement for the Top Layer can be described as a combination of `define_pin_shape_pattern` and `set_io_pin_constraint` command. When you create a placement grid with the first command, you can restrict a set of pins to this grid with the second command. But I agree that a motivation for this feature may help us to define a better section for this functionality."}, {"assistant": "@eder-matheus @vvbandeira I'll see if we can put something together to help motive that."}, {"assistant": "@eder-matheus @vvbandeira Here is what I from my team member (the short answer is the command enables face-to-face direct-bonding IOs):\r\nStudies have shown that 3D integration offers power and performance benefit. There is also a newly emerging opportunity for 3DIC application in the area of design obfuscation. Micro bumps and hybrid bonding are two of the more advanced packaging technologies that are used for 3D integration via face-to-face stacking [1](https://semiengineering.com/bumps-vs-hybrid-bonding-for-advanced-packaging/) The hybrid bonding technology provides even denser interconnect for the 3D stack [2](https://www.3dincites.com/2018/04/hybrid-bonding-from-concept-to-commercialization/) [3](https://en.wikipedia.org/wiki/Direct_bonding) [4](https://en.wikipedia.org/wiki/Direct_bonding). The implementation of face-to-face stacking involves placement of the ports/pins of the individual chips in the die area during physical design steps."}]} +{"num": 1012, "messages": [{"user": "@Colin-Holehouse could you help reviewing the Synopsis and Description lists for ICeWall and its Tcl commands so they match and are updated? Some of these questions where raised by @abk-openroad.\r\n\r\nOn [this file](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/ICeWall/doc/set_padring_options.md) for the options:\r\n- `-core_area` and `-die_area`, please explain semantics of how the list of 4 numbers specifies a region. Also, these options are not given in the Synopsis above the table.\r\n- If possible, could you add detail and example for the `-offsets` argument?\r\n\r\nEdit more notes:\r\n\r\nOn [set_bump_options](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/ICeWall/doc/set_bump_options.md) mentions lower left bump. Are origins (lower left vs. upper left) consistent throughout ICeWall commands and mentioned somewhere? Also, now that the database will allow 45 degree lines, this command will be deprecated once ICeWall has been modified to write RDL into the database directly.\r\n\r\nOn [set_padring_options](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/ICeWall/doc/set_padring_options.md) once a power net is defined, it can be used as the -signal argument for the `add_pad` command, is this an overload of \"signal\"?"}, {"assistant": "@vvbandeira \r\nICeWall got updated. Is this still relevant?"}]} +{"num": 1014, "messages": [{"user": "- [x] On the DPL documentation [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/dpl/README.md), for the command `set_placement_padding` the option `-masters` is not mentioned on the description.\r\n\r\n- [x] ~~The command `set_power_net` is mentioned in the text, but is missing from the \"block code\" that shows the synopsis of all commands. Is this still a valid command? If so, what are its options?~~\r\n\r\n- [ ] In the description of `-max_displacement`, we say that the default values are in \"sites\", but the next sentence says the unit is \"microns\". Also, it seems as if (500,100) originally contemplated placement sites in x, and cell row pitches in y. If these are now in microns, should the x and y max displacements be more similar to each other?\r\n\r\n- [ ] For the `filler_placement` command is `FILLER_` the default prefix? If so, maybe first saying (explicitly) the default value and then saying how to overwrite might more clear. Example:\r\n\r\n> The default prefix is `FILLER_`; to specify a different naming prefix, use -prefix . Wildcard matching is supported, so `FILL*` will match, e.g., `FILLCELL_X1 FILLCELL_X16 FILLCELL_X2 FILLCELL_X32 FILLCELL_X4 FILLCELL_X8`. \r\n\r\n- [ ] Add `remove_fillers` description to the docs.\r\n\r\nAlso, while addressing these questions, it might be an opportunity to review the documentation to see if all commands and options are current."}, {"assistant": "@tspyrou please discuss this with Cherry"}, {"assistant": "@vvbandeira \r\nIs this still relevant?"}, {"user": "@vijayank88 I have updated the issue with what is currently relevant."}]} +{"num": 1017, "messages": [{"user": "Logfile with the errors attached.\r\n[compile_error.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/7024189/compile_error.log)\r\n\r\nThis compile error wasn't occurring before Pull Request https://github.com/The-OpenROAD-Project/OpenROAD/pull/1006"}, {"assistant": "Not sure why it would break with that. Is it possible that the header for unordered_map is missing and that is the issue?\r\nWould you be able to try adding `#include ` to line 41 in mainWindow.h?\r\n\r\nI see another issue where the `keyPressEvent` is incorrectly a public, so that should be moved as well.\r\n"}, {"user": "Thanks, @arlpetergadfort. Adding the `#include ` fixed the compile error for me.\r\n\r\nHowever, sometimes I see a segfault when running `openroad -gui`. Here is what I see when running it with gdb:\r\n![gui_crash](https://user-images.githubusercontent.com/43450810/130508413-ac6e9d7e-a8ef-46ac-b589-7f2f18d9464d.jpeg)\r\n\r\n"}, {"assistant": "Great, I'll get the PR approved so this is solved."}, {"assistant": "@eder-matheus I did not see your comment about the segfault, so I'll reopen. Is that intermittent? or when loading a specific design? Does it happen on load or when you select a path (looks like load but please confirm)?"}, {"user": "@arlpetergadfort It happens even if I don't load any design. If I run just \u00b4openroad -gui\u00b4, it crashes sometimes. I've just tested it, and I had to run \u00b4openroad -gui\u00b4 five consecutive times to get the segfault."}, {"assistant": "okay, I'll take a look, there is probably a problem in the staGui code, which just wasn't an issue before switching it over to a dockwidget."}, {"assistant": "It's possible there an uninitialized variable. \r\nCan you try to replace the following in staGui.h (line 199)\r\n` TimingPathDetailModel() {}` -> ` TimingPathDetailModel() { path_ = nullptr; }`\r\nDoes that help?"}, {"assistant": "@eder-matheus can you valgrind startup on a mac (or maybe even on linux)?"}, {"user": "@arlpetergadfort This replacement seems to work. I've run `openroad -gui` multiple times and I didn't face any segfault.\r\n\r\n@maliberty Sure, I can (and will) run valgrind on centos."}, {"assistant": "the `path_` variable is definitely not initialized (based on the code), so that should probably get fixed as well.\r\nHere is the branch with that change: https://github.com/The-OpenROAD-Project-private/OpenROAD/tree/gui-fix-macos-segfault"}, {"assistant": "This is flagged in Coverity\r\n![image](https://user-images.githubusercontent.com/761514/130517576-e8c43009-6752-4f6e-93b0-cd62510d1ce0.png)\r\n\r\n@arlpetergadfort do you have access to look at the gui issues in Coverity?\r\n"}, {"assistant": "> This is flagged in Coverity\r\n> ![image](https://user-images.githubusercontent.com/761514/130517576-e8c43009-6752-4f6e-93b0-cd62510d1ce0.png)\r\n> \r\n> @arlpetergadfort do you have access to look at the gui issues in Coverity?\r\n\r\n@maliberty no I do not, if you want to give me access, I'd be happy to take a look.\r\n\r\n@eder-matheus Could you check the branch I listed above and see if that solves it as well (same change, just modified where the initialization happens)?"}, {"user": "@arlpetergadfort I've tested your branch and it isn't crashing anymore. I've also run Valgrind and it looks good too."}, {"assistant": "> @arlpetergadfort I've tested your branch and it isn't crashing anymore. I've also run Valgrind and it looks good too.\r\n\r\nGreat, in that case I'll merge that in."}]} +{"num": 1027, "messages": [{"user": "odb has removed getPoint_ext API and used getPoint for both (with/without ext). getPoint with 2 arguments is wrapped while getPoint with 3 arguments isn't. So when I call getPoint expecting 3 returned values, I only get 2."}, {"assistant": "Are you planning to fix this or @ahmadelrouby ?"}]} +{"num": 1028, "messages": [{"user": "new_int was a helper function to represent an int object that can be passed by reference to odb's APIs. It was removed recently. We don't need to add it again, but we need to handle functions returning by reference."}, {"assistant": "Hi!, I got stuck trying to align openlane to the lastest openroad ... do you have a suggestion for following code?\r\n```\r\norigin, count, step = odb.new_int(0), odb.new_int(0), odb.new_int(0)\r\nblock_top.findTrackGrid(H_LAYER).getGridPatternY(0, origin, count, step)\r\norigin, count, step = odb.get_int(origin), odb.get_int(count), odb.get_int(step)\r\n\r\n```"}, {"assistant": "Took another look, it seems there was a pretty easy fix. Bests.\r\n```\r\n#origin, count, step = odb.new_int(0), odb.new_int(0), odb.new_int(0)\r\n#block_top.findTrackGrid(H_LAYER).getGridPatternY(0, origin, count, step)\r\n#origin, count, step = odb.get_int(origin), odb.get_int(count), odb.get_int(step)\r\n#block_top.findTrackGrid(H_LAYER).getGridPatternY(0, origin, count, step)\r\norigin, count, step = block_top.findTrackGrid(H_LAYER).getGridPatternY(0)\r\n```"}]} +{"num": 1049, "messages": [{"user": "Hi\r\nI am trying to install OpenROAD on CentOS 7. I referred to the readme file on github and ran`./etc/DependencyInstaller.sh -dev` for the dependencies. When I tried to compile the project with `cmake3 ..` , an error occurred:\r\n\r\n> -- The CXX compiler identification is GNU 4.8.5\r\n> -- Check for working CXX compiler: /usr/bin/c++\r\n> -- Check for working CXX compiler: /usr/bin/c++ - works\r\n> -- Detecting CXX compiler ABI info\r\n> -- Detecting CXX compiler ABI info - done\r\n> -- Detecting CXX compile features\r\n> -- Detecting CXX compile features - done\r\n> -- OpenROAD version: 1\r\n> -- OpenROAD git sha: 527cd5d8e82308baa1a46265c1338e6c1757858f\r\n> -- System name: Linux\r\n> -- Compiler: GNU 4.8.5\r\n> -- Build type: RELEASE\r\n> -- Install prefix: /usr/local\r\n> -- TCL library: /usr/lib64/libtcl.so\r\n> -- TCL header: /usr/include/tcl.h\r\n> -- Found SWIG: /usr/bin/swig (found suitable version \"3.0.12\", minimum required is \"3.0\")\r\n> -- Found Boost: /usr/include (found version \"1.53.0\")\r\n> -- Found Python3: /usr/lib64/libpython3.6m.so (found version \"3.6.8\") found components: Development\r\n> -- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.7\")\r\n> CMake Error at src/CMakeLists.txt:107 (find_package):\r\n> By not providing \"Findspdlog.cmake\" in CMAKE_MODULE_PATH this project has\r\n> asked CMake to find a package configuration file provided by \"spdlog\", but\r\n> CMake did not find one.\r\n> Could not find a package configuration file provided by \"spdlog\" with any\r\n> of the following names:\r\n> spdlogConfig.cmake\r\n> spdlog-config.cmake\r\n> Add the installation prefix of \"spdlog\" to CMAKE_PREFIX_PATH or set\r\n> \"spdlog_DIR\" to a directory containing one of the above files. If \"spdlog\"\r\n> provides a separate development package or SDK, be sure it has been\r\n> installed.\r\n> -- Configuring incomplete, errors occurred!\r\n> See also \"/home/weitianyu/OpenROAD/build/CMakeFiles/CMakeOutput.log\".\r\n\r\nI tried to fix it with `sudo yum install spdlog` but it didn't help. \r\nThanks."}, {"assistant": "> -- The CXX compiler identification is GNU 4.8.5\r\n\r\n@legendmaker-wty from this line looks like you are using an old version of `gcc`.\r\nPlease make sure that gcc-8 was installed correctly -- i.e., `./etc/DependencyInstaller.sh -dev` did not fail or had any error.\r\nThe default install path of gcc-8 with DependencyInstaller is `/opt/rh/devtoolset-8/`.\r\n\r\nIf you don't have anything special in your system, the command below should work on Centos7.\r\n```\r\n./etc/Build.sh -clean\r\n```\r\n"}, {"assistant": "@legendmaker-wty were you able to build?"}, {"user": "No, the problem remains but I changed a platform and it worked.\nThanks for asking.\n\nVitor Bandeira ***@***.***>\u4e8e2021\u5e749\u67086\u65e5 \u5468\u4e0010:41\u5199\u9053\uff1a\n\n> @legendmaker-wty were you able to\n> build?\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "BTW @vvbandeira - Shouldn't cmake complain that your compiler version is too old?"}, {"assistant": "@legendmaker-wty thanks for letting us know, will close the issue.\r\n\r\n@mithro I think this is a good idea. I will add the check, thanks."}, {"user": "I'd like to add some followup. I tried to build OpenROAD again on my CentOS\n7. I referred to\nhttps://stackoverflow.com/questions/53310625/how-to-install-gcc8-using-devtoolset-8-gcc\nand ran the following 4 lines to enable the gcc-8 and for this time the\nbuild succeeded. Thank you very much for your help!\n\nyum install centos-release-scl\nyum install devtoolset-8-gcc devtoolset-8-gcc-c++\nscl enable devtoolset-8 -- bash\n\nsource /opt/rh/devtoolset-8/enable\n\n\nOn Mon, Sep 6, 2021 at 11:38 AM Vitor Bandeira ***@***.***>\nwrote:\n\n> @legendmaker-wty thanks for letting\n> us know, will close the issue.\n>\n> @mithro I think this is a good idea. I will\n> add the check, thanks.\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}]} +{"num": 1052, "messages": [{"user": "Is there currently a way to automatically check version compliance?\r\nCurrently a hash key is returned, but it's not clear how this key can be used to check the actual version?\r\n\ufffc\r\n$ openroad -version\r\n1 af9a0f9faafb7e61ae18e9496169c3527312b82a\r\n\r\nAs a comp, this is what verilator/yosys returns:\r\n$ verilator --version\r\nVerilator 4.028 2020-02-06 rev v4.026-92-g890cecc1\r\n\r\n$ yosys -version\r\nYosys 0.9+3672 (git sha1 014c7e26, gcc 9.3.0-17ubuntu1~20.04 -fPIC -Os)\r\n\r\nAny thoughts about implementing a python like versioning methodology?\r\n\r\neg:\r\nmatplotlib >= 3.3\r\nnumpy >= 1.19\r\n"}, {"assistant": "Technically the `1` is the version number, as listed here: https://github.com/The-OpenROAD-Project/OpenROAD/blob/4d956498152d921d73c06ca161f90356d7bba3a5/CMakeLists.txt#L48-L50\r\n\r\nI don't think there has been very much focus on versioning, because we don't publish binaries. The git hash is technically suitable to identify the version, but It's not very human-friendly unfortunately. I have noticed that yosys has a bot that will automatically bump the version number whenever the master branch is updated. It would be nice if we had a similar strategy."}, {"user": "Thanks! A couple of digits of precision after the 1 would be appreciated and a bot is a great idea."}, {"assistant": "One challenge of having version numbers is that people will report issues against older versions rather than against the head. We are not staffed to support N releases so we would have to be clear that version numbers don't represent support. Support is only at the head of master."}, {"assistant": "It would be simple to include the date associated with the commit (ie Thu Sep 2 14:08:55 2021 -0700). Dates form a natural ordering that is easy to compare."}, {"user": "In terms of support, I really people read and internalize the BSD license:-) \"THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS IS\" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.\r\n\r\nIn terms of using the date, I agree that it would work. It's not that common, but it would work (for me at least).\r\n\r\n```python\r\nimport datetime\r\nold = datetime.datetime(2021, 1, 9)\r\nnew = datetime.datetime(2021, 9, 1)\r\nprint(\"new is newer than old: \", old < new)\r\n\r\n```\r\n\r\n \r\n\r\n\r\n\r\n"}, {"assistant": "Use `git describe` to get a usable version number from a git repository. Date's in Git don't work as they are not guaranteed to be committed in any order."}, {"assistant": "If I try this with the current app I get:\r\n% openroad\r\nOpenROAD 1 v1.0rc1-6101-gfb8ae93b6\r\n\r\n@aolofsson is this ok for you?\r\n"}, {"user": "My recommendation/preference would still be something more systematic like the following.\r\n\r\nVerilator 4.211 devel rev v4.210-26-gcf39331d\r\n\r\nhttps://semver.org/\r\n\r\nmatplotlib >= 3.3\r\nnumpy >= 1.19\r\npytest >= 6.2.4\r\npandas >= 1.2.3\r\nJinja2 >= 2.11.3\r\nSphinx >= 3.5.4\r\n\r\n\r\n"}]} +{"num": 1053, "messages": [{"user": "I'm trying to build 1415572a73d43dfc91ba4501170fe6a245729e8. Using boost 1.76.0. I'm getting:\r\n```\r\n[ 88%] Building CXX object src/TritonRoute/CMakeFiles/TritonRouteLib.dir/src/gr/FlexGR_rq.cpp.o\r\ncd /Users/ronaldv/Projects/repositories/OpenROAD/build/src/TritonRoute && /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/c++ -DBOOST_ALL_NO_LIB -DFMT_LOCALE -DFMT_SHARED -DOPENROAD_BUILD -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/Users/ronaldv/Projects/repositories/OpenROAD/src/TritonRoute/include -I/usr/local/Cellar/tcl-tk/8.6.11_1/include -I/Users/ronaldv/Projects/repositories/OpenROAD/src/TritonRoute/src -I/Users/ronaldv/Projects/repositories/OpenROAD/include -I/Users/ronaldv/Projects/repositories/OpenROAD/src/OpenSTA/include -I/Users/ronaldv/Projects/repositories/OpenROAD/src/OpenDB/include -I/Users/ronaldv/Projects/repositories/OpenROAD/src/OpenDB/src/def/def -I/Users/ronaldv/Projects/repositories/OpenROAD/src/OpenDB/src/lef/lef -I/Users/ronaldv/Projects/repositories/OpenROAD/src/gui/include -I/Users/ronaldv/Projects/repositories/OpenROAD/src/OpenDB/include/opendb -I/Users/ronaldv/Projects/repositories/OpenROAD/src/flute3 -isystem /usr/local/include -iframework /usr/local/opt/qt/lib -isystem /usr/local/opt/qt/lib/QtCore.framework/Headers -isystem /usr/local/opt/qt/./mkspecs/macx-clang -isystem /usr/local/opt/qt/lib/QtWidgets.framework/Headers -isystem /usr/local/opt/qt/lib/QtGui.framework/Headers -isystem /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX11.3.sdk/System/Library/Frameworks/OpenGL.framework/Headers -O3 -DNDEBUG -isysroot /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX11.3.sdk -Wall -pedantic -Wcast-qual -Wredundant-decls -Wformat-security -fPIC -Xclang -fopenmp -std=c++17 -MD -MT src/TritonRoute/CMakeFiles/TritonRouteLib.dir/src/gr/FlexGR_rq.cpp.o -MF CMakeFiles/TritonRouteLib.dir/src/gr/FlexGR_rq.cpp.o.d -o CMakeFiles/TritonRouteLib.dir/src/gr/FlexGR_rq.cpp.o -c /Users/ronaldv/Projects/repositories/OpenROAD/src/TritonRoute/src/gr/FlexGR_rq.cpp\r\nIn file included from /Users/ronaldv/Projects/repositories/OpenROAD/src/TritonRoute/src/gr/FlexGR_rq.cpp:29:\r\nIn file included from /Users/ronaldv/Projects/repositories/OpenROAD/src/TritonRoute/src/gr/FlexGR.h:35:\r\nIn file included from /Users/ronaldv/Projects/repositories/OpenROAD/src/TritonRoute/src/frRTree.h:34:\r\nIn file included from /usr/local/include/boost/geometry/algorithms/equals.hpp:26:\r\nIn file included from /usr/local/include/boost/geometry/algorithms/detail/equals/implementation.hpp:46:\r\nIn file included from /usr/local/include/boost/geometry/algorithms/relate.hpp:15:\r\nIn file included from /usr/local/include/boost/geometry/algorithms/detail/relate/implementation.hpp:26:\r\nIn file included from /usr/local/include/boost/geometry/algorithms/detail/relate/multi_point_geometry.hpp:33:\r\nIn file included from /usr/local/include/boost/geometry/index/rtree.hpp:64:\r\nIn file included from /usr/local/include/boost/geometry/index/detail/rtree/node/node.hpp:44:\r\nIn file included from /usr/local/include/boost/geometry/index/detail/algorithms/bounds.hpp:18:\r\nIn file included from /usr/local/include/boost/geometry/index/detail/bounded_view.hpp:23:\r\n/usr/local/include/boost/geometry/strategies/index/services.hpp:31:5: error: static_assert failed due to requirement 'boost::geometry::detail::static_assert_check::value' \"Not implemented for this coordinate system.\"\r\n BOOST_GEOMETRY_STATIC_ASSERT_FALSE(\r\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n```"}, {"assistant": "I believe this was fixed later on - can you try the head of master and see if that works for you?"}, {"user": "Hi Matt, \r\nThat is correct, I've just succeeded building master. I'm actually trying to align to OpenLane which is using the checkin above. Can point me to the closest stable or preferable to the checkin that address this issue?\r\nThanks,\r\nRonald"}, {"assistant": "Are you using https://github.com/The-OpenROAD-Project/OpenLane or https://github.com/efabless/OpenLane. The former is more current and should have a more recent OR version. The later is frozen for the MPW2 shuttle."}, {"user": "https://github.com/efabless/openlane.git"}, {"assistant": "That version is frozen and will not update OR versions. I suggest you use https://github.com/The-OpenROAD-Project/OpenLane "}, {"user": "I moved to main on that repo and I'm all set for now. Thanks"}]} +{"num": 1058, "messages": [{"user": "I was wondering if OpenROAD supports adding two power nets and one ground net as power rings? \r\nI tried several PDN command but it seems that I can only add a pair of power rings. (VDD and VSS)\r\nIs there any method I can add another power ring VREG at the same time? \r\n\r\nThe following image is what I am expecting.\r\n![power_ring_example](https://user-images.githubusercontent.com/79955802/132056693-afdfa4f1-254f-4dde-929e-4ca16fa8e0b3.png)\r\n"}, {"assistant": "@arlpetergadfort does the new pdn resolve this?"}, {"assistant": "@maliberty yes, I think so. With -secondary_net options. It would be good if the OP could verify it works for them, but there are test cases that address this in pdn "}, {"assistant": "@shuolai \r\nCan you check latest pdn option?"}]} +{"num": 1061, "messages": [{"user": "hello \r\ni am a student and working on openroad for a project .May I know the commands for opening gui of openroad or do i need to install anything else for the same ??"}, {"assistant": "Just run openroad -gui\n\nOn Sat, Sep 4, 2021, 5:10 AM riya1407 ***@***.***> wrote:\n\n> hello\n> i am a student and working on openroad for a project .May I know the\n> commands for opening gui of openroad or do i need to install anything else\n> for the same ??\n>\n> \u2014\n> You are receiving this because you are subscribed to this thread.\n> Reply to this email directly, view it on GitHub\n> , or\n> unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"user": "what to do if error occurs like the code was compiled with gui disabled . I\nam attaching the screenshot with this email .\nthanking you\n\nOn Sat, Sep 4, 2021 at 8:35 PM Matt Liberty ***@***.***>\nwrote:\n\n> Just run openroad -gui\n>\n> On Sat, Sep 4, 2021, 5:10 AM riya1407 ***@***.***> wrote:\n>\n> > hello\n> > i am a student and working on openroad for a project .May I know the\n> > commands for opening gui of openroad or do i need to install anything\n> else\n> > for the same ??\n> >\n> > \u2014\n> > You are receiving this because you are subscribed to this thread.\n> > Reply to this email directly, view it on GitHub\n> > , or\n> > unsubscribe\n> > <\n> https://github.com/notifications/unsubscribe-auth/AAFZ5KW4OQB32YQN253DNWDUAIEE3ANCNFSM5DNHLCGQ\n> >\n> > .\n> > Triage notifications on the go with GitHub Mobile for iOS\n> > <\n> https://apps.apple.com/app/apple-store/id1477376905?ct=notification-email&mt=8&pt=524675\n> >\n> > or Android\n> > <\n> https://play.google.com/store/apps/details?id=com.github.android&referrer=utm_campaign%3Dnotification-email%26utm_medium%3Demail%26utm_source%3Dgithub\n> >.\n> >\n> >\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "You need to have Qt installed and rebuild the software. The simplest is to run etc/DependencyInstaller.sh"}, {"user": "i already have installed Qt .what changes are required in buil.sh for\nrebuilding\n\nOn Mon, Sep 6, 2021 at 10:16 PM Matt Liberty ***@***.***>\nwrote:\n\n> You need to have Qt installed and rebuild the software. The simplest is to\n> run etc/DependencyInstaller.sh\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "@riya1407 could you run the command below and paste here the output from `output.txt`?\r\n\r\n```\r\n./etc/Build.sh -clean 2>&1 | tee output.txt\r\n```"}, {"assistant": "Note that you need to have Qt5 installed; Qt4 won't work.\r\n\r\nWhen running cmake you should see:\r\n-- GUI is enabled"}, {"user": "-- The CXX compiler identification is GNU 9.3.0\n-- Check for working CXX compiler: /usr/bin/g++\n-- Check for working CXX compiler: /usr/bin/g++ -- works\n-- Detecting CXX compiler ABI info\n-- Detecting CXX compiler ABI info - done\n-- Detecting CXX compile features\n-- Detecting CXX compile features - done\n-- OpenROAD version: 1\n-- OpenROAD git sha: 5df98f89ecfaa80be41b8ee2b0402594a93208c0\n-- System name: Linux\n-- Compiler: GNU 9.3.0\n-- Build type: RELEASE\n-- Install prefix: /usr/local\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\n-- TCL header: /usr/include/tcl/tcl.h\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.1\", minimum\nrequired is \"3.0\")\n-- Found Boost: /usr/lib/x86_64-linux-gnu/cmake/Boost-1.71.0/BoostConfig.cmake\n(found version \"1.71.0\")\n-- Found Python3: /usr/lib/x86_64-linux-gnu/libpython3.8.so (found version\n\"3.8\") found components: Development\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\n-- Looking for C++ include pthread.h\n-- Looking for C++ include pthread.h - found\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\n-- Looking for pthread_create in pthreads\n-- Looking for pthread_create in pthreads - not found\n-- Looking for pthread_create in pthread\n-- Looking for pthread_create in pthread - found\n-- Found Threads: TRUE\n-- spdlog: 1.5.0\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\")\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\n-- STA version: 2.3.0\n-- STA git sha: 21ec025dab29c4badccfff276125b98e5ed47325\n-- System name: Linux\n-- Compiler: GNU 9.3.0\n-- Build type: RELEASE\n-- Build CXX_FLAGS: -O3 -DNDEBUG\n-- Install prefix: /usr/local\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\n-- TCL header: /usr/include/tcl/tcl.h\n-- Check if compiler accepts -pthread\n-- Check if compiler accepts -pthread - yes\n-- SSTA: 0\n-- STA executable: /home/riya/OpenROAD/src/sta/app/sta\n-- The C compiler identification is GNU 9.3.0\n-- Check for working C compiler: /usr/bin/gcc\n-- Check for working C compiler: /usr/bin/gcc -- works\n-- Detecting C compiler ABI info\n-- Detecting C compiler ABI info - done\n-- Detecting C compile features\n-- Detecting C compile features - done\n-- GUI is not enabled\n-- Found Boost: /usr/lib/x86_64-linux-gnu/cmake/Boost-1.71.0/BoostConfig.cmake\n(found suitable version \"1.71.0\", minimum required is \"1.68.0\")\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\n-- Found OpenMP: TRUE (found version \"4.5\")\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\n-- TCL readline disabled\n-- Tcl Extended disabled\n-- Python3 enabled\n-- Configuring done\n-- Generating done\n-- Build files have been written to: /home/riya/OpenROAD/build\n/usr/bin/cmake -S/home/riya/OpenROAD -B/home/riya/OpenROAD/build\n--check-build-system CMakeFiles/Makefile.cmake 0\n/usr/bin/cmake -E cmake_progress_start /home/riya/OpenROAD/build/CMakeFiles\n/home/riya/OpenROAD/build/CMakeFiles/progress.marks\n/usr/bin/make -f CMakeFiles/Makefile2 all\n\nthe above pasted information i am getting after running the command given\nby you\n\nOn Tue, Sep 7, 2021 at 10:35 AM Vitor Bandeira ***@***.***>\nwrote:\n\n> @riya1407 could you run the command below\n> and paste here the output from output.txt?\n>\n> ./etc/Build.sh -clean 2>&1 | tee output.txt\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "@riya1407 if you look at this line:\r\n\r\n```\r\n-- GUI is not enabled\r\n```\r\n\r\nCMake is not able to find some dependency to build the GUI code.\r\nMake sure you have run the latest `./etc/DependencyInstaller.sh -dev` and that no errors were reported.\r\nAlso, note what maliberty have said:\r\n\r\n> Note that you need to have Qt5 installed; Qt4 won't work.\r\n\r\nUntil the cmake or the `Build.sh -clean` command report `GUI enabled` GUI will not work."}, {"user": "what if while running DependencyInstaller.sh we get the following error:\nE: Could not open lock file /var/lib/apt/lists/lock - open (13: Permission\ndenied)\nE: Unable to lock directory /var/lib/apt/lists/\nW: Problem unlinking the file /var/cache/apt/pkgcache.bin - RemoveCaches\n(13: Permission denied)\nW: Problem unlinking the file /var/cache/apt/srcpkgcache.bin - RemoveCaches\n(13: Permission denied)\n\nOn Tue, Sep 7, 2021 at 2:21 PM Vitor Bandeira ***@***.***>\nwrote:\n\n> @riya1407 if you look at this line:\n>\n> -- GUI is not enabled\n>\n> CMake is not able to find some dependency to build the GUI code.\n> Make sure you have run the latest ./etc/DependencyInstaller.sh -dev and\n> that no errors were reported.\n> Also, note what maliberty have said:\n>\n> Note that you need to have Qt5 installed; Qt4 won't work.\n>\n> Until the cmake or the Build.sh -clean command report GUI enabled GUI\n> will not work.\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "@riya1407 that is not ideal -- at least.\r\n\r\nDid you run with `sudo` or with `root` permission?\r\n\r\nAlso, for debug, purposes could you add `set -x` to the `DependencyInstaller.sh` script? This way we can know which command is failing -- my guess is `apt` due to lack of root permission."}, {"user": "i had just run shell script by ./DependencyInstaller.sh will it require\nroot privilege ??\n\nOn Tue, Sep 7, 2021 at 3:20 PM Vitor Bandeira ***@***.***>\nwrote:\n\n> @riya1407 that is not ideal -- at least.\n>\n> Did you run with sudo or with root permission?\n>\n> Also, for debug, purposes could you add set -x to the\n> DependencyInstaller.sh script? This way we can know which command is\n> failing -- my guess is apt due to lack of root permission.\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "DependencyInstaller does require root privilege -- either run with sudo or as the root user.\r\nSee: https://openroad.readthedocs.io/en/latest/user/BuildLocally.html"}, {"user": "i tried building with the same command yet showing the above given error\nwhat shall i do?\n\nOn Tue, Sep 7, 2021 at 4:39 PM Vitor Bandeira ***@***.***>\nwrote:\n\n> DependencyInstaller does require root privilege -- either run with sudo or\n> as the root user.\n> See: https://openroad.readthedocs.io/en/latest/user/BuildLocally.html\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "Where is Qt installed on your machine? You can try in your build area\r\n\r\ncmake -DCMAKE_FIND_DEBUG_MODE=TRUE\r\n\r\nThis will give more info about where it is searching for Qt. \r\n"}, {"assistant": "This has gone dark."}]} +{"num": 1064, "messages": [{"user": "[INFO DRT-0165] Start pin access.\r\n[INFO DRT-0076] Complete 100 pins.\r\n[INFO DRT-0076] Complete 200 pins.\r\n[ERROR DRT-0074] No ap for PIN/clk.\r\nterminate called after throwing an instance of 'std::runtime_error'\r\n what(): DRT-0074\r\nAborted (core dumped)\r\n\r\nwhere am i going wrong if the above shown content is shown at the console window and openroad exits ??"}, {"assistant": "The router can find no way to legally access the top level clk pin. I would guess that this is because the pin is unplaced. If not, please provide a test case."}, {"user": "may i know the command for the same ??\n\nOn Tue, 7 Sep, 2021, 6:38 pm Matt Liberty, ***@***.***> wrote:\n\n> The router can find no way to legally access the top level clk pin. I\n> would guess that this is because the pin is unplaced. If not, please\n> provide a test case.\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "Just look at the input DEF file's PINS section and see if the pin is marked PLACED."}, {"user": "may i know the command to read track file??\n\nOn Tue, Sep 7, 2021 at 8:34 PM Matt Liberty ***@***.***>\nwrote:\n\n> Just look at the input DEF file's PINS section and see if the pin is\n> marked PLACED.\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "It is the DEF file I mentioned not a 'track file'. You would look at results///base/4_cts.def and search for that pin in the PINS section.\r\n\r\nAre you running your own design or one of the ones we provide? Are you using OpenROAD-flow-scripts?"}, {"user": "solved\n\nOn Tue, Sep 7, 2021 at 10:25 PM Matt Liberty ***@***.***>\nwrote:\n\n> It is the DEF file I mentioned not a 'track file'. You would look at\n> results///base/4_cts.def and search for that pin in the PINS section.\n>\n> Are you running your own design or one of the ones we provide? Are you\n> using OpenROAD-flow-scripts?\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}]} +{"num": 1065, "messages": [{"user": "After switching to the git describe version, all I see get is:\r\n-- OpenROAD version: 1\r\n-- OpenROAD git describe: -128-NOTFOUND\r\n"}, {"assistant": "What happens if you run 'git describe'?"}, {"user": "```\r\ngit describe\r\nfatal: No names found, cannot describe anything.\r\n```\r\nThis happens when I call it from the Openroad-flow / tools / OpenROAD (a submodule)\r\n\r\nbut when I run it on a non-submodule, I get ``v2.0-854-ga462d24d3``"}, {"user": "@maliberty running ``cmake`` on the non-submodule gives me:\r\n```\r\n-- OpenROAD version: 1\r\n-- OpenROAD git describe: v2.0-856-g13d06cda0\r\n```\r\nIt seems strange as well that the git describe is saying v2.0 and OR version is 1."}, {"user": "I think I narrowed it down a little. Since we have a copy of the repo, the tags were not copied over. Doing that fixed the issue with `` -128-NOTFOUND``, but a better fallback or warning might be good."}, {"assistant": "Fixed with 6e80ae096c9ae61e86057b7e9116bc9b44dde37a"}]} +{"num": 1068, "messages": [{"user": "I've been experimenting with the OpenDB Python bindings and ran into an issue. After building OpenROAD, I tried to follow the directions in the OpenDB README (with paths updated), and ran the following Python code:\r\n\r\n```python\r\nimport importlib.util\r\nspec = importlib.util.spec_from_file_location(\"odbpy\", \"./build/src/odb/src/swig/python/odbpy.py\")\r\nodb = importlib.util.module_from_spec(spec)\r\nspec.loader.exec_module(odb)\r\n```\r\n\r\nHowever, the final line fails with the error:\r\n```\r\nTraceback (most recent call last):\r\n File \"./build/src/odb/src/swig/python/odbpy.py\", line 14, in swig_import_helper\r\n return importlib.import_module(mname)\r\n File \"/usr/lib/python3.9/importlib/__init__.py\", line 127, in import_module\r\n return _bootstrap._gcd_import(name[level:], package, level)\r\n File \"\", line 1030, in _gcd_import\r\n File \"\", line 1007, in _find_and_load\r\n File \"\", line 984, in _find_and_load_unlocked\r\nModuleNotFoundError: No module named '_odbpy'\r\n```\r\n\r\nI noticed that the bindings get compiled to a static library `_odbpy.a`, and I believe the issue is that Python looks for C extension modules compiled as shared objects instead. I think what's going on is this static library is used to build the Python shell `odbpy` with the bindings integrated, but the README instructions seem to imply that there should be a C extension module built in this directory as well.\r\n\r\nI'm wondering if there's any intent to build a standalone C extension module as well, so that I could access the bindings in a standard Python interpreter (or is there a way to do this already that I'm missing)? Thanks!\r\n"}, {"assistant": "We have been moving away from the standalone mode and suggest people use openroad -python to get access to the binding."}, {"assistant": "@vvbandeira we should update the odb README."}, {"user": "Got it, thanks for the quick reply!"}]} +{"num": 1069, "messages": [{"user": "Error during `run_placement`\r\n\r\n```\r\n[NesterovSolve] Iter: 2270 overflow: 1.62017 HPWL: 295349210\r\n[NesterovSolve] Iter: 2280 overflow: 1.62017 HPWL: 295349210\r\n[NesterovSolve] Iter: 2290 overflow: 1.62017 HPWL: 295349210\r\n[ERROR GPL-0305] RePlAce diverged at newStepLength.\r\nError: or_replace.tcl, 93 GPL-0305\r\n```\r\n\r\nDesign can be found at https://github.com/bsg-idea/bsg_sky130_designs/tree/partial_design_debug/designs/partial_bp_srams\r\n\r\nThe design is a simple module that contains hardened SRAMs"}, {"assistant": "If you are using openroad-flow-script then please use\r\n\r\nmake global_place_issue\r\n\r\nto package the testcase. Otherwise please provide a self-contained tcl file to reproduce the file."}, {"user": "The problem went away after using a custom (larger) DIE_AREA configuration."}]} +{"num": 1071, "messages": [{"user": "rev. d1c7001\r\n\r\nOS: FreeBSD 13"}, {"assistant": "You need to provide some actual logs or data. I doubt this is a platform issue."}, {"user": "Here is the logs directory: https://people.freebsd.org/~yuri/openroad-OpenDB-test-logs.tgz"}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}]} +{"num": 1072, "messages": [{"user": "```\r\nDifferences found at line 4533.\r\n - _22166_ INV_X2 + PLACED ( 1232614 897853 ) N ;\r\n - _22166_ INV_X2 + PLACED ( 1233100 897836 ) N ;\r\n```\r\n\r\n```\r\n*** /usr/ports/cad/openroad/work/OpenROAD-d1c7001/src/gpl/test/incremental02.ok\tWed Sep 8 10:52:20 2021\r\n--- /usr/ports/cad/openroad/work/OpenROAD-d1c7001/src/gpl/test/results/incremental02.log\tThu Sep 9 03:55:26 2021\r\n***************\r\n*** 76,112 ****\r\n [NesterovSolve] Iter: 110 overflow: 0.303736 HPWL: 1210992608\r\n [NesterovSolve] Iter: 120 overflow: 0.303315 HPWL: 1211084817\r\n [NesterovSolve] Iter: 130 overflow: 0.302919 HPWL: 1211304054\r\n! [NesterovSolve] Iter: 140 overflow: 0.302559 HPWL: 1211681397\r\n! [NesterovSolve] Iter: 150 overflow: 0.30212 HPWL: 1212462888\r\n! [NesterovSolve] Iter: 160 overflow: 0.302303 HPWL: 1214219394\r\n! [NesterovSolve] Iter: 170 overflow: 0.303145 HPWL: 1217833807\r\n! [NesterovSolve] Iter: 180 overflow: 0.304636 HPWL: 1224580905\r\n! [NesterovSolve] Iter: 190 overflow: 0.3073 HPWL: 1236194664\r\n! [NesterovSolve] Iter: 200 overflow: 0.310978 HPWL: 1254126184\r\n! [NesterovSolve] Iter: 210 overflow: 0.315686 HPWL: 1276503431\r\n! [NesterovSolve] Iter: 220 overflow: 0.319261 HPWL: 1294426202\r\n! [NesterovSolve] Iter: 230 overflow: 0.318325 HPWL: 1290658222\r\n! [NesterovSolve] Iter: 240 overflow: 0.312145 HPWL: 1261520903\r\n! [NesterovSolve] Iter: 250 overflow: 0.309755 HPWL: 1257129419\r\n! [NesterovSolve] Iter: 260 overflow: 0.314495 HPWL: 1292495320\r\n! [NesterovSolve] Iter: 270 overflow: 0.307377 HPWL: 1278866918\r\n! [NesterovSolve] Iter: 280 overflow: 0.301069 HPWL: 1286213629\r\n! [NesterovSolve] Iter: 290 overflow: 0.28998 HPWL: 1286058726\r\n! [NesterovSolve] Iter: 300 overflow: 0.279963 HPWL: 1291567056\r\n! [NesterovSolve] Iter: 310 overflow: 0.266991 HPWL: 1300844487\r\n! [NesterovSolve] Iter: 320 overflow: 0.249807 HPWL: 1309470521\r\n! [NesterovSolve] Iter: 330 overflow: 0.229588 HPWL: 1323578791\r\n! [NesterovSolve] Iter: 340 overflow: 0.212459 HPWL: 1333213614\r\n! [NesterovSolve] Iter: 350 overflow: 0.200486 HPWL: 1326228679\r\n! [NesterovSolve] Finished with Overflow: 0.198316\r\n [INFO GPL-0133] Unlocked instances\r\n! [NesterovSolve] Iter: 360 overflow: 0.258303 HPWL: 1235297820\r\n! [NesterovSolve] Iter: 370 overflow: 0.223152 HPWL: 1250371582\r\n! [NesterovSolve] Iter: 380 overflow: 0.197022 HPWL: 1258791623\r\n! [NesterovSolve] Iter: 390 overflow: 0.172853 HPWL: 1266498851\r\n! [NesterovSolve] Iter: 400 overflow: 0.153297 HPWL: 1273073518\r\n! [NesterovSolve] Iter: 410 overflow: 0.136149 HPWL: 1278618536\r\n! [NesterovSolve] Iter: 420 overflow: 0.121513 HPWL: 1283025969\r\n! [NesterovSolve] Iter: 430 overflow: 0.10785 HPWL: 1286691488\r\n! [NesterovSolve] Finished with Overflow: 0.099087\r\n! No differences found.\r\n--- 76,114 ----\r\n [NesterovSolve] Iter: 110 overflow: 0.303736 HPWL: 1210992608\r\n [NesterovSolve] Iter: 120 overflow: 0.303315 HPWL: 1211084817\r\n [NesterovSolve] Iter: 130 overflow: 0.302919 HPWL: 1211304054\r\n! [NesterovSolve] Iter: 140 overflow: 0.302559 HPWL: 1211681585\r\n! [NesterovSolve] Iter: 150 overflow: 0.30212 HPWL: 1212462878\r\n! [NesterovSolve] Iter: 160 overflow: 0.302303 HPWL: 1214219693\r\n! [NesterovSolve] Iter: 170 overflow: 0.303145 HPWL: 1217834025\r\n! [NesterovSolve] Iter: 180 overflow: 0.304636 HPWL: 1224581054\r\n! [NesterovSolve] Iter: 190 overflow: 0.3073 HPWL: 1236194629\r\n! [NesterovSolve] Iter: 200 overflow: 0.310979 HPWL: 1254124326\r\n! [NesterovSolve] Iter: 210 overflow: 0.315749 HPWL: 1276519381\r\n! [NesterovSolve] Iter: 220 overflow: 0.319252 HPWL: 1294431982\r\n! [NesterovSolve] Iter: 230 overflow: 0.318365 HPWL: 1290690041\r\n! [NesterovSolve] Iter: 240 overflow: 0.312195 HPWL: 1261542703\r\n! [NesterovSolve] Iter: 250 overflow: 0.309777 HPWL: 1257156346\r\n! [NesterovSolve] Iter: 260 overflow: 0.314441 HPWL: 1292515088\r\n! [NesterovSolve] Iter: 270 overflow: 0.307343 HPWL: 1278870618\r\n! [NesterovSolve] Iter: 280 overflow: 0.300993 HPWL: 1286214041\r\n! [NesterovSolve] Iter: 290 overflow: 0.289899 HPWL: 1286046877\r\n! [NesterovSolve] Iter: 300 overflow: 0.279878 HPWL: 1291570540\r\n! [NesterovSolve] Iter: 310 overflow: 0.266941 HPWL: 1300846816\r\n! [NesterovSolve] Iter: 320 overflow: 0.249739 HPWL: 1309467015\r\n! [NesterovSolve] Iter: 330 overflow: 0.229515 HPWL: 1323585384\r\n! [NesterovSolve] Iter: 340 overflow: 0.212419 HPWL: 1333090688\r\n! [NesterovSolve] Iter: 350 overflow: 0.200219 HPWL: 1326612955\r\n! [NesterovSolve] Finished with Overflow: 0.198269\r\n [INFO GPL-0133] Unlocked instances\r\n! [NesterovSolve] Iter: 360 overflow: 0.258529 HPWL: 1235269651\r\n! [NesterovSolve] Iter: 370 overflow: 0.223276 HPWL: 1250299299\r\n! [NesterovSolve] Iter: 380 overflow: 0.197196 HPWL: 1258827112\r\n! [NesterovSolve] Iter: 390 overflow: 0.17282 HPWL: 1266529334\r\n! [NesterovSolve] Iter: 400 overflow: 0.153318 HPWL: 1273132466\r\n! [NesterovSolve] Iter: 410 overflow: 0.13593 HPWL: 1278617834\r\n! [NesterovSolve] Iter: 420 overflow: 0.121174 HPWL: 1283051341\r\n! [NesterovSolve] Iter: 430 overflow: 0.107756 HPWL: 1286790796\r\n! [NesterovSolve] Finished with Overflow: 0.099674\r\n! Differences found at line 4533.\r\n! - _22166_ INV_X2 + PLACED ( 1232614 897853 ) N ;\r\n! - _22166_ INV_X2 + PLACED ( 1233100 897836 ) N ;\r\n```\r\n\r\nrev. d1c7001\r\n\r\nclang-11\r\nFreeBSD 13"}, {"user": "Logs: https://people.freebsd.org/~yuri/openroad-gpl-results.tgz"}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}]} +{"num": 1078, "messages": [{"user": "Logs: https://people.freebsd.org/~yuri/openroad-cts-results.tgz\r\n\r\nrev. d1c7001\r\nOS: FreeBSD 13"}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}]} +{"num": 1079, "messages": [{"user": "Logs: https://people.freebsd.org/~yuri/openroad-grt-results.tgz\r\n\r\nrev. d1c7001\r\nOS: FreeBSD 13"}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}]} +{"num": 1080, "messages": [{"user": "Log: https://people.freebsd.org/~yuri/openroad-drt-results.tgz\r\n\r\nrev. d1c7001\r\nOS: FreeBSD 13"}, {"user": "This testcase is still failing in rev. 5bc179959"}, {"assistant": "I spun up a gcloud machine (freebsd-12-1) and can reproduce the issue."}, {"user": "I just reran tests on FreeBSD 13 yesterday with the current code - there are several other failuers as well."}, {"assistant": "There is no plan for OR to support FreeBSD. We welcome patches but can't spend the resources to track down issues."}]} +{"num": 1099, "messages": [{"user": "Are there any documents similar to [this](https://github.com/The-OpenROAD-Project-Attic/PEX/blob/master/docs/RegressionModelFormat.txt) to explain the meaning of RC tables in a tech file?"}, {"assistant": "Not to my knowledge."}]} +{"num": 1110, "messages": [{"user": "This issue surfaced when we merged a new version of OpenROAD.\r\n\r\n```\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nli1 Vertical 156045 146237 6.29%\r\nmet1 Horizontal 208060 168151 19.18%\r\nmet2 Vertical 156045 155652 0.25%\r\nmet3 Horizontal 104030 103200 0.80%\r\nmet4 Vertical 62418 61626 1.27%\r\nmet5 Horizontal 20806 20500 1.47%\r\n---------------------------------------------------------------\r\n\r\n[ERROR GRT-0122] Maze ripup wrong.\r\nError: or_replace.tcl, 93 GRT-0122\r\n```\r\n\r\nWhat is this, exactly?\r\n\r\n[aes_cipher.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7187675/aes_cipher.tar.gz)\r\n"}, {"assistant": "@eder-matheus can you help Donn from the OpenLane team with this.\r\n@donn Eder is the owner of the global router.\r\n"}, {"user": "Some more testing notes: this surfaced somewhere between fb8ae93b6c7a5eb0e6fac83360a8a48d76c41885 and f7a44b69256c0b2a1dce50362997c4091d7f6a06.\r\n\r\nThis passes fine on fb8ae93b6c7a5eb0e6fac83360a8a48d76c41885."}, {"assistant": "@donn Could you try the latest commit in the master branch? I've merged a PR yesterday that should fix this issue."}, {"user": "I'll give it a shot"}, {"user": "Can confirm that this passed as of 4f4b6da2dd6b740454141f677bbe557d5ef67756.\r\n\r\nThank you for your hard work."}]} +{"num": 1111, "messages": [{"user": "- OpenROAD Commit: f7a44b69256c0b2a1dce50362997c4091d7f6a06 (Tested with 01461c345522aa9cc240df2d7f01c0cd886f23d0 outside OpenLane)\r\n\r\n- Keeps spamming this then crashes:\r\n```\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 2 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 2 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 2 T shapes.\r\n```\r\n\r\n- Reproducible: [yhuff_sigvio.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7190183/yhuff_sigvio.tar.gz)\r\n"}, {"assistant": "@donn are you sure its from global_route and not repair_antennas ?"}, {"user": "Oh, you're right. Oops.\r\n\r\nWell I guess a workaround would be to switch the diode insertion strategy in OpenLane. Still, shouldn't segfault..."}, {"assistant": "This fails deep in orderWires, an awful piece of code in odb."}, {"user": "Oof. I'm so sorry."}, {"assistant": "I think I'm hitting the same issue. Present in current HEAD (c07e55dd4). Tried going back a little bit but still present.\r\nI can reproduce it with OpenLane's `aes` design, on macOS, when running `scripts/openroad/or_groute.tcl`. I've experience a similar segfault on a fedora machine as well, but need to verify if it was the same thing.\r\n\r\nHere's the stack trace:\r\n\r\n```c\r\n[INFO GRT-0096] Final congestion report:\r\nLayer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n---------------------------------------------------------------------------------------\r\nli1 3932 217 5.52% 0 / 0 / 0\r\nmet1 386532 108103 27.97% 0 / 0 / 0\r\nmet2 355124 112185 31.59% 0 / 0 / 0\r\nmet3 235904 3998 1.69% 0 / 0 / 0\r\nmet4 140476 15 0.01% 0 / 0 / 0\r\nmet5 46816 0 0.00% 0 / 0 / 0\r\n---------------------------------------------------------------------------------------\r\nTotal 1168784 224518 19.21% 0 / 0 / 0\r\n\r\n[INFO GRT-0018] Total wirelength: 2053502 um\r\n[INFO GRT-0006] Repairing antennas, iteration 1.\r\n[WARNING GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0224] Chose via L1M1_PR as default.\r\n[INFO GRT-0224] Chose via M1M2_PR as default.\r\n[INFO GRT-0224] Chose via M2M3_PR as default.\r\n[INFO GRT-0224] Chose via M3M4_PR as default.\r\n[INFO GRT-0224] Chose via M4M5_PR as default.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nProcess 14473 stopped\r\n* thread #1, queue = 'com.apple.main-thread', stop reason = EXC_BAD_ACCESS (code=1, address=0x38)\r\n frame #0: 0x00000001003e087c openroad`odb::tmg_conn::treeReorder(this=0x000000012cefc400, verbose=false, quiet=, no_convert=false) at tmg_conn.cpp:2015:32 [opt]\r\n 2012\t }\r\n 2013\t if (pt) {\r\n 2014\t tstack0--;\r\n-> 2015\t _last_id = x->_first_pt->_dbwire_id;\r\n 2016\t if (verbose) {\r\n 2017\t notice(0, \"net %d feedthru at \", _net->getId());\r\n 2018\t print_rcterm(x);\r\nTarget 0: (openroad) stopped.\r\n(lldb) bt\r\n* thread #1, queue = 'com.apple.main-thread', stop reason = EXC_BAD_ACCESS (code=1, address=0x38)\r\n * frame #0: 0x00000001003e087c openroad`odb::tmg_conn::treeReorder(this=0x000000012cefc400, verbose=false, quiet=, no_convert=false) at tmg_conn.cpp:2015:32 [opt]\r\n frame #1: 0x00000001003e017c openroad`odb::tmg_conn::analyzeNet(this=0x000000012cefc400, net=0x000000010b845c80, force=, verbose=false, quiet=false, no_convert=, cutLength=, maxLength=, no_patch=true) at tmg_conn.cpp:1752:5 [opt]\r\n frame #2: 0x00000001003e7d98 openroad`odb::orderWires(net=0x000000010b845c80, force=false, verbose=false) at wOrder.cpp:132:10 [opt]\r\n frame #3: 0x0000000100645644 openroad`grt::AntennaRepair::checkAntennaViolations(this=, routing=, max_routing_layer=, diode_mterm=0x00000001055df778) at AntennaRepair.cpp:122:7 [opt]\r\n frame #4: 0x000000010064e15c openroad`grt::GlobalRouter::repairAntennas(this=0x000000010310f860, diode_port=, iterations=3) at GlobalRouter.cpp:237:37 [opt]\r\n frame #5: 0x0000000100643ea0 openroad`_wrap_repair_antennas(void*, Tcl_Interp*, int, Tcl_Obj* const*) [inlined] grt::repair_antennas(diodePort=0x0000600003e3cb00, iterations=) at GlobalRouterTCL_wrap.cxx:1831:22 [opt]\r\n frame #6: 0x0000000100643e90 openroad`_wrap_repair_antennas(clientData=, interp=0x0000000109818210, objc=, objv=) at GlobalRouterTCL_wrap.cxx:2667:7 [opt]\r\n frame #7: 0x0000000102ea3ea4 libtcl8.6.dylib`TclNRRunCallbacks + 80\r\n frame #8: 0x0000000102ea4e98 libtcl8.6.dylib`TclEvalEx + 1616\r\n frame #9: 0x0000000102ea57bc libtcl8.6.dylib`Tcl_Eval + 40\r\n frame #10: 0x0000000100016304 openroad`ord::tclAppInit(Tcl_Interp*) [inlined] tclReadlineInit(interp=0x0000000109818210) at Main.cc:236:22 [opt]\r\n frame #11: 0x0000000100016264 openroad`ord::tclAppInit(Tcl_Interp*) [inlined] tclAppInit(argc=, argv=, interp=) at Main.cc:349:12 [opt]\r\n frame #12: 0x0000000100015f00 openroad`ord::tclAppInit(interp=0x0000000109818210) at Main.cc:358:10 [opt]\r\n frame #13: 0x0000000102f4c658 libtcl8.6.dylib`Tcl_MainEx + 668\r\n frame #14: 0x0000000102f4d0c0 libtcl8.6.dylib`Tcl_Main + 52\r\n frame #15: 0x000000010001677c openroad`main(argc=, argv=0x000000016fdff170) at Main.cc:217:3 [opt]\r\n```\r\nas you can see `x->_first_pt` is null."}, {"assistant": "A fix for a very similar issue just went in (https://github.com/The-OpenROAD-Project/OpenROAD/pull/1293) Please update and try again."}, {"assistant": "This issue is a duplicate of #1428"}]} +{"num": 1133, "messages": [{"user": "The sdf file output from `write_sdf` doesn't have delay values for the typical corner. It only has the minimum and the maximum corner. For example, this path only has the max and min delays\r\n` (IOPATH A X (0.069::0.128) (0.069::0.132))\r\n`\r\n\r\nI tried to explicitly define the corners and read the liberty with the `-corner typ` option, but it didn't help. I am attaching a test case to reproduce the issue.\r\n[spm_test_case.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7225806/spm_test_case.zip)\r\n "}, {"assistant": "@Manarabdelaty for me if I run your test case its reading only first read_liberty and report in sdf file as follows:\r\n(DELAYFILE\r\n (SDFVERSION \"3.0\")\r\n (DESIGN \"spm\")\r\n (DATE \"Tue Oct 19 16:06:16 2021\")\r\n (VENDOR \"Parallax\")\r\n (PROGRAM \"STA\")\r\n (VERSION \"2.3.0\")\r\n (DIVIDER /)\r\n (VOLTAGE 1.950::1.950)\r\n (PROCESS \"1.000::1.000\")\r\n (TEMPERATURE -40.000::-40.000)\r\n (TIMESCALE 1ns)\r\n\r\n\r\nBut its should write SDF as (VOLTAGE 1.950:1.80:1.60). Header itself its missing the all corners. Is any of our default configuration affecting this?\r\n\r\nIs there any options to include all corners in write_sdf command?\r\nwrite_sdf -corner $define_corners -divider / spmss.sdf - this format failing. Accept only one corner at a time."}, {"assistant": "A quick look at the code shows that it only writes the min/max values for the given corner and never writes the middle value. What is your use case that requires all three?"}, {"user": "@maliberty The specify blocks in sky130 cells have a placeholder for the three corners, so when we run timing simulations with the sdf file to annotate the gate delays, the simulator complaints that the typical corner is missing. "}, {"assistant": "sdf does not require all three corners to be present and two is quite typical. What is the simulator issue?"}, {"user": "The issue is that the skywater-pdk has a placeholder for the three corners in the specify blocks, so the simulator expects to see three corners in the sdf file as well."}, {"assistant": "Would you give a pointer to an example of the specify block in skywater-pdk?\r\n\r\nDoes the simulator refuse to run or is it just a warning?"}, {"user": "https://github.com/google/skywater-pdk-libs-sky130_fd_sc_hd/blob/main/cells/a2111o/sky130_fd_sc_hd__a2111o.specify.v\r\n\r\nYes, it refuses to run. We just implemented a script to fill in the typical values by averaging the min/max values to get around this issue. "}, {"assistant": "There is no relationship between process corner names and the position a delay is written in an sdf triple.\r\nThe min/max values written by opensta are specifically designed to be compatible with static timing analysis\r\nand because sdf only supports 3 values they are the min/max values for a specific process corner.\r\nI added an option -include_typ that inserts the meaningless average of min and max just to make the confused\r\nsimulator happy."}]} +{"num": 1136, "messages": [{"user": "I met a problem in the 3_1 global place stage and now sure how to solve it. I tried to increase the core_area but it doesn't work. \r\nWhat does the \"max_phi_cof value\" mean? \r\n![error](https://user-images.githubusercontent.com/79955802/134997459-f0fad098-0e3e-4d4b-b852-9518fab206ca.png)\r\n\r\n\r\n"}, {"assistant": "global_placement has a -max_phi_coef but it is rather obscure though you can try different values.\r\n\r\nWhat happened when increased the core area? Did the overflow reach a lower level or was it the same 0.136? Can you provide a test case?"}, {"user": "Hi, Matt. I just found that I didn't place macros before the global_place. I suppose this can be the reason of this problem? \r\nThe following warning messages appear in global_placement stage.\r\n![WeChat Screenshot_20210928204721](https://user-images.githubusercontent.com/79955802/135184470-b6d84115-8b12-4c04-9882-86a01bc9695e.png)\r\n\r\n"}, {"assistant": "It might be related but you need to fix it in any case. The messages suggest you have the wrong CLASS in the lef for your macros (it should be BLOCK). Replace will work around it but the macro placer doesn't so you need to fix it."}, {"assistant": "It might be related but you need to fix it in any case. The messages suggest you have the wrong CLASS in the lef for your macros (it should be BLOCK). Replace will work around it but the macro placer doesn't so you need to fix it."}, {"assistant": "I am hitting this same error on a very small design. There are no warnings in the log.\r\n\r\nIt's a very frustrating message `Re-run with a smaller max_phi_cof value` when it\r\n\r\n1. doesn't tell you how to change the value\r\n2. doesn't tell you what the current / default / previously-used value was, so you have no idea what value to try next.\r\n"}, {"assistant": "Its a pretty poor message. Can you provide a test case as I would rather see if we can fix it directly rather than having users mess with internal knobs."}, {"assistant": "I came across the same error with this test case. I tried to change `global_place_density` and\r\n`global_place_density`.But it doesn't work.\r\n[test_case.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9612739/test_case.zip)\r\n"}, {"assistant": "Hi @maliberty , thanks for the reply. I was able to work around this issue using Matt Venn's advice for ANY problem that you hit with a very small design -- add this to the design's config.tcl:\r\n```\r\nset ::env(FP_SIZING) absolute\r\nset ::env(DIE_AREA) \"0 0 200 200\"\r\n```\r\n\r\nMy example uses a custom synth.tcl script, so it is a little more complicated than you might expect, but I can still send it if you think it's useful. Perhaps not necessary since @sharkoo7 has already provided a testcase?\r\n"}, {"assistant": "@ramezmoussa in the past I've seen problems where the placer confuses very fast convergence on trivial designs with divergence. This will be a good chance to learn the convergence code. The message is stale and unhelpful so please rework that as well."}, {"assistant": "@maliberty \r\nThis is not having right test case. Still wants to keep open?"}, {"assistant": "Feel to free to reopen if you have a test case that shows the issue."}]} +{"num": 1146, "messages": [{"user": "The temp_sensor in OpenFASoC has LVS issue after the update of write_cdl.\r\n\r\nLink to OpenFASoC: (https://github.com/idea-fasoc/OpenFASOC)\r\n\r\nThe power nets in cdl file are unconnected.\r\n![Capture](https://user-images.githubusercontent.com/79955802/135552803-e4b409fc-de09-421c-b9bc-44436cd6f71a.PNG)\r\n\r\nI also checked the 6_final.v file and found that the power nets disappeared when using the latest version of the OpenROAD compared with the older version. I think maybe this could be a reason causing the error in cdl file? \r\n![6_final](https://user-images.githubusercontent.com/79955802/135553067-ee8b3056-c938-4104-b4b5-287131a920ae.png)\r\n\r\n"}, {"assistant": "Please package a test case"}, {"assistant": "@maliberty Thanks for taking a look. This issue has been dragging for 2 weeks now :/\r\n\r\ninitially we made a [script ](https://github.com/idea-fasoc/OpenFASOC/blob/e055fed0a9401733907a1cd07457d4331187c920/generators/temp-sense-gen/flow/util/cdl_parser.py) to fix the write_cdl command errors (I think it was the orders of the pins that was messed up. Then just before running LVS using netgen we would run cdl_parser.py on the .cdl generated by OR as follows:\r\n\r\n```\r\nnetgen_lvs: $(RESULTS_DIR)/6_final.gds\r\n\tpython util/cdl_parser.py -i $(RESULTS_DIR)/6_final.cdl -s $(PLATFORM_DIR)/cdl/sky130_fd_sc_hd.spice -l $(OBJECTS_DIR)/merged_spacing.lef -o $(DESIGN_NAME).spice\r\n\t$(COMMON_VERIF_DIR)/run_lvspex.sh $(RESULTS_DIR)/6_final.gds $(DESIGN_NAME) $(REPORTS_DIR)/6_final_lvs.rpt\r\n```\r\nlink to [Makefile](https://github.com/idea-fasoc/OpenFASOC/blob/e055fed0a9401733907a1cd07457d4331187c920/generators/temp-sense-gen/flow/Makefile#L491-L493).\r\n\r\nSee attached [tempsense.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7270799/tempsense.tar.gz).\r\nI think based on what I have checked (2weeks ago) I think there is an issue with the power/wells pins on the second voltage domains. You can check in the tar ball:\r\n \r\n- Flow scripts based on ORFS: `/tempsense/generators/temp-sense-gen/flow`\r\n- lvs report from netgen: `/tempsense/generators/temp-sense-gen/flow/reports/sky130hd/tempsense/6_final_lvs.rpt`\r\n- verilog / cdl /def files: `/tempsense/generators/temp-sense-gen/flow/results/sky130hd/tempsense`\r\n\r\nPlease let me know if you have any questions and thanks again!"}, {"assistant": "What is CDL_FILE set to? I don't see anything setting it in your tgz."}, {"assistant": "I also see many warnings like:\r\n\r\n[WARNING ODB-0286] Terminal VNB of CDL master sky130_fd_sc_hd__a2111o_1 not found in LEF.\r\n\r\nwhich suggests cdl/lef mismatches."}, {"assistant": "> What is CDL_FILE set to? I don't see anything setting it in your tgz.\r\n\r\n`export CDL_FILE = ../blocks/$(PLATFORM)/cdl/sky130_fd_sc_hd_merged.cdl\r\n`\r\nit is in the `config.mk` in `/tempsense/generators/temp-sense-gen/flow/design/sky130hd/tempsense`\r\n\r\n> [WARNING ODB-0286] Terminal VNB of CDL master sky130_fd_sc_hd__a2111o_1 not found in LEF.\r\n\r\nThen it is probably a source file issue. @shuolai please double check the LEF/CDL files versus the one in latest of open_pdk. If it is still there please file an issue.\r\n"}, {"assistant": "@maliberty Why do we need the LEF to write the cdl. I think verilog and cdl primitives should be the one to be used?"}, {"assistant": "The database is loaded from LEF/DEF in every step after the first.\n\nOn Fri, Oct 1, 2021 at 8:31 PM Mehdi Saligane ***@***.***>\nwrote:\n\n> @maliberty Why do we need the LEF to write\n> the cdl. I think verilog and cdl primitives should be the one to be used?\n>\n> \u2014\n> You are receiving this because you were mentioned.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "> The database is loaded from LEF/DEF in every step after the first.\r\n\r\nSure but we could use `6_final.v` file which is the one we would eventually use if wanted to the a verilog to lvs cdl conversion. Right?"}, {"assistant": "6_final.v is just generated from the DEF file (there is only read_def in final_report.tcl). You won't get any extra info there."}, {"assistant": "@msaligane is this still relevant?"}, {"assistant": "@maliberty Yes, this is still an issue. I have tried using the same LEF but still seeing weird results during LVS. Have you been able to validate the `write_cdl ` update in any of the other pdks we have?\r\n\r\nI have asked @erictaur and @wenbodd to take a look but I did not hear back from them yet."}, {"assistant": "@msaligane if you have an updated test with a LEF I can take a look. I don't know what \"weird results\" mean."}, {"assistant": "@maliberty That would be really helpful. Weird results in LVS means that the VNB and VPB are named as unrecognized net in the 2nd voltage domain of the sensor.\r\n\r\n@erictaur do you mind sharing the latest details since you have met with @wenbodd ?\r\nHave you modified the LEF as discussed ? \r\n\r\nThe issue is packaged above. I will try to go over this again on Monday afternoon.\r\n"}, {"assistant": "@msaligane in the packaged test case the lef & cdl did not align. Until that is addressed I don't have anything to look at."}, {"assistant": "@maliberty Actually if you look at the LEF files in the tar I attached. VNB / VPB are there in the CDL and LEF files. \r\n`sky130_fd_sc_hd__a2111o_1 ` this cell is not used in the design at all."}, {"assistant": "Here is a master you are using (it's the same):\r\n[WARNING ODB-0286] Terminal VPB of CDL master sky130_fd_sc_hd__inv_1 not found in LEF.\r\n\r\nIn the CDL:\r\n.SUBCKT sky130_fd_sc_hd__inv_1 A VGND VNB VPB VPWR Y\r\n\r\nbut in the LEF:\r\nMACRO sky130_fd_sc_hd__inv_1\r\n PIN A\r\n PIN Y\r\n PIN VGND\r\n PIN VPWR\r\nEND sky130_fd_sc_hd__inv_1\r\n\r\nSo the CDL & LEF mismatch as OR reports. There is no way for OR to know what to write for VNB & VPB since they aren't in the LEF (or DEF). It's a data problem not a tool problem."}, {"assistant": "@msaligane see above"}, {"assistant": "In the LEF in openlane I do see the bulk pins. I think ORFS needs a refresh."}, {"assistant": "There are two types of LEF, [magic.lef](https://cs.opensource.google/skywater-pdk/skywater-pdk/+/0e1fa89b2db152cbdc75c8b1e20cbfecba118b8d:libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_1.magic.lef) and [.lef](https://cs.opensource.google/skywater-pdk/skywater-pdk/+/0e1fa89b2db152cbdc75c8b1e20cbfecba118b8d:libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_1.lef;dlc=05eddae23a77687315df2783c850628f80248535)\r\nThe magic.lef is the one which has VPB/VNB defined in it. The original version .lef did not have those pins ( I do not understand why there is a need to define those pins in an inverter - I might be missing something).\r\n\r\n@minghungumich Do you think you could update to the LEFs straight out of `open_pdks`. I think right now, we are using the one `ORFS/platforms`. "}, {"assistant": "@mithro @RTimothyEdwards can you explain why [hd](https://github.com/google/skywater-pdk-libs-sky130_fd_sc_hd/blob/main/cells/inv/sky130_fd_sc_hd__inv_1.lef) and [hs](https://github.com/google/skywater-pdk-libs-sky130_fd_sc_hs/blob/main/cells/inv/sky130_fd_sc_hs__inv_1.lef) versions of this cell have different handling of bulk pins? hd doesn't have them while hs does. In the .magic.lef they are present in both."}, {"assistant": "@maliberty - I assume because different developers created the libraries?"}, {"assistant": "@maliberty : I checked and the differences in the handling of the pins goes back to the original SkyWater files, so I second Tim Ansell's opinion."}, {"assistant": "It sounds like it **might** make more sense to use the generated `.magic.lef` files?"}, {"assistant": "@mithro : No, there is no information about the location of pins other than what's in the original files, so the same issue ends up in all formats of all files no matter what tool generated or modified them. So the pins will be in different places in the different standard cell libraries unless somebody modifies them all specifically to make them mutually compatible."}, {"assistant": "I thought the issue is that the bulk pins exist in the `hd` cell's `.lef` files but **_not_** in the `hs` cell's `.lef` files?\r\n\r\n@maliberty said above;\r\n> `hd` **doesn't** have them while `hs` **does**.\r\n\r\n> In the `.magic.lef` they are present in **both**.\r\n"}, {"assistant": "I think adding those pins in cells that do not have explicit well connection on them is confusing and creates a bunch of issues. However, if we want to add them for some reason, then we should have consistency since we get a lot lvs issues."}, {"assistant": "I discussed bulk pins with @louiic who recommends we have them in cdl & lef (it is required at lower nodes so it is best to have a single methodology to support)."}, {"assistant": "@mithro : If pins are not present in all files, then somebody is not using the approved set of files from the open_pdks installation. In the open_pdks libraries, all cell views declare all four power pins. There are still differences between the libraries as to how the pins are positioned, which what what I thought was the issue here.\r\n\r\n@msaligane : On the contrary, it is necessary to define the explicit well connections for the LEF to represent the correct connectivity. All of the other file types (CDL, GDS, verilog, etc.) declare 4 power pins for every cell. If the LEF file does not also include four power pins, then various tools start complaining about it."}, {"assistant": "@msaligane : Also, if you're using netgen for LVS, then why not just compare the extracted layout against the verilog?"}, {"assistant": "> I discussed bulk pins with @louiic who recommends we have them in cdl & lef (it is required at lower nodes so it is best to have a single methodology to support).\r\n\r\nI think it has to do with the LEF verison (IE 58 here)\r\n\r\n> @msaligane : On the contrary, it is necessary to define the explicit well connections for the LEF to represent the correct connectivity. All of the other file types (CDL, GDS, verilog, etc.) declare 4 power pins for every cell. If the LEF file does not also include four power pins, then various tools start complaining about it.\r\n\r\nSounds good, so maybe let's go with this plan. I am happy with either. Who can update the files? maybe in the precompiled version of `open_pdks`?"}, {"assistant": "@msaligane : Yes, I think the origin of the whole problem was that the LEF files we got from SkyWater were < version 5.7, which is the spec version that introduced the nwell/pwell masterslice layers and allowed them to connect to pins. So with the earlier version of the LEF spec, they did all sorts of bizarre things like putting the VPB and VNB pins on metal layers where they don't actually exist. I ended up getting magic to pull the relevant information about pin use/direction/shape out of the LEF file, discarding everything else, then regenerating the LEF from scratch, which helps make all the files for all the standard cell libraries look reasonably similar."}, {"assistant": "The ORFS versions don't have the bulk pins so it sounds like it is time for an update."}, {"assistant": "Does OL use the .magic.lef or the .lef today?"}, {"assistant": "@maliberty I think we can update the platform to `magic.lef` which I think it is what is used by OL.\r\n\r\n@RTimothyEdwards makes sense! One issue though is that for teaching classes next semester, we use commercial tools and the file have multiple issues when they are used related to VPB/VNB."}, {"assistant": "@msaligane : (1) Note that \"use the open_pdks installation\" is not the same as \"use the magic.lef\". The files in the skywater-pdk repository were made prior to a number of updates and fixes in magic's LEF generation. For the most part, all the LEF files in the skywater-pdk repository are wrong in some way, whether they come from the original sources or from magic. (2) For the same reason, the LEF files produced by the open_pdks installation have gone through a number of bug fixes, and as long as the commercial tools understand LEF format 5.7, they should no longer cause issues."}, {"assistant": "@mithro can we upstream these fixes to skywater-pdk? "}, {"assistant": "@maliberty - Yes but there is no timeline for that happening. Soe for now you should use the output produced by `open_pdks`."}, {"assistant": "BTW -- I'm pretty sure this is what OpenLane is using."}, {"assistant": "I am syncing ORFS to OL's open_pdk sky130hd LEFs (tech & stdcell) in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/243"}, {"assistant": "The above is merged - @shuolai @msaligane can we close this?"}, {"assistant": "@maliberty we need to test it first. I don't think @minghungumich got to it yet. I will try to run this in the next 2 days."}, {"assistant": "@msaligane \r\nCan you please update the status?\r\nIf resolved mean close this issue."}, {"assistant": "@alibillalhammoud @Wen-Tian-Pineapple\r\nCan you guys double-check this? I think it is resolved now."}, {"assistant": "Hi everybody!\r\nI still have the same issue with unconnected instances in cdl using ORFS flow. Even in \"gcd\" test example for sky130hd.\r\nAnd also in my custom technology. Pins in LEF files correspond to Verilog.\r\nIt looks like the problem cells are only instances that were inserted in verilog during optimization (input*, output*, rebuffer* instance names)."}, {"assistant": "I ran sky130/gcd and I see:\r\n\r\nXinput1 req_msg[0] VSS VSS VDD VDD net1 sky130_fd_sc_hd__clkbuf_1\r\n\r\nwhich looks to match \r\n\r\n.SUBCKT sky130_fd_sc_hd__clkbuf_1 A VGND VNB VPB VPWR X\r\n\r\nwhat is the issue?"}, {"assistant": "@maliberty, when the issue was fixed?\r\nI have the following in cdl using ORFS locally installed in June 8, 2023:\r\nXinput1 req_msg[0] _unconnected_0 _unconnected_1 _unconnected_2 _unconnected_3 net1 sky130_fd_sc_hd__clkbuf_1\r\n\r\n"}, {"assistant": "I just used the head of master but there haven't been any changes in quite a while. I'm guessing something is wrong upstream. How are you running this?"}, {"assistant": "From flow dir.\r\nUncomment DESIGN_CONFIG=./designs/sky130hd/gcd/config.mk in Makefile.\r\nThen first run \"make\", then \"make lvs\".\r\n\r\nIs this correct?"}, {"assistant": "I got the same using docker installation."}, {"assistant": "The problem is that cdl.tcl wasn't reading the final db. When I tested it I just loaded the final db and did write_cdl which is why I didn't see the issue."}, {"assistant": "@maliberty \r\nYes, this helped! Thank you!\r\nBut I still have LVS failed for sky130hd \"gcd\" testcase with the following error:\r\nERROR: In ./platforms/sky130hd/lvs/sky130hd.lylvs: Pin count mismatch between circuit definition and circuit call: 6 expected, got 7 in /objects/sky130hd/gcd/base/6_final_concat.cdl, line 11726 in Netlist::read\r\n"}, {"assistant": "Would you paste the line from the error?"}, {"assistant": "I get a totally different error\r\n```\r\nERROR: In ./platforms/sky130hd/lvs/sky130hd.lylvs: Expected a real number here: normal are .. in /workspaces/mliberty/w8/OpenROAD-flow-scripts/flow/objects/sky130hd/gcd/base/6_final_concat.cdl, line 577 in Netlist::read\r\n```\r\nI'm not sure what to make of it as I don't work on the lvs tool and it looks legal."}, {"assistant": "> Would you paste the line from the error?\r\n\r\nXI1 VGND VNB VPB VPWR net59 LO / sky130_fd_sc_hd__conb_1\r\n\r\nThis is the instance from subckt sky130_fd_sc_hd__macro_sparecell\r\nError says that this instance contains 7 pins connection. It seems that it treats backslash as pin.\r\nThe subckt sky130_fd_sc_hd__macro_sparecell is the only one that contains backslashes in instances.\r\n\r\nI deleted backslashes, re-run LVS and got further errors:\r\nERROR: In ./platforms/sky130hd/lvs/sky130hd.lylvs: Can't find a value for a R, C or L device in /proj/eda/fpi2_digital_flow/dev/stolbikov/work/ORFS/TEST_ORFS/gcd_local/run_2/objects/sky130hd/gcd/base/6_final_concat.cdl, line 5460 in Netlist::read\r\n\r\nNeed somebody to fix all CDL inconsistences...\r\n\r\n"}, {"assistant": "@maliberty Matt, can you explain me how openroad knows the pins order during write_cdl command?\r\nIn my technology in resulted 6_final.cdl I'm getting (for invertor, as an example):\r\nX_192_ net1 net2 VDD VSS INV\r\nWhy it placed power pins in the end in order \"VDD VSS\"?\r\nIn LEF MACRO for invertor I have the following pins order \"A Z gnd vdd\".\r\nIn 6_final.v Verilog power pins are absent.\r\n\r\nBut in sky130hd I see that write_cdl can put power pins between signal pins. How he knows?\r\n\r\n"}, {"assistant": "The `write_cdl` command has a `-masters` argument which takes in the component CDL files. `write_cdl` will match the pin order found in the input files. If the component is not found in the input files, ERROR ODB-287 is thrown.\r\n\r\nI noticed from the code that it doesn't look like it checks for mismatches where the CDL has more pins than the LEF. That might be a good check to add."}, {"assistant": "Apparently we allow such https://github.com/The-OpenROAD-Project/OpenROAD/blob/f413f8405db24165e2db0efad5dba4548d85fe7e/src/odb/src/cdl/cdl.cpp#L165"}, {"assistant": "The error I see from klayout is due to \"topography=normal\" in the skywater provided CDL. I tried removing those locally and then I run into\r\n\r\n```\r\n.SUBCKT sky130_fd_sc_hd__conb_1 VGND VNB VPB VPWR HI LO\r\n*.PININFO VGND:I VNB:I VPB:I VPWR:I HI:O LO:O\r\nrI12 VGND LO short\r\nrI11 HI VPWR short\r\n.ENDS sky130_fd_sc_hd__conb_1\r\n```\r\nwhich gives \"ERROR: Can't find a value for a R, C or L device\". That seems a reasonable error. I don't see how people can use this CDL.\r\n\r\n@RTimothyEdwards any ideas?"}]} +{"num": 1149, "messages": [{"user": "```\r\n/disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0-1185-gf440b2b59/src/dbSta/src/dbNetwork.cc:915:23: error: too many arguments to function call, expected single argument 'cell', have 2 arguments\r\n groupBusPorts(cell, [](const char*) { return true; });\r\n ~~~~~~~~~~~~~ ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n/disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0-1185-gf440b2b59/src/sta/include/sta/ConcreteNetwork.hh:179:16: note: 'groupBusPorts' declared here\r\n virtual void groupBusPorts(Cell *cell);\r\n ^\r\n/disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0-1185-gf440b2b59/src/dbSta/src/dbNetwork.cc:950:19: error: cannot initialize a parameter of type 'sta::ConcreteLibrary *' with an lvalue of type 'sta::Library *'\r\n deleteLibrary(top_lib);\r\n ^~~~~~~\r\n/disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0-1185-gf440b2b59/src/sta/include/sta/ConcreteNetwork.hh:238:39: note: passing argument to parameter 'library' here\r\n void deleteLibrary(ConcreteLibrary *library);\r\n ^\r\n/disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0-1185-gf440b2b59/src/dbSta/src/dbNetwork.cc:962:17: error: too many arguments to function call, expected single argument 'cell', have 2 arguments\r\n [=](const char *port_name) { return portMsbFirst(port_name); } );\r\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n/disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0-1185-gf440b2b59/src/sta/include/sta/ConcreteNetwork.hh:179:16: note: 'groupBusPorts' declared here\r\n virtual void groupBusPorts(Cell *cell);\r\n ^\r\n3 errors generated.\r\n```\r\n\r\nrev. f440b2b59\r\nclang-11\r\nFreeBSD 13\r\n"}, {"assistant": "I think your sta submodule is out of date."}, {"user": "You are correct. Sorry for bothering you."}]} +{"num": 1169, "messages": [{"user": "This is an issue extracted from Matt Venn's OpenLane run. The route.tcl script in the attached testcase is the reproducer.\r\n\r\nThe problem is a macro with off-grid pins. In general we advise against this but this case is uncongested and we should be able to handle it. We end with unresolved DRVs reported.\r\n\r\n[bug.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7297294/bug.tar.gz)\r\n"}, {"user": "An example DRV:\r\n![err](https://user-images.githubusercontent.com/761514/136288044-fee1276b-f0f6-43e5-9216-cbf10428aa8f.png)\r\n![err2](https://user-images.githubusercontent.com/761514/136288110-32ff5a62-e0e5-42ff-8697-c90e2bd6fcf1.png)\r\n"}, {"assistant": "I have made a fix and it ends Dr with 0 viols reported by TR. Are the DRVs you mentioned reported by TR or some commercial tool?"}, {"user": "TR - hopefully you saw the same before your fix."}, {"assistant": "Yeah, I didnt have to debug. We can proceed with that PR then."}, {"assistant": "@maliberty @Stephanommg Looks like this can be closed."}]} +{"num": 1176, "messages": [{"user": "### Description\r\nThe flow fails at PDN during macro hardening. Design revised by @msaligane and suggested to be reported here as a bug. \r\n\r\n### Environment\r\n* Operating System Information: Linux CalcMachine 4.15.0-159-generic #167-Ubuntu SMP `\r\n* Docker Version: 20.10.7, build 20.10.7-0ubuntu1~18.04.2\r\n* OpenLane Tag in use: 2021.09.23_03.17.13\r\n\r\n### Reproduction Material\r\nconfig.tcl \r\n[config.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7307799/config.zip)\r\n\r\n\r\n### Expected behavior\r\nA clear and successful flow \r\n\r\n### Logs\r\n```\r\n[WARNING PSM-0039] Unconnected instance _772_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _773_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _774_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _775_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _776_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _777_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _778_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _779_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _780_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _781_ at location (5.400um, 13.600um) layer: 2.\r\n[WARNING PSM-0039] Unconnected instance _782_ at location (5.400um, 13.600um) layer: 2.\r\n[ERROR]: PDN generation failed.\r\n[ERROR]: You may need to adjust your macro placements or PDN offsets/pitches to power all standard cell rails (or other PDN stripes) in your design.\r\n\r\n```"}, {"assistant": "The PSM messages are PDNSim detailing the instances that it sees as not being connected to power and/or ground.\r\n\r\nThere are several possible root causes:\r\n\r\n1. The floorplan is too small to accomodate power/ground straps\r\n2. The floorplan contains narrow channels that do not have a connection to power/ground\r\n3. There are no global power/ground connections to the reported cells\r\n4. It's somewhat suspicious that all these instances are at the same location, is there a placement problem, are the stdcell rows missing?\r\n\r\nFrom the dimensions of DIE_AREA in the config.tcl it seems like we should be able to rule out the first of these, but its not clear if one of thes others is the root cause in this case, or if it's due to something else.\r\n\r\nTo identify the root cause in this case it will be necessary to examine the floorplan after pdngen and/or placement and check the connection to these cells to determine the best way to resolve the issue.\r\n\r\nIf it's possible to share the full log files as well, then this could help with a diagnosis of the issue.\r\n"}, {"assistant": "@KHermanUBB \r\nIs the issue still valid?\r\nIf yes please attach a test case generated by flow."}]} +{"num": 1186, "messages": [{"user": "When running `./build_openroad.sh --local` on ubuntu 21.04 the cmake script for TritonCTS does not find lemon even though lemon-dev was installed via apt.\r\n\r\nThe reason is, that the cmake scripts are searching for LEMONConfig.cmake or lemon-config.config. However, on Ubuntu 21.04 the cmake file is called lemonConfig.cmake. The cmake scripts should therefoe also search for lemonConfig.cmake."}, {"assistant": "@Unkraut3 Have you installed all dependencies? \r\nRefer this: https://openroad.readthedocs.io/en/latest/main/README.html"}, {"user": "Hi, I did not use the install dependencies script but used apt instead. `apt install liblemon-dev`. On Ubuntu 21.04 this installs lemon 1.3.1. As a result in /usr/lib/x86_64-linux-gnu/cmake/lemon/ there is a file lemonConfig.cmake. After Creating a symlink `ln -s lemonConfig.cmake LEMONConfig.cmake` TritonCTS compiles fine. However, it would be better if the TritonCTS compilation scripts would accept the lemonConfig.cmake right away."}, {"assistant": "I don't know who packaged this for Ubuntu but in the source library you'll see\r\n\r\nLEMONConfig.cmake.in\r\n[https://lemon.cs.elte.hu/trac/lemon/browser/lemon/cmake]\r\n\r\nSo LEMONConfig.cmake is the expected name and not lemonConfig.cmake. This seems more like an Ubuntu issue; I don't see anything to fix in OR.\r\n"}, {"assistant": "Someone reported this bug:\r\n\r\n https://bugs.launchpad.net/ubuntu/+source/liblemon/+bug/1827886\r\n https://lemon.cs.elte.hu/trac/lemon/ticket/628\r\n \r\nAnd send this commit to the Debian packging:\r\n \r\n https://salsa.debian.org/med-team/liblemon/-/merge_requests/2\r\n \r\nWhich was merged. This fixed the package for the person who opened the bug, but broke lemon's cmake detection for everyone else.\r\n \r\nGiven upstream lemon still uses `LEMONConfig.cmake`, we should get Debian to undo this change.\r\n\r\nIn order to support existing releases of Debian and Ubuntu, according to https://cmake.org/cmake/help/latest/command/find_package.html#full-signature we can change the detection to do this:\r\n```\r\nfind_package(LEMON NAMES LEMON lemon REQUIRED)\r\n```"}]} +{"num": 1197, "messages": [{"user": "Is this information is saying any specific issue here ? I see u_sram_2kb/din0[15] connected to mem_din_b\\[15\\] and this signal information is available inside guide file : 16-fastroute.guide\r\n\r\nopenroad version: OpenROAD v2.0-1285-g92dd19146 \r\n\r\nAttached the setup\r\n[exp3.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7336972/exp3.tar.gz)\r\n\r\nRun command: run_cmd\r\n\r\n\r\nIn the MPW-3 Efabless openroad version: OpenROAD 1 fb8ae93b6c7a5eb0e6fac83360a8a48d76c41885\r\nThis reported as ERROR below:\r\n
[WARNING DRT-0215] Pin u_sram_2kb/din0[15] not covered by guide.\r\n[WARNING DRT-0215] Pin u_sram_2kb/din0[15] not covered by guide.\r\n[WARNING DRT-0225] mem_din_b\\[15\\] 2 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0224] mem_din_b\\[15\\] 2 pin not visited, number of guides = 7.\r\n[ERROR DRT-0218] Guide is not connected to design.\r\nError: or_droute.tcl, 34 DRT-0218\r\n[ERROR]: during executing: "openroad -exit /openLANE_flow/scripts/openroad/or_droute.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/13-10_09-31/logs/routing/18-tritonRoute.log"\r\n
"}, {"assistant": "This indicates that grt produced a bad guide for the net that drt won't accept. I've assigned it to @eder-matheus to look at in grt."}, {"assistant": "@dineshannayya I've run the attached testcase on a CentOS 7 machine and I see the `[INFO DRT-1000] Pin u_sram_2kb/din0[15] not in any guide. Attempting to patch guides to cover (at least part of) the pin.` message, but it is not failing with the latest OpenROAD. Could you try it with the latest version of OpenROAD?"}, {"user": "@eder-matheus yes, in the latest version OPENROAD I see this as only [INFO] .. Question is what is the impact of this info ? \r\nDesign wise I don't see any special on u_sram_2kb/din0[15] over rest of the u_sram_2kb/din0 32 bits ? Why tool showing info only on [15] bit ?\r\n\r\nI have used same SRAM memory in one more OPLANE project and even in older version of OPENROAD, I don't find issue their? "}, {"assistant": "This sounds like an Openlane bug as well based on the initial version reported on MPW-3.\r\n@maliberty @eder-matheus Should this also be submitted against OpenLane to fix against that OR version too since that is the latest shuttle version?"}, {"assistant": "> @eder-matheus yes, in the latest version OPENROAD I see this as only [INFO] .. Question is what is the impact of this info ? Design wise I don't see any special on u_sram_2kb/din0[15] over rest of the u_sram_2kb/din0 32 bits ? Why tool showing info only on [15] bit ?\r\n> \r\n> I have used same SRAM memory in one more OPLANE project and even in older version of OPENROAD, I don't find issue their?\r\n\r\n@dineshannayya I'm not sure about what this message from the detailed router means, but my guess is the route guide is partially covering this pin of the macro and the detailed router only completes the coverage of the pin. Also, since the routing ends with no DRCs, I think this message doesn't have a great impact on the final result. @maliberty does it make sense?"}, {"assistant": "> This sounds like an Openlane bug as well based on the initial version reported on MPW-3. @maliberty @eder-matheus Should this also be submitted against OpenLane to fix against that OR version too since that is the latest shuttle version?\r\n\r\n@dralabeing: Do you mean they have to update their OpenROAD version with the latest OR to avoid the drt error? I think it makes sense."}, {"assistant": "@eder-matheus it is the same issue with grt producing a guide that doesn't cover the pin but we added code recently to drt to try to repair the problem rather than fail (expanding the guide). It is still worth seeing why grt produces the guide that doesn't cover the pin.\r\n\r\n@dralabeing yes they should try to move to a later version with the drt fix."}, {"assistant": "@maliberty I've revisited this issue and found out why we have the DRT warnings for the route guides. The pin that has the warning is not aligned with a routing track, as shown in the figure below:\r\n\"image\"\r\n\r\nIn such cases, GRT looks for the nearest track and uses the track coordinate (in this case, the X coordinate) to assign the pin to a position in the global routing grid. This track is on the grid position adjacent to the pin grid position. The figure below shows that the guide boundary is aligned with the track:\r\n\"image\"\r\n\r\nRunning pin_access before GRT solves the warning because GRT will use the AP of the pin to compute the on-grid position."}, {"assistant": "Couldn't you have the same issue with pin_access? It seems it is more about snapping than aps."}, {"assistant": "> Couldn't you have the same issue with pin_access? It seems it is more about snapping than aps.\n\nNo, because when we use the pin_access results grt will not snap the pin position."}, {"assistant": "Perhaps we should not snap in the non-pa case if doing so would move the point onto the edge of the shape."}]} +{"num": 1206, "messages": [{"user": "I have tried the following two way to apply global routing on ispd2015 but keep getting the core dumped error.\r\n1. run global_route using after_legalized.ntup.fix.def directly\r\n2. run global_placement on floorplan.def and then global_route\r\n\r\nI don't know how to fix this because there is no detailed error message. Could anyone give some help?\r\n\r\nispd2015 is downloaded from [http://www.cerc.utexas.edu/~zixuan/ispd2015dp.tar.xz](http://www.cerc.utexas.edu/~zixuan/ispd2015dp.tar.xz).\r\n\r\nThe command and the log:\r\n```\r\nopenroad> read_lef tech.lef\r\n[INFO ODB-0222] Reading LEF file: tech.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2007): NAMESCASESENSITIVE statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file tech.lef at line 4.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file tech.lef at line 472.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file tech.lef at line 482.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file tech.lef at line 638.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file tech.lef at line 648.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file tech.lef at line 804.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file tech.lef at line 814.\r\n\r\n[INFO ODB-0223] Created 9 technology layers\r\n[INFO ODB-0224] Created 64 technology vias\r\n[INFO ODB-0226] Finished LEF file: tech.lef\r\nopenroad> read_lef cells.lef\r\n[INFO ODB-0222] Reading LEF file: cells.lef\r\n[INFO ODB-0225] Created 16 library cells\r\n[INFO ODB-0226] Finished LEF file: cells.lef\r\nopenroad> read_def after_legalized.ntup.fix.def\r\n[INFO ODB-0127] Reading DEF file: after_legalized.ntup.fix.def\r\n[INFO ODB-0128] Design: fft\r\n[INFO ODB-0130] Created 3010 pins.\r\n[INFO ODB-0131] Created 30631 components and 97138 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 0 connections.\r\n[INFO ODB-0133] Created 32088 nets and 97138 connections.\r\n[INFO ODB-0134] Finished DEF file: after_legalized.ntup.fix.def\r\nopenroad> \r\nopenroad> #global_placement -routability_driven -density 0.70 \r\nopenroad> \r\nopenroad> check_antennas -report_file antennas\r\n[INFO ANT-0001] Found 0 pin violations.\r\n[INFO ANT-0002] Found 0 net violations in 32088 nets.\r\n0\r\nopenroad> \r\nopenroad> global_route -guide_file route.guide\r\n[INFO GRT-0020] Min routing layer: metal1\r\n[INFO GRT-0021] Max routing layer: metal5\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[WARNING GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0224] Chose via VIA12_HV as default.\r\n[INFO GRT-0224] Chose via VIA23_VH as default.\r\n[INFO GRT-0224] Chose via VIA34_HV as default.\r\n[INFO GRT-0224] Chose via VIA45_VH as default.\r\nSegmentation fault (core dumped)\r\n```"}, {"assistant": "It would really help if you specified which one of the designs you used."}, {"assistant": "Design `mgc_des_perf_1` works.\r\n\r\nStacktrace:\r\n```\r\n#0 0x00000000008ded77 in odb::dbTrackGrid::getGridPatternX(int, int&, int&, int&) ()\r\n#1 0x0000000000c32fa3 in grt::GlobalRouter::initRoutingTracks(std::vector >&, int) ()\r\n#2 0x0000000000c35ae5 in grt::GlobalRouter::startFastRoute(int, int, grt::NetType) ()\r\n#3 0x0000000000c35bdc in grt::GlobalRouter::globalRoute() ()\r\n```"}, {"assistant": "There are tracks defined in only one direction per layer:\r\n\r\nTRACKS Y 0 DO 2227 STEP 200 LAYER metal1 metal3 metal5 ;\r\nTRACKS X 100 DO 2225 STEP 200 LAYER metal2 metal4 ;\r\n\r\nUsually we have tracks in both direction so I guess that is the problem. I assume they are trying to restrict to unidirectional routing."}, {"user": "> There are tracks defined in only one direction per layer:\r\n> \r\n> TRACKS Y 0 DO 2227 STEP 200 LAYER metal1 metal3 metal5 ; TRACKS X 100 DO 2225 STEP 200 LAYER metal2 metal4 ;\r\n> \r\n> Usually we have tracks in both direction so I guess that is the problem. I assume they are trying to restrict to unidirectional routing.\r\n\r\nI add tracks of both directions in metal 1-5 and it works. Thanks!"}, {"user": "> Design `mgc_des_perf_1` works.\r\n> \r\n> Stacktrace:\r\n> \r\n> ```\r\n> #0 0x00000000008ded77 in odb::dbTrackGrid::getGridPatternX(int, int&, int&, int&) ()\r\n> #1 0x0000000000c32fa3 in grt::GlobalRouter::initRoutingTracks(std::vector >&, int) ()\r\n> #2 0x0000000000c35ae5 in grt::GlobalRouter::startFastRoute(int, int, grt::NetType) ()\r\n> #3 0x0000000000c35bdc in grt::GlobalRouter::globalRoute() ()\r\n> ```\r\n\r\nThe problem is solved and still thanks for your reply. "}, {"assistant": "@eder-matheus let's at least turn the crash into an error message about a missing track pattern."}, {"assistant": "@maliberty I've updated grt code with checks for the tracks patterns, so we don't have crashes anymore. @albertface your testcases should not fail anymore, even if there are no tracks for the non-preferred direction of a layer. I've also added unit tests for the update."}]} +{"num": 1207, "messages": [{"user": "At present, the supported systems are CentOS 7 and Ubuntu 20.04. How do we install OpenROAD in Red Hat Systems? Any leads in this regard will be appreciated.\r\n"}, {"assistant": "CentOS is just the freeware version of RHEL. Everything that works for CentOS should work fine for RHEL."}, {"assistant": "@thesukantadey I would suggest you go through the [`DependencyInstall.sh`](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/etc/DependencyInstaller.sh) script following the CentOS control-flow and adapt the commands as needed. After installing the dependencies, you should be able to build the app.\r\n\r\nPlease if you find a recipe that works for RHEL, consider submitting a PR."}, {"assistant": "@thesukantadey are you able to install OpenROAD in RHEL machine?"}, {"assistant": "No response for a month."}]} +{"num": 1221, "messages": [{"user": "OpenRoad version: v2.0-1424-gf864efbae (Latest version)\r\n\r\nDuring the Openlane based CTS script, while executing \"clock_tree_synthesis\" tool crashes with segmentation fault\r\n\r\nExample Test:\r\n[exp2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7381915/exp2.tar.gz)\r\n\r\nRun command: run_cmd\r\nRun Log:\r\n
[INFO CTS-0084] Compiling LUT.\r\nMin. len    Max. len    Min. cap    Max. cap    Min. slew   Max. slew\r\n2           8           1           36          1           150         \r\n[WARNING CTS-0043] 6336 wires are pure wire and no slew degradation.\r\nTritonCTS forced slew degradation on these wires.\r\n[INFO CTS-0046]     Number of wire segments: 162480.\r\n[INFO CTS-0047]     Number of keys in characterization LUT: 1797.\r\n[INFO CTS-0048]     Actual min input cap: 1.\r\n[INFO CTS-0001] Running TritonCTS with user-specified clock roots: bist_clk.\r\n[INFO CTS-0095] Net "bist_clk" found.\r\n[INFO CTS-0010]  Clock net "bist_clk" has 2 sinks.\r\n[INFO CTS-0008] TritonCTS found 1 clock nets.\r\n[INFO CTS-0097] Characterization used 4 buffer(s) types.\r\n[INFO CTS-0027] Generating H-Tree topology for net bist_clk.\r\n[INFO CTS-0028]  Total number of sinks: 2.\r\n[INFO CTS-0029]  Sinks will be clustered in groups of up to 20 and with maximum cluster diameter of 50.0 um.\r\n[INFO CTS-0030]  Number of static layers: 0.\r\n[INFO CTS-0020]  Wire segment unit: 13000  dbu (13 um).\r\n[INFO CTS-0023]  Original sink region: [(107180, 55445), (108802, 115600)].\r\n[INFO CTS-0024]  Normalized sink region: [(8.24462, 4.265), (8.36938, 8.89231)].\r\n[INFO CTS-0025]     Width:  0.1248.\r\n[INFO CTS-0026]     Height: 4.6273.\r\n[WARNING CTS-0045] Creating fake entries in the LUT.\r\n Level 1\r\n    Direction: Vertical\r\n    Sinks per sub-region: 1\r\n    Sub-region size: 0.1248 X 2.3137\r\n[INFO CTS-0034]     Segment length (rounded): 1.\r\n    Key: 162664 outSlew: 11 load: 1 length: 1 isBuffered: true\r\n[INFO CTS-0032]  Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035]  Number of sinks covered: 2.\r\n[INFO CTS-0036]  Average source sink dist: 17888.00 dbu.\r\n[INFO CTS-0037]  Number of outlier sinks: 0.\r\nSegmentation fault (core dumped)\r\n
\r\n\r\n"}, {"user": "If you run the script you may not notice the tool exited half the way ..\nIf you open the openroad shell and source the TCL command you will notice\nthat the tool crashes after clock_tree_synthesis.\n---------------------------\n\nIn the script after clock_tree_synthesis, below commands are not getting\nexecuted ...\n\nset_propagated_clock [all_clocks]\n\nputs \"\\[INFO]: Repairing long wires on clock nets...\"\n# CTS leaves a long wire from the pad to the clock tree root.\nrepair_clock_nets\n\nwrite_def $::env(SAVE_DEF)\n\nset buffers \"$::env(CTS_ROOT_BUFFER) $::env(CTS_CLK_BUFFER_LIST)\"\nset_placement_padding -masters $buffers -left $::env(CELL_PAD)\nputs \"\\[INFO\\]: Legalizing...\"\ndetailed_placement\nif { [info exists ::env(PL_OPTIMIZE_MIRRORING)] &&\n$::env(PL_OPTIMIZE_MIRRORING) } {\n optimize_mirroring\n}\nwrite_def $::env(SAVE_DEF)\nwrite_sdc $::env(SAVE_SDC)\nif { [check_placement -verbose] } {\nexit 1\n}\n\n\nif {[info exists ::env(CLOCK_PORT)]} {\nif { [info exists ::env(CTS_REPORT_TIMING)] && $::env(CTS_REPORT_TIMING) } {\n\n read_liberty -max $::env(LIB_SLOWEST)\n read_liberty -min $::env(LIB_FASTEST)\n\n puts \"check_report\"\n report_checks -fields {capacitance slew input_pins nets fanout}\n-group_count 100 -slack_max -0.01 > $::env(cts_report_file_tag).rpt\n puts \"check_report_end\"\n puts \"timing_report\"\n report_checks -fields {capacitance slew input_pins nets fanout}\n-unique -slack_max -0.0 -group_count 100 >\n$::env(cts_report_file_tag).timing.rpt\n puts \"timing_report_end\"\n puts \"min_max_report\"\n report_checks -fields {capacitance slew input_pins nets fanout}\n-path_delay min_max > $::env(cts_report_file_tag).min_max.rpt\n puts \"min_max_report_end\"\n puts \"clock_skew_report\"\n report_clock_skew > $::env(cts_report_file_tag)_clock_skew.rpt\n puts \"clock_skew_report_end\"\n puts \"wns_report\"\n report_wns\n puts \"wns_report_end\"\n puts \"tns_report\"\n report_tns\n puts \"tns_report_end\"\n}\n} else {\n puts \"\\[WARN\\]: No CLOCK_PORT found. Skipping STA...\"\n}\n\nOn Wed, Oct 20, 2021 at 9:00 PM Matt Liberty ***@***.***>\nwrote:\n\n> I just ran your test with the head of master (f864efb\n> )\n> and got no error.\n>\n> \u2014\n> You are receiving this because you authored the thread.\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n>\n"}, {"assistant": "Your input netlist already has clock buffers:\r\n - clkbuf_0_bist_clk sky130_fd_sc_hd__clkbuf_16 + PLACED ( 108560 54400 ) N ;\r\n - clkbuf_1_0_0_bist_clk sky130_fd_sc_hd__clkbuf_1 + PLACED ( 59340 57120 ) FS ;\r\n - clkbuf_1_1_0_bist_clk sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 65280 ) N ;\r\n - clkbuf_2_0_0_bist_clk sky130_fd_sc_hd__clkbuf_1 + PLACED ( 62560 57120 ) FS ;\r\n - clkbuf_2_1_0_bist_clk sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46920 65280 ) FN ;\r\n...\r\n\r\nWhy are you inserting a clock tree again?"}, {"assistant": "Your input netlist clock tree:\r\n![image](https://user-images.githubusercontent.com/761514/138138331-2372c8af-ecf1-47a9-b9f7-2c885fd28699.png)\r\n"}, {"assistant": "This will be fixed to not crash and produce:\r\n\r\n[WARNING CTS-0105] Net \"bist_clk\" already has clock buffer clkbuf_0_bist_clk. Skipping...\r\n[WARNING CTS-0083] No clock nets have been found.\r\n[INFO CTS-0008] TritonCTS found 0 clock nets.\r\n[WARNING CTS-0082] No valid clock nets in the design.\r\n"}]} +{"num": 1225, "messages": [{"user": "hi, i have know the globle placement based on RePlAce cannot support rotation and flipping, but if i have a cell that is a polygon not a rect. Is it possiple to get a result from Globle Placement?"}, {"assistant": "Is this a standard cell or a macro? We support flipping (not rotation) of standard cells in optimize_mirroring which is part of detailed placement. "}, {"user": "I mean a macro, it cannot flipping or rotation"}, {"assistant": "Macro placement is separate from global placement. I don't think it support rotation or flipping but perhaps @mgwoo can comment."}, {"user": "thanks a lot, i don't think so, if i apply the polygon for globle placement, the result may be bad"}, {"assistant": "@qujijie \r\nIs required any further action on this?"}]} +{"num": 1227, "messages": [{"user": "opensta is giving the following error when I try the read spef file for a specific corner, \r\n\r\n`[ERROR STA-0402] read_spef -corner is not a known keyword or flag.\r\n`\r\n\r\nI think the corner option is missing from the keys list here https://github.com/The-OpenROAD-Project/OpenSTA/blob/master/parasitics/Parasitics.tcl#L36\r\n\r\n[spm_test_case.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7400843/spm_test_case.zip)\r\n"}, {"assistant": "@jjcherry56 it looks like -corner is missing from keys in \r\n\r\n parse_key_args \"read_spef\" args \\\r\n keys {-path -coupling_reduction_factor -reduce_to} \\\r\n"}, {"assistant": "@jjcherry56 why is this closed?\r\n\r\n>>> read_spef -corner foo bar.spef\r\n[ERROR STA-0402] read_spef -corner is not a known keyword or flag.\r\n"}, {"assistant": "I see you pushed a PR for this. It is helpful to say why it is being closed."}]} +{"num": 1229, "messages": [{"user": "OR/OS : OpenROAD v2.0-1426-gd8dbd6bc4 / ubuntu 20.4\r\n\r\nHow to reproduce (in unmodified ORFS) : \r\n`source setup_env.sh && cd flow && make && make gui_final`\r\n\r\nGUI messages in Scripting-Panel :\r\n```\r\n[INFO ODB-0222] Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef\r\n[WARNING ODB-0219] Error: ERROR (LEFPARS-1): Lef parser has encountered an error in file \r\n ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef at line 40, on token 0.0050.\r\n Problem can be syntax error on the lef file or an invalid parameter name.\r\n Double check the syntax on the lef file with the LEFDEF Reference Manual.\r\n \r\n[INFO ODB-0222] Reading LEF file: ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\n[WARNING ODB-0219] Error: ERROR (LEFPARS-1): Lef parser has encountered an error in file \r\n ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef at line 9, on token 0.76.\r\n Problem can be syntax error on the lef file or an invalid parameter name.\r\n Double check the syntax on the lef file with the LEFDEF Reference Manual.\r\n \r\n[WARNING STA-0139] ./platforms/nangate45/lib/NangateOpenCellLibrary_typical.lib line 237, 0.0010 is not a float.\r\n[WARNING STA-0139] ./platforms/nangate45/lib/NangateOpenCellLibrary_typical.lib line 237, 0.0020 is not a float.\r\n[WARNING STA-0139] ./platforms/nangate45/lib/NangateOpenCellLibrary_typical.lib line 237, 0.0030 is not a float.\r\n[WARNING STA-0139] ./platforms/nangate45/lib/NangateOpenCellLibrary_typical.lib line 237, 0.0050 is not a float.\r\n```\r\nand more [WARNING STA-0139] when further reading the lib ... until message limit reached and stopped working\r\n\r\nNote: \r\n ./platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef \r\n ./platforms/nangate45/lef/NangateOpenCellLibrary.macro.mod.lef\r\n ./platforms/nangate45/lib/NangateOpenCellLibrary_typical.lib\r\nlooks all well **well formed** ( e.g. read_lef, read_lib does work as expected when called in CL OR ) \r\n\r\nNote:\r\n[WARNING ODB-0219] errorCB() in tools/OpenROAD/src/odb/src/lefin/reader.cpp\r\n[WARNING STA-0139] check_float() in tools/OpenROAD/src/sta/tcl/Util.tcl:359\r\n "}, {"assistant": "@mi-and-core I don't have the OR build you mentioned. But OpenROAD v2.0-1330-g2c5fe6a5d version i'm not found no such error. Able to view my final.def in gui.\r\nIs Docker based or locally installed ORFS?"}, {"user": "@vijayank88 Four **local installed** versions on the very same machine (without any diff in the dependencies) :\r\n\r\n1. OR 1 v2.0-908-gbf8417df5 (2021-09-11) \r\n2. OR v2.0-1020-g01461c345 (2021-09-18) \r\n3. OR v2.0-1108-g2409932bc (2021-09-25) \r\n4. OR v2.0-1426-gd8dbd6bc4 (2021-10-21) \r\n\r\n(1), (2) (3) work as expected \r\n(4) failed as described. \r\n\r\nThe point is that the last tested version fails when read_lef is called via GUI-script, while it works as expected when called in openroads command line - although it's basically the same. "}, {"assistant": "One other developer (@Stephanommg ) has reported something similar but no other developer could reproduce it. It is interesting that you are on ubuntu as he is as well. Are you using virtual box or docker?"}, {"assistant": "I started an ubuntu 20 machine on gcloud, install the dependencies, build OR and run openroad-gui under vnc. No error happened when loading lef. I still don't know how to reproduce this problem."}, {"assistant": "also what version of qt are you using?"}, {"user": "local install/ docker/ virtualbox ?\r\nAll four mentioned ORs are local installed on Lenovo G50 laptop (4 amd cores, 16 GB) / Docker,Virtualbox not used.\r\n\r\nqt-version ? \r\nqt 5.12.8-0ubuntu1 (comes with ubuntu20.4 and has never been changed) \r\n\r\nIt looks like the gui is a 'moving target'. However, this does not explain the **incorrect** parse error message (ERROR LEFPARS-1) issued by errorCB() in tools/OpenROAD/src/odb/src/lefin/reader.cpp when (and only when) read_lef is called via a gui-script.\r\n\r\nBecause you cannot reproduce the problem I would not call it a bug in gui/odb(lef-parser) at this stage. I will try to investigate this in the next free time-slot. In the meantime klayout or OR v2.0-1108-g2409932bc (2021-09-25) are valid options. "}, {"assistant": "I have no idea how the gui would affect read_lef beyond some general memory issue. We tried valgrind but didn't find anything useful. If you can debug it further that would be great."}, {"assistant": "@mi-and-core I have the same problem. It is actually not that the gui cant read from lef, but that there is a lef error when I run with the gui. It started in this commit 7f23e5f6e6b8d36e6a8aaed6ad074902ea27c4ce. I use virtual-box, and I will test it on other virtual-box machine."}, {"assistant": "@luis201420 now reports this as well. He will try to debug."}]} +{"num": 1267, "messages": [{"user": "LTO stands for link time optimization, and allows clang/gcc to optimize across linking boundaries.\r\n\r\nWe can enable it by default by setting `set(CMAKE_INTERPROCEDURAL_OPTIMIZATION TRUE)` in the root CMAKE file.\r\n\r\n\r\nhttps://stackoverflow.com/questions/31355692/how-do-i-enable-link-time-optimization-lto-with-cmake"}, {"assistant": "@QuantamHD do you want to try it?"}, {"assistant": "@QuantamHD \r\n@maliberty \r\n\r\n\r\nOpenROAD +LTO means:\r\n - 636,005,720 vs 830,627,144 **unstripped** binary.\r\n - 44,501,056 vs 50,634,568 **stripped** binary.\r\n - 3m56.108s vs 3m57.196s regression **runtime**.\r\n\r\n\r\nLTO is more about size optimisation (speed would second by a chance)\r\nIMO is safe to enable LTO it gains some significant amount towards smaller size.\r\n\r\n---\r\n\r\n### Setup conditions:\r\n\r\n* OpenROAD git hash: a1cd122fe9bf2e6cb7b2b05be43c50dc5599d8f2\r\n\r\n* GCC version:\r\n```\r\n$ gcc -v\r\nSupported LTO compression algorithms: zlib zstd\r\ngcc version 12.0.1 20220401 (Red Hat 12.0.1-0) (GCC)\r\n```\r\n\r\n* OpenROAD having **external** ```OpenSTA``` & ```abc``` (booth had LTO as per Fedora default):\r\n```\r\n$ readelf -a /usr/bin/openroads | grep NEED\r\n 0x0000000000000001 (NEEDED) Shared library: [libOpenSTA.so.2]\r\n 0x0000000000000001 (NEEDED) Shared library: [libabc.so.0]\r\n 0x0000000000000001 (NEEDED) Shared library: [libtcl8.6.so]\r\n 0x0000000000000001 (NEEDED) Shared library: [libtclreadline-2.1.0.so]\r\n 0x0000000000000001 (NEEDED) Shared library: [libX11.so.6]\r\n 0x0000000000000001 (NEEDED) Shared library: [libjpeg.so.62]\r\n 0x0000000000000001 (NEEDED) Shared library: [libgomp.so.1]\r\n 0x0000000000000001 (NEEDED) Shared library: [libboost_serialization.so.1.76.0]\r\n 0x0000000000000001 (NEEDED) Shared library: [libQt5Widgets.so.5]\r\n 0x0000000000000001 (NEEDED) Shared library: [libQt5Gui.so.5]\r\n 0x0000000000000001 (NEEDED) Shared library: [libQt5Core.so.5]\r\n 0x0000000000000001 (NEEDED) Shared library: [libspdlog.so.1]\r\n 0x0000000000000001 (NEEDED) Shared library: [libfmt.so.8]\r\n 0x0000000000000001 (NEEDED) Shared library: [libz.so.1]\r\n 0x0000000000000001 (NEEDED) Shared library: [libpython3.10.so.1.0]\r\n 0x0000000000000001 (NEEDED) Shared library: [libstdc++.so.6]\r\n 0x0000000000000001 (NEEDED) Shared library: [libm.so.6]\r\n 0x0000000000000001 (NEEDED) Shared library: [libgcc_s.so.1]\r\n 0x0000000000000001 (NEEDED) Shared library: [libc.so.6]\r\n```\r\n\r\n---\r\n\r\n### LTO on:\r\n\r\n* Extra flags:\r\n```\r\n$ rpm --eval %{_lto_cflags}\r\n-flto=auto -ffat-lto-objects\r\n```\r\n* Size unstripped:\r\n```\r\n$ ls -l build/src/openroad\r\n-rwxr-xr-x 1 cbalint cbalint 636005720 Apr 10 17:08 build/src/openroad\r\n```\r\n* Size stripped:\r\n```\r\n$ ls -l /usr/bin/openroad\r\n-rwxr-xr-x 1 cbalint cbalint 44501056 Apr 10 17:09 /usr/bin/openroad\r\n```\r\n\r\n* Regression timing:\r\n```\r\nPassed all tool regressions.\r\nreal\t3m56.108s\r\nuser\t3m38.084s\r\nsys\t0m14.937s\r\n```\r\n\r\n### LTO off:\r\n\r\n* Size unstripped:\r\n```\r\n$ ls -l build/src/openroad\r\n-rwxr-xr-x 1 cbalint cbalint 830627144 Apr 10 17:20 build/src/openroad\r\n```\r\n\r\n* Size stripped:\r\n```\r\nls -l /usr/bin/openroad\r\n-rwxr-xr-x 1 cbalint cbalint 50634568 Apr 10 17:21 /usr/bin/openroad\r\n```\r\n* Regression timing:\r\n```\r\nPassed all tool regressions.\r\nreal\t3m57.196s\r\nuser\t3m42.273s\r\nsys\t0m14.460s\r\n```\r\n\r\n"}, {"user": "LTO was broken because of the QT components. We would need some additional work to selectively disable it around the GUI."}, {"user": "Auto-FDO would also be worth doing."}]} +{"num": 1271, "messages": [{"user": "While chasing a SEGV, I noticed `Table2::tableValue` is indexing an array with -1UL. With the attached patch I see:\r\n\r\n```\r\nindex1 2 index2 ffffffffffffffff\r\n```\r\n\r\nThe test case is too large to attach, it's at https://ozlabs.org/~anton/openroad-bad-index/fail.tar.gz\r\n\r\n```\r\ndiff --git a/liberty/TableModel.cc b/liberty/TableModel.cc\r\nindex e06c29e..488abb5 100644\r\n--- a/liberty/TableModel.cc\r\n+++ b/liberty/TableModel.cc\r\n@@ -888,7 +888,10 @@ float\r\n Table2::tableValue(size_t index1,\r\n size_t index2) const\r\n {\r\n+ printf(\"index1 %lx index2 %lx\\n\", index1, index2);\r\n FloatSeq *row = (*values_)[index1];\r\n+ if (index2 == 0xffffffffffffffffUL)\r\n+ abort();\r\n return (*row)[index2];\r\n }\r\n```"}, {"user": "Backtrace:\r\n\r\n```\r\n#1 0x00007ffff4e578a4 in abort () from /lib64/libc.so.6\r\n#2 0x00000000004f9650 in sta::Table2::tableValue (index2=, index1=, this=)\r\n at ../src/sta/liberty/TableModel.cc:894\r\n#3 sta::Table2::findValue (this=, value1=, value2=)\r\n at ../src/sta/liberty/TableModel.cc:912\r\n#4 0x0000000002395723 in sta::TableModel::findValue (value3=, value2=, \r\n value1=, pvt=0x0, cell=0x6190001eaa80, library=0x6180002cb880, this=)\r\n at ../src/sta/liberty/TableModel.cc:617\r\n#5 sta::GateTableModel::findValue (related_out_cap=0, load_cap=-nan(0x400000), in_slew=1.1147739e-10, \r\n model=, pvt=0x0, cell=0x6190001eaa80, library=0x6180002cb880, this=0x6060001a8e80)\r\n at ../src/sta/liberty/TableModel.cc:200\r\n#6 sta::GateTableModel::gateDelay (this=0x6060001a8e80, cell=0x6190001eaa80, pvt=, in_slew=, \r\n load_cap=, related_out_cap=, pocv_enabled=, \r\n gate_delay=@0x7fffffffbea0: 1.19673977e-29, drvr_slew=) at ../src/sta/liberty/TableModel.cc:96\r\n#7 0x0000000003235c29 in sta::gateModelRd (pocv_enabled=, pvt=, \r\n related_out_cap=, c1=-nan(0x8000000000000), c2=-nan(0x8000000000000), in_slew=1.1147738981920341e-10, \r\n rf=0x2cc2fa00 , gate_model=0x6060001a8e80, cell=0x6190001eaa80) at ../src/sta/dcalc/DmpCeff.cc:1723\r\n#8 sta::DmpCeffDelayCalc::setCeffAlgorithm (this=0x61200003c940, drvr_library=, drvr_cell=0x6190001eaa80, \r\n pvt=, gate_model=0x6060001a8e80, rf=, in_slew=, \r\n related_out_cap=, c2=, rpi=, c1=)\r\n at ../src/sta/dcalc/DmpCeff.cc:1611\r\n#9 0x0000000003237476 in sta::DmpCeffDelayCalc::gateDelay (this=0x61200003c940, drvr_cell=, \r\n arc=, in_slew=, load_cap=, drvr_parasitic=, \r\n related_out_cap=, pvt=, dcalc_ap=, gate_delay=, \r\n drvr_slew=) at ../src/sta/dcalc/DmpCeff.cc:1574\r\n#10 0x000000000150d583 in rsz::Resizer::cellWireDelay (this=this@entry=0x61900012d480, drvr_port=, \r\n load_port=, wire_length=wire_length@entry=inf, delay=@0x7fffffffc4a0: -1.00000002e+30, \r\n slew=@0x7fffffffc4c0: -1.00000002e+30) at ../src/rsz/src/Resizer.cc:3559\r\n#11 0x000000000150f83a in rsz::Resizer::bufferWireDelay (slew=@0x7fffffffc4c0: -1.00000002e+30, \r\n delay=@0x7fffffffc4a0: -1.00000002e+30, wire_length=inf, buffer_cell=, this=0x61900012d480)\r\n at ../src/rsz/src/Resizer.cc:3500\r\n#12 rsz::Resizer::splitWireDelayDiff (this=this@entry=0x61900012d480, wire_length=, \r\n buffer_cell=buffer_cell@entry=0x6190001eaa80) at ../src/rsz/src/Resizer.cc:3486\r\n#13 0x000000000150fcb3 in rsz::Resizer::findMaxWireLength (this=this@entry=0x61900012d480, drvr_port=, \r\n corner=corner@entry=0x60d000000790) at ../src/rsz/src/Resizer.cc:3462\r\n#14 0x0000000001510f54 in rsz::Resizer::findMaxWireLength (corner=0x60d000000790, buffer_cell=0x6190001eaa80, \r\n this=0x61900012d480) at ../src/rsz/src/Resizer.cc:3441\r\n#15 rsz::Resizer::findMaxWireLength (this=0x61900012d480) at ../src/rsz/src/Resizer.cc:3425\r\n#16 0x000000000160b5f8 in rsz::Resizer::resizeSlackPreamble (this=this@entry=0x61900012d480)\r\n at ../src/rsz/src/Resizer.cc:1784\r\n#17 0x0000000000ab90b3 in gpl::Replace::doNesterovPlace (this=this@entry=0x611000001300, start_iter=start_iter@entry=0)\r\n at ../src/gpl/src/replace.cpp:326\r\n#18 0x0000000000e88ec1 in replace_nesterov_place_cmd () at src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:1742\r\n#19 _wrap_replace_nesterov_place_cmd (clientData=, interp=0x629000064210, objc=, \r\n objv=) at src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:2178\r\n```"}, {"assistant": "your test case is calling the global placer through the back door (not the tcl command) and it is defaulting to timing driven (which makes no sense but that's a different issue) and your test case does not have an sdc file or wire rc values which is not where you want to be, but I bullet proofed it so it doesn't blow up."}, {"user": "Thanks @jjcherry56. This test was cut down from Openlane: [or_replace.tcl](https://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/openroad/or_replace.tcl). Should we fix the timing driven (and routability driven) defaults in OpenROAD, or set them to 0 where appropriate in Openlane?\r\n\r\nIn terms of the missing RC values when timing driven mode is enabled, is it enough to call `set_wire_rc` beforehand?"}, {"assistant": "That whole script is using internal functions instead of the command, which doesn't make much sense.\r\nIt also fails to read liberty files, and there is no hope of timing driven working without them."}, {"assistant": "OL has agreed to move to the tcl wrappers rather than the swig APIs. It will take some time for that to happen."}, {"user": "I've reproduced this using the latest `OpenROAD` and `OpenROAD-flow-scripts`, building `designs/sky130hd/jpeg`:\r\n\r\n```\r\nindex1 5 index2 ffffffffffffffff\r\n\r\nProgram received signal SIGABRT, Aborted.\r\n0x00007ffff61ae2a2 in raise () from /lib64/libc.so.6\r\n(gdb) backtrace \r\n#0 0x00007ffff61ae2a2 in raise () from /lib64/libc.so.6\r\n#1 0x00007ffff61978a4 in abort () from /lib64/libc.so.6\r\n#2 0x000000000046ce63 in sta::Table2::findValue(float, float, float) const [clone .cold] ()\r\n#3 0x000000000073ce9b in sta::GateTableModel::gateDelay(sta::LibertyCell const*, sta::Pvt const*, float, float, float, bool, float&, float&) const ()\r\n#4 0x0000000000843449 in sta::DmpPi::gateDelaySlew(double&, double&) ()\r\n#5 0x000000000084494b in sta::DmpCeffDelayCalc::gateDelay(sta::LibertyCell const*, sta::TimingArc*, float const&, float, sta::Parasitic*, float, sta::Pvt const*, sta::DcalcAnalysisPt const*, float&, float&) ()\r\n#6 0x000000000084871d in sta::GraphDelayCalc1::findArcDelay(sta::LibertyCell*, sta::Pin const*, sta::Vertex*, sta::MultiDrvrNet*, sta::TimingArc*, sta::Parasitic*, float, sta::Vertex*, sta::Edge*, sta::Pvt const*, sta::DcalcAnalysisPt const*, sta::ArcDelayCalc*) ()\r\n#7 0x0000000000848bc2 in sta::GraphDelayCalc1::findDriverEdgeDelays(sta::LibertyCell*, sta::Instance*, sta::Pin const*, sta::Vertex*, sta::MultiDrvrNet*, sta::Edge*, sta::ArcDelayCalc*) ()\r\n#8 0x0000000000848db8 in sta::GraphDelayCalc1::findDriverDelays1(sta::Vertex*, bool, sta::MultiDrvrNet*, sta::ArcDelayCalc*) ()\r\n#9 0x0000000000848e92 in sta::GraphDelayCalc1::findDriverDelays(sta::Vertex*, sta::ArcDelayCalc*) ()\r\n#10 0x000000000084aa42 in sta::FindVertexDelays::visit(sta::Vertex*) ()\r\n#11 0x00000000007aa359 in sta::BfsIterator::visit(int, sta::VertexVisitor*) ()\r\n#12 0x000000000084b09f in sta::GraphDelayCalc1::findDelays(int) ()\r\n#13 0x00000000007fde0c in sta::Sta::checkSlewLimitPreamble() ()\r\n#14 0x000000000061d9a3 in rsz::Resizer::repairDesign(double, double, double, int&, int&, int&, int&, int&) ()\r\n#15 0x000000000061f1df in rsz::Resizer::repairDesign(double, double, double) ()\r\n#16 0x0000000000642953 in _wrap_repair_design_cmd ()\r\n#17 0x00007ffff7e33042 in TclNRRunCallbacks () from /lib64/libtcl8.6.so\r\n#18 0x00007ffff7e38da7 in ?? () from /lib64/libtcl8.6.so\r\n#19 0x00007ffff7e395d7 in Tcl_EvalEx () from /lib64/libtcl8.6.so\r\n#20 0x00007ffff7e395fa in Tcl_Eval () from /lib64/libtcl8.6.so\r\n#21 0x00000000007112bc in sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) ()\r\n#22 0x000000000055f208 in ord::tclAppInit(Tcl_Interp*) ()\r\n#23 0x00007ffff7ee8ee6 in Tcl_MainEx () from /lib64/libtcl8.6.so\r\n#24 0x000000000054736f in main ()\r\n```"}, {"user": "Test case: [sky130hd_jpeg_tablevalue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7700147/sky130hd_jpeg_tablevalue.tar.gz)\r\n"}, {"assistant": "@maliberty seems like we should reopen?"}, {"assistant": "I just tried your test with 82d19cf9c235a103ade7728e3fa9a4beee976586 and I don't get any error when running 'openroad -exit resize.tcl\".\r\n\r\n[INFO ODB-0222] Reading LEF file: sky130_fd_sc_hd.tlef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: sky130_fd_sc_hd.tlef\r\n[INFO ODB-0222] Reading LEF file: sky130_fd_sc_hd_merged.lef\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: sky130_fd_sc_hd_merged.lef\r\n[INFO ODB-0127] Reading DEF file: 3_2_place_iop.def\r\n[INFO ODB-0128] Design: jpeg_encoder\r\n[INFO ODB-0130] Created 47 pins.\r\n[INFO ODB-0131] Created 76222 components and 403641 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 245056 connections.\r\n[INFO ODB-0133] Created 58681 nets and 158584 connections.\r\n[INFO ODB-0134] Finished DEF file: 3_2_place_iop.def\r\nPerform port buffering...\r\n[INFO RSZ-0027] Inserted 19 input buffers.\r\n[INFO RSZ-0028] Inserted 26 output buffers.\r\nPerform buffer insertion...\r\n[INFO RSZ-0058] Using max wire length 2431um.\r\n[INFO RSZ-0034] Found 12387 slew violations.\r\n[INFO RSZ-0036] Found 13 capacitance violations.\r\n[INFO RSZ-0037] Found 2 long wires.\r\n[INFO RSZ-0038] Inserted 225 buffers in 12387 nets.\r\n[INFO RSZ-0039] Resized 5543 instances.\r\n[~/w6/OpenROAD-flow-scripts/tools/OpenROAD/sky130hd_jpeg_tablevalue]\r\n% echo $?\r\n0\r\n"}, {"assistant": "@QuantamHD I'll reopen when a reproducible problem exists."}, {"user": "Hi @maliberty did you have the patch mentioned [here](https://github.com/The-OpenROAD-Project/OpenROAD/issues/1271#issue-1045476580) ? The other option is to build with the LLVM undefined behaviour sanitizer (how I originally hit it)."}, {"assistant": "Ok I see it with the patch."}, {"assistant": "@jjcherry56 a quick look shows it failing in ceff and returning a nan which leads to the downstream issue. The gate is way outside the .lib table."}, {"assistant": "It looks like the testcase has been nicely cleaned up now."}, {"assistant": "The original bug was fixed by OpenSTA commit ddd7f39 James Cherry 2021-11-09 dcalc nan proofing.\r\nThis is different similar issue fixed by OR commit 4c696dc5a or1271 sta bad index in Table2::tableValue"}]} +{"num": 1272, "messages": [{"user": "I have a simple test that only have few macros, i have these erroe when i use globle placement \r\n```\r\n[NesterovSolve] Iter: 2760 overflow: 0.211169 HPWL: 807523\r\n[NesterovSolve] Iter: 2770 overflow: 0.211203 HPWL: 807433\r\n[NesterovSolve] Iter: 2780 overflow: 0.208407 HPWL: 846022\r\n[NesterovSolve] Iter: 2790 overflow: 0.207827 HPWL: 7211705\r\n[NesterovSolve] Iter: 2800 overflow: 0.19835 HPWL: 5721575\r\n[NesterovSolve] Iter: 2810 overflow: 0.197172 HPWL: 7054532\r\n[NesterovSolve] Iter: 2820 overflow: 0.197242 HPWL: 7740887\r\n[NesterovSolve] Iter: 2830 overflow: 0.197312 HPWL: 9096105\r\n[NesterovSolve] Iter: 2840 overflow: 0.197381 HPWL: 9259699\r\n[ERROR GPL-0305] RePlAce diverged at newStepLength.\r\nError: macro_only.tcl, 5 GPL-0305\r\n```\r\nhere is the lef/def, how can i solve these problems. \r\nThank you for your kind cooperation\r\n[macros.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7486102/macros.zip)\r\n"}, {"assistant": "@mgwoo if possible the error should include suggestions to correct because it's a bit esoteric."}, {"assistant": "Sorry, but this testcase is not appropriate on OpenROAD testcases. If the number of instances or nets are too small, I would prefer to use a simulated annealer or BiCGSTAB QP solver to place macros. The nonlinear placer cannot handle this wedged testcase."}, {"assistant": "> If the number of instances or nets are too small, I would prefer to use a simulated annealer or BiCGSTAB QP solver to place macros. The nonlinear placer cannot handle this wedged testcase.\r\n\r\n@mgwoo is it possible to detect this behavior and add an error stating this?"}, {"assistant": "@ravi-varadarajan hopefully rtl-mp can handle this case. Would you verify?"}, {"assistant": "@mgwoo Mingyu have you set up the test case in some location in UCSD server?"}, {"assistant": "Confirmed that this testcase is working well if you put the following: \r\n\r\n global_placement -skip_initial_place \r\n\r\nor: \r\n\r\n global_placement -bin 4"}]} +{"num": 1277, "messages": [{"user": "I am using the or_resizer_timing.tcl from efabless/openlane project.\r\n\r\nWhile Running the Resize timing timing optimization, tool crashes with segmentation fault.\r\n
###############################################################################\r\n# Design Rules\r\n###############################################################################\r\n[INFO]: Setting RC values...\r\n[INFO RSZ-0046] Found 51 endpoints with hold violations.\r\n[ERROR]: during executing: "openroad -exit input/or_resizer_timing.tcl |& tee >&@stdout output/resizer_timing_optimization.log"\r\n[ERROR]: Exit code: 1\r\n[ERROR]: Last 10 lines:\r\nchild killed: segmentation violation\r\n\r\n[ERROR]: Please check openroad  log file\r\n
\r\n\r\nThe issue observed with latest efabless/openlane:latest docker and Standalone Openroad version: v2.0-1687-ge2bbbd5be\r\n\r\n
Running Resizer Timing Optimizations...\r\nOpenROAD v2.0-1687-ge2bbbd5be \r\n
\r\n\r\nAttached the Example:\r\n\r\n[exp2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7490731/exp2.tar.gz)\r\n\r\nDirect Run option-1: tclsh input/resizer.tcl\r\nDocker Run Option-2: docker run -ti --rm -v $(pwd):/project efabless/openlane:latest sh -c \"cd /project && tclsh input/resizer.tcl\"\r\n\r\n"}, {"assistant": "I see the problem.\r\nIn the mean time, you can work around it by adding a setup constraint for spi_sdo[0] which I suspect was unintentionally\r\nleft out of the sdc.\r\nset_output_delay 6.0000 -clock [get_clocks {spiclk}] -max -add_delay [get_ports {spi_sdo[0]}]"}, {"user": "@jjcherry56 , Flow worked once i add max output delay to spi_sdo[0], Thanks"}, {"assistant": "fixed"}]} +{"num": 1281, "messages": [{"user": "I'm hitting malloc corruption during global placement. The issue appears to be in `GlobalRouter::computeObstructionsAdjustments()`, where obstructions that are partially outside the die area (so we don't discard them), end up negatively indexing, or indexing off the end of or `v_edges_` and `h_edges_`.\r\n\r\nThe test case (too large to attach) is at https://ozlabs.org/~anton/openroad-bad-index/fail.tar.gz\r\n\r\n"}, {"user": "A bit of a hack, but this fixes it.\r\n\r\n```\r\ndiff --git a/src/grt/src/GlobalRouter.cpp b/src/grt/src/GlobalRouter.cpp\r\nindex 2475ce34c..e01c69c06 100644\r\n--- a/src/grt/src/GlobalRouter.cpp\r\n+++ b/src/grt/src/GlobalRouter.cpp\r\n@@ -1201,10 +1201,34 @@ void GlobalRouter::computeObstructionsAdjustments()\r\n int last_tile_reduce = grid_->computeTileReduce(\r\n obs, last_tile_box, track_space, false, tech_layer->getDirection());\r\n \r\n+ int x_start = first_tile._x;\r\n+ if (x_start < 0) {\r\n+\t printf(\"adjusting x_start from %d to %d\\n\", x_start, 0);\r\n+ x_start = 0;\r\n+\t}\r\n+\r\n+ int y_start = first_tile._y;\r\n+ if (y_start < 0) {\r\n+\t printf(\"adjusting y_start from %d to %d\\n\", y_start, 0);\r\n+ y_start = 0;\r\n+\t}\r\n+\r\n+ int x_end = last_tile._x;\r\n+ if (x_end >= fastroute_->x_grid_) {\r\n+\t printf(\"adjusting x_end from %d to %d\\n\", x_end, fastroute_->x_grid_-1);\r\n+ x_end = fastroute_->x_grid_-1;\r\n+\t}\r\n+\r\n+ int y_end = last_tile._y;\r\n+ if (y_end >= fastroute_->y_grid_) {\r\n+\t printf(\"adjusting y_end from %d to %d\\n\", y_end, fastroute_->y_grid_-1);\r\n+ y_end = fastroute_->y_grid_-1;\r\n+\t}\r\n+\r\n if (!vertical) {\r\n- for (int x = first_tile._x; x < last_tile._x; x++) {\r\n- for (int y = first_tile._y; y <= last_tile._y; y++) {\r\n- if (y == first_tile._y) {\r\n+ for (int x = x_start; x < x_end; x++) {\r\n+ for (int y = y_start; y <= y_end; y++) {\r\n+ if (y == y_start) {\r\n int edge_cap\r\n = fastroute_->getEdgeCapacity(x, y, level, x + 1, y, level);\r\n edge_cap -= first_tile_reduce;\r\n@@ -1212,7 +1236,7 @@ void GlobalRouter::computeObstructionsAdjustments()\r\n edge_cap = 0;\r\n fastroute_->addAdjustment(\r\n x, y, level, x + 1, y, level, edge_cap, true);\r\n- } else if (y == last_tile._y) {\r\n+ } else if (y == y_end) {\r\n int edge_cap\r\n = fastroute_->getEdgeCapacity(x, y, level, x + 1, y, level);\r\n edge_cap -= last_tile_reduce;\r\n@@ -1227,9 +1251,9 @@ void GlobalRouter::computeObstructionsAdjustments()\r\n }\r\n }\r\n } else {\r\n- for (int x = first_tile._x; x <= last_tile._x; x++) {\r\n- for (int y = first_tile._y; y < last_tile._y; y++) {\r\n- if (x == first_tile._x) {\r\n+ for (int x = x_start; x <= x_end; x++) {\r\n+ for (int y = y_start; y < y_end; y++) {\r\n+ if (x == x_start) {\r\n int edge_cap\r\n = fastroute_->getEdgeCapacity(x, y, level, x, y + 1, level);\r\n edge_cap -= first_tile_reduce;\r\n@@ -1237,7 +1261,7 @@ void GlobalRouter::computeObstructionsAdjustments()\r\n edge_cap = 0;\r\n fastroute_->addAdjustment(\r\n x, y, level, x, y + 1, level, edge_cap, true);\r\n- } else if (x == last_tile._x) {\r\n+ } else if (x == x_end) {\r\n int edge_cap\r\n = fastroute_->getEdgeCapacity(x, y, level, x, y + 1, level);\r\n edge_cap -= last_tile_reduce;\r\ndiff --git a/src/grt/src/fastroute/include/FastRoute.h b/src/grt/src/fastroute/include/FastRoute.h\r\nindex 1b0fb45ff..d8a570c18 100644\r\n--- a/src/grt/src/fastroute/include/FastRoute.h\r\n+++ b/src/grt/src/fastroute/include/FastRoute.h\r\n@@ -185,6 +185,9 @@ class FastRouteCore\r\n void setDebugTree2D(bool tree2D);\r\n void setDebugTree3D(bool tree3D);\r\n \r\n+ int x_grid_;\r\n+ int y_grid_;\r\n+\r\n private:\r\n NetRouteMap getRoutes();\r\n void init_usage();\r\n@@ -470,8 +473,6 @@ class FastRouteCore\r\n int num_adjust_;\r\n int v_capacity_;\r\n int h_capacity_;\r\n- int x_grid_;\r\n- int y_grid_;\r\n int x_corner_;\r\n int y_corner_;\r\n int w_tile_;\r\n```"}, {"assistant": "I'm not sure if it's reasonable to have obstructions outside of the die area. We may want to be checking for that instead of trying to work around it in the global router. What's the use case?"}, {"user": "I'm trying to harden a caravel user_project_wrapper in one step: https://github.com/efabless/caravel_user_project/blob/main/docs/source/index.rst#hardening-options\r\n\r\n![obstructions](https://user-images.githubusercontent.com/2029971/140663118-3e5db8f2-64ab-4fbb-a3a9-c7e0d2b7c07e.png)\r\n\r\nI'm guessing the I/O pins are causing the issue, and they extend out of the die area so efabless can guarantee the GDS will insert into the rest of the chip without modification. I could do it in two steps (avoiding any standard cells on the second step), but that seems like a waste of die space."}, {"user": "We already warn and throw away obstructions completely outside the die space, it's just ones that partially extend outside it."}, {"assistant": "So if I understand correctly, the user design is being created as a block and then that block is being instantiated in the top-level design? And then the problem arises because there are pins that extend outside of the die area at the block level?\r\n\r\nIf so, then overall that's a user error. There should never be any shapes extending outside of the die area. The die area should instead be extended to cover the shapes. OpenROAD should report an error about shapes outside of the die area if it currently doesn't.\r\n\r\nThe standard methodology is for macro pins to be exposed on the edges (for signal pins) or top layer (for power pins) with a wide enough pitch between pins to ensure routability. Ideally the pins should be wide enough to allow on-grid routing regardless of placement, but the detailed router should be able to handle off-grid pins for sky130."}, {"user": "I believe that is how it works, but I'll leave it to @donn or @RTimothyEdwards to confirm."}, {"assistant": "@antonblanchard I don't understand \"they extend out of the die area so efabless can guarantee the GDS will insert into the rest of the chip without modification\". How does making them extend outside help? "}, {"user": "@maliberty I'm not sure, and I'm fast getting out of my depth here. These Openlane config options appear to do it:\r\n\r\n```\r\nFP_IO_VEXTEND | Extends the vertical io pins outside of the die by the specified units (Default: -1 Disabled) |\r\nFP_IO_HEXTEND | Extends the horizontal io pins outside of the die by the specified units (Default: -1 Disabled) |\r\n```\r\n"}, {"assistant": "If we handle obstructions completely outside then we should handle ones partially outside. I do think it is a strange methodology but the tool should never crash. It doesn't seem dire enough to be an error."}, {"assistant": "@eder-matheus I would fix this by having Grid::addObstruction clip the obstruction to the Grid and discard it if nothing remains. It's safer than sanitizing one use of the obstructions."}]} +{"num": 1290, "messages": [{"user": "Resizer inserts buffers on nets that are driven by a tri-state cell. I am attaching a test case for which resizer inserts a buffer on an output port (`mgmt_gpio_in`) which is driven by a tri-state cell.\r\n\r\n[rsz_test_case.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7512431/rsz_test_case.zip)\r\n "}, {"assistant": "fixed"}]} +{"num": 1296, "messages": [{"user": "OpenROAD Version: v2.0-1750-gce49eb6f2\r\n\r\n## Description\r\nA simple inverter def layout fails to place with RePlace.\r\n\r\n## Reproducible\r\n\r\n[packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7521975/packaged.tar.gz)\r\n\r\n## Logs\r\n\r\n```\r\nOpenROAD v2.0-1750-gce49eb6f2 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file ./tmp/merged_unpadded.lef at line 794.\r\n\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: ./in.def\r\n[INFO ODB-0128] Design: inverter\r\n[INFO ODB-0130] Created 4 pins.\r\n[INFO ODB-0131] Created 32 components and 116 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 114 connections.\r\n[INFO ODB-0133] Created 2 nets and 2 connections.\r\n[INFO ODB-0134] Finished DEF file: ./in.def\r\n-verbose_level 1 -density 0.75 -overflow 0.9 -init_density_penalty 0.0001 -initial_place_max_iter 20 -bin_grid_count 64\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 460 2720\r\n[INFO GPL-0004] CoreAreaLxLy: 5520 10880\r\n[INFO GPL-0005] CoreAreaUxUy: 28520 43520\r\n[INFO GPL-0006] NumInstances: 32\r\n[INFO GPL-0007] NumPlaceInstances: 1\r\n[INFO GPL-0008] NumFixedInstances: 31\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 2\r\n[INFO GPL-0011] NumPins: 4\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 34165 54885\r\n[INFO GPL-0014] CoreAreaLxLy: 5520 10880\r\n[INFO GPL-0015] CoreAreaUxUy: 28520 43520\r\n[INFO GPL-0016] CoreArea: 750720000\r\n[INFO GPL-0017] NonPlaceInstsArea: 98844800\r\n[INFO GPL-0018] PlaceInstsArea: 3753600\r\n[INFO GPL-0019] Util(%): 0.58\r\n[INFO GPL-0020] StdInstsArea: 3753600\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG Error: 0.00000008 HPWL: 93755\r\n[InitialPlace] Iter: 2 CG Error: 0.00000008 HPWL: 92385\r\n[InitialPlace] Iter: 3 CG Error: 0.00000008 HPWL: 92385\r\n[InitialPlace] Iter: 4 CG Error: 0.00000008 HPWL: 92385\r\n[InitialPlace] Iter: 5 CG Error: 0.00000008 HPWL: 92385\r\n[INFO GPL-0031] FillerInit: NumGCells: 130\r\n[INFO GPL-0032] FillerInit: NumGNets: 2\r\n[INFO GPL-0033] FillerInit: NumGPins: 4\r\n[INFO GPL-0023] TargetDensity: 0.75\r\n[INFO GPL-0024] AveragePlaceInstArea: 3753600\r\n[INFO GPL-0025] IdealBinArea: 5004800\r\n[INFO GPL-0026] IdealBinCnt: 150\r\n[INFO GPL-0027] TotalBinArea: 750720000\r\n[INFO GPL-0028] BinCnt: 64 64\r\n[INFO GPL-0029] BinSize: 360 510\r\n[INFO GPL-0030] NumBins: 4096\r\n[ERROR GPL-0304] RePlAce diverged at initial iteration. Re-run with a smaller init_density_penalty value.\r\nError: replace.tcl, 83 GPL-0304\r\n```"}, {"user": "For the record I'm going to state we've started utilizing a random OpenDB-based placer for tiny designs, so you don't need to rush this. Take your time"}, {"assistant": "This issue has been fixed and the testcase is added to the simple07-08 regression unit testcases \r\n[link](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/gpl/test/simple07.def)\r\n"}]} +{"num": 1303, "messages": [{"user": "OR version v2.0-1685-g50f0e3241\r\n\r\nWhen I load a .DEF file (version 5.7) with the **TECHNOLOGY** statement (that I understand is part of the 5.7 file format) I get this error and OR exits:\r\n```\r\n[WARNING ODB-0126] error: TECHNOLOGY is unsupported\r\nERROR (DEFPARS-6010): An error has been reported in callback. Error in file def_with_techonolgy_5.7.def at line 6, on token ;.\r\nUpdate the def file before parsing the file again.\r\n[WARNING ODB-0149] DEF parser returns an error!\r\n```\r\n\r\nTo reproduce the error:\r\n```\r\nread_lef sky130_fd_sc_hd.tlef\r\nread_def def_with_technolgy_5.7\r\n```\r\n[def_with_technolgy_5.7.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7530545/def_with_technolgy_5.7.zip)\r\n\r\n\r\n"}, {"assistant": "The cause is right there in the error message. LEF/DEF 5.7 is unsupported. Use 5.3, 5.4, 5.5, 5.6, or 5.8."}, {"assistant": "@rovinski where does it say 5.7 is unsupported? I just see \"error: TECHNOLOGY is unsupported\"\r\n\r\nWe could just ignore that statement.\r\n\r\n@mbalestrini I don't see any TECHNOLOGY statement in your in.def."}, {"assistant": "We can't write DEF5.7, so I assumed that we can't read it either. Is this wrong? And if so then why don't we write DEF5.7?"}, {"assistant": "@rovinski You are write but it looks like a mistake to me - the original opendb support 5.3-5.6 and Cherry added 5.8 but skipped over 5.7 (https://github.com/The-OpenROAD-Project/OpenDB/commit/73270b82cb67deab67b9923553dc3946a353c218). I don't see any reason so I'll fix that. However this seems unrelated to the issue here."}, {"user": "> \r\n> @mbalestrini I don't see any TECHNOLOGY statement in your in.def.\r\n\r\nIt's supposed to be there. The .def file only has this inside:\r\n```\r\nVERSION 5.7 ;\r\n NAMESCASESENSITIVE ON ;\r\n DIVIDERCHAR \"/\" ;\r\n BUSBITCHARS \"()\" ;\r\n DESIGN test_design ;\r\n TECHNOLOGY sky130A ;\r\n UNITS DISTANCE MICRONS 1000 ;\r\n DIEAREA ( 0 0 ) ( 1000 1000 ) ; \r\n```\r\n"}, {"assistant": "Btw, what tool generated this DEF?"}, {"user": "It was generated in magic. I was trying the `def write` command.\r\n(I took away all the content and left just the header in this case)"}, {"assistant": "The def file is incomplete - all DEF must end with \"END DESIGN\". The def itself is empty and useless - I was just fixing the TECHNOLOGY issue."}, {"user": "Yeah, sorry. I was trying to simplify the example but I deleted too much."}]} +{"num": 1305, "messages": [{"user": "```\r\nOpenROAD v2.0-1750-gce49eb6f2\r\n\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n./run: line 14: 462423 Segmentation fault (core dumped) $OPENROAD_BIN -exit $OR_SCRIPT_0\r\n```\r\n\r\n[packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7531419/packaged.tar.gz)\r\n\r\n\r\n"}, {"user": "Also verified on OpenROAD v2.0-1764-g9d9403c75, which only builds with clang and not gcc for some reason. "}, {"user": "lldb backtrace for your convenience:\r\n\r\n```\r\nProcess 476509 stopped\r\n* thread #1, name = 'openroad', stop reason = signal SIGSEGV: invalid address (fault address: 0x0)\r\n frame #0: 0x000055555586a705 openroad`void utl::Logger::log(utl::ToolId, spdlog::level::level_enum, int, std::__cxx11::basic_string, std::allocator > const&, char const* const&, char const* const&) + 53\r\nopenroad`utl::Logger::log:\r\n-> 0x55555586a705 <+53>: movswl 0x38(%rcx,%rax,2), %edx\r\n 0x55555586a70a <+58>: leal 0x1(%rdx), %esi\r\n 0x55555586a70d <+61>: movw %si, 0x38(%rcx,%rax,2)\r\n 0x55555586a712 <+66>: leaq 0x221fe8f(%rip), %rsi ; utl::Logger::max_message_print\r\n(lldb) bt\r\n* thread #1, name = 'openroad', stop reason = signal SIGSEGV: invalid address (fault address: 0x0)\r\n * frame #0: 0x000055555586a705 openroad`void utl::Logger::log(utl::ToolId, spdlog::level::level_enum, int, std::__cxx11::basic_string, std::allocator > const&, char const* const&, char const* const&) + 53\r\n frame #1: 0x000055555586e1b9 openroad`sta::dbNetwork::readLibertyAfter(sta::LibertyLibrary*) + 553\r\n frame #2: 0x00005555559461ee openroad`sta::Sta::readLibertyFile(char const*, sta::Corner*, sta::MinMaxAll const*, bool, sta::Network*) + 190\r\n frame #3: 0x00005555559460c3 openroad`sta::Sta::readLiberty(char const*, sta::Corner*, sta::MinMaxAll const*, bool) + 83\r\n frame #4: 0x000055555582b467 openroad`_wrap_read_liberty_cmd(void*, Tcl_Interp*, int, Tcl_Obj* const*) + 535\r\n frame #5: 0x00007ffff7e20c62 libtcl.so`TclNRRunCallbacks + 114\r\n frame #6: 0x00007ffff7e22b3a libtcl.so`TclEvalEx + 1386\r\n frame #7: 0x00007ffff7e23363 libtcl.so`Tcl_EvalEx + 19\r\n frame #8: 0x00007ffff7e23387 libtcl.so`Tcl_Eval + 23\r\n frame #9: 0x0000555555874eb8 openroad`sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) + 120\r\n frame #10: 0x00005555556a78de openroad`ord::tclAppInit(Tcl_Interp*) + 1214\r\n frame #11: 0x00007ffff7ee5135 libtcl.so`Tcl_MainEx + 453\r\n frame #12: 0x00005555556a7f54 openroad`main + 1268\r\n frame #13: 0x00007ffff6030b25 libc.so.6`__libc_start_main + 213\r\n frame #14: 0x00005555556976ce openroad`_start + 46\r\n```"}, {"assistant": "@donn : Any ideas what's going on? There should have been no changes to liberty files in the last open_pdks update, so nothing should have changed for STA. . . So I don't even know where to begin looking."}, {"assistant": "What is going on is there are errors in the LEF/liberty port names and the logger is not properly initialized. The problem does not show up with well formed libraries."}, {"assistant": "@jjcherry56 : Can anybody tell me what those errors are? The liberty files did not change, but I did make a change to the LEF files to fix the erroneous \"soft\" ports in the original SkyWater LEF macros for the standard cells. Chances are that the modification introduced some syntax error into the LEF files. But I don't know where to go looking for that, since OpenROAD crashed rather than generate an error message."}, {"assistant": "In my book, a segfault is something that needs immediate attention and a fix, even if it is the input data that's at fault."}, {"assistant": "FIne, next time I won't bother to tell you why it seg faulted, I'll just make you wait until it is fixed. I don't need a lesson on the severity of a seg fault."}, {"assistant": "fixed.\r\nNote that the sta.tcl script will discard any DEF placement information if it is ever used with CURRENT_DEF because the unconditional read_verilog following read_def will clobber the netlist in the database."}, {"assistant": "@donn : With the segfault fixed, is there any additional information on what input caused the issue in the first place? Does it remain a problem or not?"}]} +{"num": 1309, "messages": [{"user": "```log\r\nOpenROAD v2.0-1771-g2d043b9f4 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: ./in.def\r\n[INFO ODB-0128] Design: spm\r\n[INFO ODB-0130] Created 36 pins.\r\n[INFO ODB-0131] Created 499 components and 2901 component-terminals.\r\n[INFO ODB-0133] Created 368 nets and 1109 connections.\r\n[INFO ODB-0134] Finished DEF file: ./in.def\r\n[INFO PDN-0016] Power Delivery Network Generator: Generating PDN\r\n config: openlane/scripts/openroad/pdn_cfg.tcl\r\n[INFO PDN-0008] Design name is spm.\r\n[INFO PDN-0009] Reading technology data.\r\n[INFO PDN-0011] ****** INFO ******\r\nType: stdcell, stdcell_grid\r\n Stdcell Rails\r\n Layer: met1 - width: 0.480 pitch: 5.440 \r\n Straps\r\n Layer: met4 - width: 1.600 pitch: 29.287 offset: 14.643 \r\n Layer: met5 - width: 1.600 pitch: 29.013 offset: 14.507 \r\n Connect: {met4 met5} {met1 met4}\r\nType: macro, CORE_macro_grid_1\r\n Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90\r\n Straps\r\n Connect: {met4_PIN_ver met5}\r\n[INFO PDN-0012] **** END INFO ****\r\n[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.\r\n[INFO PDN-0015] Writing to database.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0019] Voltage on net VPWR is not explicitly set.\r\n[WARNING PSM-0022] Using voltage 1.800V for VDD network.\r\n[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 87.860 or core height of 87.040. Changing bump location to the center of the die at (43.930, 43.520).\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[WARNING PSM-0030] VSRC location at (43.930um, 43.520um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (43.200um, 54.160um).\r\n[INFO PSM-0031] Number of PDN nodes on net VPWR = 788.\r\n[INFO PSM-0064] Number of voltage sources = 1.\r\n[INFO PSM-0040] All PDN stripes on net VPWR are connected.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0019] Voltage on net VGND is not explicitly set.\r\n[WARNING PSM-0021] Using voltage 0.000V for ground network.\r\n[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 87.860 or core height of 87.040. Changing bump location to the center of the die at (43.930, 43.520).\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[INFO PSM-0031] Number of PDN nodes on net VGND = 641.\r\n[INFO PSM-0064] Number of voltage sources = 1.\r\n[INFO PSM-0040] All PDN stripes on net VGND are connected.\r\n[INFO]: Setting RC values...\r\n[INFO PSM-0002] Output voltage file is specified as: ./reports/floorplan/7-pdn.pga.rpt.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0019] Voltage on net VPWR is not explicitly set.\r\n[WARNING PSM-0022] Using voltage 1.800V for VDD network.\r\n[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 87.860 or core height of 87.040. Changing bump location to the center of the die at (43.930, 43.520).\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[WARNING PSM-0030] VSRC location at (43.930um, 43.520um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (43.200um, 54.160um).\r\n[INFO PSM-0031] Number of PDN nodes on net VPWR = 788.\r\n./run: line 51: 30731 Segmentation fault (core dumped) $OPENROAD_BIN -exit $OR_SCRIPT_0\r\n```\r\n[packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7537346/packaged.tar.gz)\r\n\r\n\r\n"}, {"assistant": "Looks more like PSM not PDN."}, {"user": "You are correct. Sorry for the mislabel, we use PSM as part of our PDN script."}, {"assistant": "@VidyaChhabria would you give this priority as it is blocking OL from updating their OR version."}, {"assistant": "> @VidyaChhabria would you give this priority as it is blocking OL from updating their OR version.\r\n\r\nHi Matt, Working on it now. I will update once it's done. \r\n"}, {"assistant": "The test case passes for @VidyaChhabria and myself with the latest OR."}]} +{"num": 1310, "messages": [{"user": "```\r\nOpenROAD v2.0-1771-g2d043b9f4 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\nif {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != \"\"} { \r\n create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)\r\n} else {\r\n create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD)\r\n set ::env(CLOCK_PORT) __VIRTUAL_CLK__\r\n}\r\nset input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]\r\nset output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]\r\nputs \"\\[INFO\\]: Setting output delay to: $output_delay_value\"\r\n[INFO]: Setting output delay to: 2.0\r\nputs \"\\[INFO\\]: Setting input delay to: $input_delay_value\"\r\n[INFO]: Setting input delay to: 2.0\r\nset_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]\r\nset clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]\r\n#set rst_indx [lsearch [all_inputs] [get_port resetn]]\r\nset all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]\r\n#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]\r\nset all_inputs_wo_clk_rst $all_inputs_wo_clk\r\n# correct resetn\r\nset_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst\r\n#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}\r\nset_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]\r\n# TODO set this as parameter\r\nset_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]\r\nset cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]\r\nputs \"\\[INFO\\]: Setting load to: $cap_load\"\r\n[INFO]: Setting load to: 0.033442\r\nset_load $cap_load [all_outputs]\r\nputs \"\\[INFO\\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)\"\r\n[INFO]: Setting clock uncertainity to: 0.25\r\nset_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]\r\nputs \"\\[INFO\\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)\"\r\n[INFO]: Setting clock transition to: 0.15\r\nset_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]\r\nputs \"\\[INFO\\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %\"\r\n[INFO]: Setting timing derate to: 0.5 %\r\nset_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]\r\nset_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]\r\nmin_report\r\n\r\n===========================================================================\r\nreport_checks -path_delay min (Hold)\r\n============================================================================\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _569_ (removal check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.05 2.07 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.08 ^ _239_/A (sky130_fd_sc_hd__buf_1)\r\n 0.17 0.16 2.24 ^ _239_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _095_ (net)\r\n 0.17 0.00 2.24 ^ _569_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.24 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _569_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.38 0.63 library removal time\r\n 0.63 data required time\r\n-----------------------------------------------------------------------------\r\n 0.63 data required time\r\n -2.24 data arrival time\r\n-----------------------------------------------------------------------------\r\n 1.61 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _507_ (removal check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.05 2.07 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.08 ^ _248_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.15 2.23 ^ _248_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _102_ (net)\r\n 0.15 0.00 2.23 ^ _376_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.10 2.33 ^ _376_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _173_ (net)\r\n 0.04 0.00 2.33 ^ _377_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.08 2.42 ^ _377_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _033_ (net)\r\n 0.06 0.01 2.42 ^ _507_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.42 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _507_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.35 0.60 library removal time\r\n 0.60 data required time\r\n-----------------------------------------------------------------------------\r\n 0.60 data required time\r\n -2.42 data arrival time\r\n-----------------------------------------------------------------------------\r\n 1.82 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _508_ (removal check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.05 2.07 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.08 ^ _248_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.15 2.23 ^ _248_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _102_ (net)\r\n 0.15 0.00 2.23 ^ _374_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.10 2.33 ^ _374_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _172_ (net)\r\n 0.04 0.00 2.33 ^ _375_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.08 2.42 ^ _375_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _034_ (net)\r\n 0.06 0.01 2.42 ^ _508_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.42 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _508_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.35 0.60 library removal time\r\n 0.60 data required time\r\n-----------------------------------------------------------------------------\r\n 0.60 data required time\r\n -2.42 data arrival time\r\n-----------------------------------------------------------------------------\r\n 1.82 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _509_ (removal check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.05 2.07 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.08 ^ _248_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.15 2.23 ^ _248_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _102_ (net)\r\n 0.15 0.00 2.23 ^ _372_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.10 2.33 ^ _372_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _171_ (net)\r\n 0.04 0.00 2.33 ^ _373_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.08 2.42 ^ _373_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _035_ (net)\r\n 0.06 0.01 2.42 ^ _509_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.42 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _509_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.35 0.60 library removal time\r\n 0.60 data required time\r\n-----------------------------------------------------------------------------\r\n 0.60 data required time\r\n -2.42 data arrival time\r\n-----------------------------------------------------------------------------\r\n 1.82 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _570_ (removal check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.05 2.07 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.08 ^ _248_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.15 2.23 ^ _248_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _102_ (net)\r\n 0.15 0.00 2.23 ^ _505_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.10 2.33 ^ _505_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _237_ (net)\r\n 0.04 0.00 2.33 ^ _506_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.08 2.42 ^ _506_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _096_ (net)\r\n 0.06 0.01 2.42 ^ _570_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.42 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _570_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.35 0.60 library removal time\r\n 0.60 data required time\r\n-----------------------------------------------------------------------------\r\n 0.60 data required time\r\n -2.42 data arrival time\r\n-----------------------------------------------------------------------------\r\n 1.82 slack (MET)\r\n\r\n\r\nStartpoint: _509_ (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: _510_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.15 0.00 0.00 ^ _509_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.07 0.38 0.38 ^ _509_/Q (sky130_fd_sc_hd__dfrtp_2)\r\n 2 0.01 tcmp.z (net)\r\n 0.07 0.01 0.38 ^ _383_/A3 (sky130_fd_sc_hd__a31oi_2)\r\n 0.05 0.08 0.46 v _383_/Y (sky130_fd_sc_hd__a31oi_2)\r\n 1 0.00 _031_ (net)\r\n 0.05 0.00 0.47 v _510_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 0.47 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _510_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.02 0.23 library hold time\r\n 0.23 data required time\r\n-----------------------------------------------------------------------------\r\n 0.23 data required time\r\n -0.47 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.24 slack (MET)\r\n\r\n\r\nStartpoint: _509_ (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: _509_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.15 0.00 0.00 ^ _509_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.07 0.38 0.38 ^ _509_/Q (sky130_fd_sc_hd__dfrtp_2)\r\n 2 0.01 tcmp.z (net)\r\n 0.07 0.01 0.38 ^ _382_/B1 (sky130_fd_sc_hd__a21oi_2)\r\n 0.04 0.04 0.43 v _382_/Y (sky130_fd_sc_hd__a21oi_2)\r\n 2 0.01 _176_ (net)\r\n 0.04 0.01 0.43 v _384_/A (sky130_fd_sc_hd__inv_2)\r\n 0.02 0.03 0.47 ^ _384_/Y (sky130_fd_sc_hd__inv_2)\r\n 1 0.00 _032_ (net)\r\n 0.02 0.00 0.47 ^ _509_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 0.47 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _509_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.02 0.23 library hold time\r\n 0.23 data required time\r\n-----------------------------------------------------------------------------\r\n 0.23 data required time\r\n -0.47 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.24 slack (MET)\r\n\r\n\r\nStartpoint: _507_ (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: _507_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.15 0.00 0.00 ^ _507_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.05 0.37 0.37 ^ _507_/Q (sky130_fd_sc_hd__dfrtp_2)\r\n 3 0.01 csa0.sc (net)\r\n 0.06 0.00 0.37 ^ _380_/A1 (sky130_fd_sc_hd__a22o_2)\r\n 0.03 0.12 0.49 ^ _380_/X (sky130_fd_sc_hd__a22o_2)\r\n 1 0.00 _000_ (net)\r\n 0.03 0.00 0.49 ^ _507_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 0.49 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _507_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.02 0.23 library hold time\r\n 0.23 data required time\r\n-----------------------------------------------------------------------------\r\n 0.23 data required time\r\n -0.49 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.26 slack (MET)\r\n\r\n\r\nStartpoint: _511_ (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: _511_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.15 0.00 0.00 ^ _511_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.05 0.37 0.37 ^ _511_/Q (sky130_fd_sc_hd__dfrtp_2)\r\n 3 0.01 genblk1[1].csa.sc (net)\r\n 0.06 0.00 0.37 ^ _387_/A1 (sky130_fd_sc_hd__a22o_2)\r\n 0.03 0.12 0.49 ^ _387_/X (sky130_fd_sc_hd__a22o_2)\r\n 1 0.00 _011_ (net)\r\n 0.03 0.00 0.49 ^ _511_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 0.49 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _511_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.02 0.23 library hold time\r\n 0.23 data required time\r\n-----------------------------------------------------------------------------\r\n 0.23 data required time\r\n -0.49 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.26 slack (MET)\r\n\r\n\r\nStartpoint: _513_ (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: _513_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.15 0.00 0.00 ^ _513_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.05 0.37 0.37 ^ _513_/Q (sky130_fd_sc_hd__dfrtp_2)\r\n 3 0.01 genblk1[2].csa.sc (net)\r\n 0.06 0.00 0.37 ^ _391_/A1 (sky130_fd_sc_hd__a22o_2)\r\n 0.03 0.12 0.49 ^ _391_/X (sky130_fd_sc_hd__a22o_2)\r\n 1 0.00 _022_ (net)\r\n 0.03 0.00 0.49 ^ _513_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 0.49 data arrival time\r\n\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.25 0.25 clock uncertainty\r\n 0.00 0.25 clock reconvergence pessimism\r\n 0.25 ^ _513_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.02 0.23 library hold time\r\n 0.23 data required time\r\n-----------------------------------------------------------------------------\r\n 0.23 data required time\r\n -0.49 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.26 slack (MET)\r\n\r\n\r\nmin_report_end\r\nmax_report\r\n\r\n===========================================================================\r\nreport_checks -path_delay max (Setup)\r\n============================================================================\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _510_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.06 2.08 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.09 ^ _316_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.17 2.25 ^ _316_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _140_ (net)\r\n 0.15 0.00 2.25 ^ _361_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.19 2.44 ^ _361_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _165_ (net)\r\n 0.15 0.00 2.45 ^ _370_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.11 2.55 ^ _370_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _170_ (net)\r\n 0.04 0.00 2.56 ^ _371_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.09 2.65 ^ _371_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _036_ (net)\r\n 0.06 0.01 2.66 ^ _510_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.66 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _510_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.25 10.00 library recovery time\r\n 10.00 data required time\r\n-----------------------------------------------------------------------------\r\n 10.00 data required time\r\n -2.66 data arrival time\r\n-----------------------------------------------------------------------------\r\n 7.34 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _511_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.06 2.08 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.09 ^ _316_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.17 2.25 ^ _316_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _140_ (net)\r\n 0.15 0.00 2.25 ^ _361_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.19 2.44 ^ _361_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _165_ (net)\r\n 0.15 0.00 2.45 ^ _368_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.11 2.55 ^ _368_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _169_ (net)\r\n 0.04 0.00 2.56 ^ _369_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.09 2.65 ^ _369_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _037_ (net)\r\n 0.06 0.01 2.66 ^ _511_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.66 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _511_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.25 10.00 library recovery time\r\n 10.00 data required time\r\n-----------------------------------------------------------------------------\r\n 10.00 data required time\r\n -2.66 data arrival time\r\n-----------------------------------------------------------------------------\r\n 7.34 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _512_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.06 2.08 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.09 ^ _316_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.17 2.25 ^ _316_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _140_ (net)\r\n 0.15 0.00 2.25 ^ _361_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.19 2.44 ^ _361_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _165_ (net)\r\n 0.15 0.00 2.45 ^ _366_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.11 2.55 ^ _366_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _168_ (net)\r\n 0.04 0.00 2.56 ^ _367_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.09 2.65 ^ _367_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _038_ (net)\r\n 0.06 0.01 2.66 ^ _512_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.66 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _512_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.25 10.00 library recovery time\r\n 10.00 data required time\r\n-----------------------------------------------------------------------------\r\n 10.00 data required time\r\n -2.66 data arrival time\r\n-----------------------------------------------------------------------------\r\n 7.34 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _513_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.06 2.08 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.09 ^ _316_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.17 2.25 ^ _316_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _140_ (net)\r\n 0.15 0.00 2.25 ^ _361_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.19 2.44 ^ _361_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _165_ (net)\r\n 0.15 0.00 2.45 ^ _364_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.11 2.55 ^ _364_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _167_ (net)\r\n 0.04 0.00 2.56 ^ _365_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.09 2.65 ^ _365_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _039_ (net)\r\n 0.06 0.01 2.66 ^ _513_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.66 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _513_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.25 10.00 library recovery time\r\n 10.00 data required time\r\n-----------------------------------------------------------------------------\r\n 10.00 data required time\r\n -2.66 data arrival time\r\n-----------------------------------------------------------------------------\r\n 7.34 slack (MET)\r\n\r\n\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _514_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.06 2.08 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.09 ^ _316_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.17 2.25 ^ _316_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _140_ (net)\r\n 0.15 0.00 2.25 ^ _361_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.19 2.44 ^ _361_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _165_ (net)\r\n 0.15 0.00 2.45 ^ _362_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.11 2.55 ^ _362_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _166_ (net)\r\n 0.04 0.00 2.56 ^ _363_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.09 2.65 ^ _363_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _040_ (net)\r\n 0.06 0.01 2.66 ^ _514_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.66 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _514_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.25 10.00 library recovery time\r\n 10.00 data required time\r\n-----------------------------------------------------------------------------\r\n 10.00 data required time\r\n -2.66 data arrival time\r\n-----------------------------------------------------------------------------\r\n 7.34 slack (MET)\r\n\r\n\r\nStartpoint: y (input port clocked by clk)\r\nEndpoint: _508_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 ^ input external delay\r\n 0.49 0.35 2.35 ^ y (in)\r\n 33 0.06 y (net)\r\n 0.49 0.00 2.35 ^ _378_/A (sky130_fd_sc_hd__and2_2)\r\n 0.06 0.26 2.62 ^ _378_/X (sky130_fd_sc_hd__and2_2)\r\n 3 0.01 _174_ (net)\r\n 0.06 0.00 2.62 ^ _381_/A1_N (sky130_fd_sc_hd__o2bb2a_2)\r\n 0.04 0.21 2.83 v _381_/X (sky130_fd_sc_hd__o2bb2a_2)\r\n 1 0.00 csa0.hsum2 (net)\r\n 0.04 0.00 2.84 v _508_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 2.84 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _508_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.09 9.66 library setup time\r\n 9.66 data required time\r\n-----------------------------------------------------------------------------\r\n 9.66 data required time\r\n -2.84 data arrival time\r\n-----------------------------------------------------------------------------\r\n 6.82 slack (MET)\r\n\r\n\r\nStartpoint: y (input port clocked by clk)\r\nEndpoint: _512_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 ^ input external delay\r\n 0.49 0.35 2.35 ^ y (in)\r\n 33 0.06 y (net)\r\n 0.49 0.00 2.35 ^ _385_/A (sky130_fd_sc_hd__and2_2)\r\n 0.06 0.26 2.62 ^ _385_/X (sky130_fd_sc_hd__and2_2)\r\n 3 0.01 _177_ (net)\r\n 0.06 0.00 2.62 ^ _388_/A1_N (sky130_fd_sc_hd__o2bb2a_2)\r\n 0.04 0.21 2.83 v _388_/X (sky130_fd_sc_hd__o2bb2a_2)\r\n 1 0.00 genblk1[1].csa.hsum2 (net)\r\n 0.04 0.00 2.84 v _512_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 2.84 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _512_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.09 9.66 library setup time\r\n 9.66 data required time\r\n-----------------------------------------------------------------------------\r\n 9.66 data required time\r\n -2.84 data arrival time\r\n-----------------------------------------------------------------------------\r\n 6.82 slack (MET)\r\n\r\n\r\nStartpoint: y (input port clocked by clk)\r\nEndpoint: _514_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 ^ input external delay\r\n 0.49 0.35 2.35 ^ y (in)\r\n 33 0.06 y (net)\r\n 0.49 0.00 2.35 ^ _389_/A (sky130_fd_sc_hd__and2_2)\r\n 0.06 0.26 2.62 ^ _389_/X (sky130_fd_sc_hd__and2_2)\r\n 3 0.01 _179_ (net)\r\n 0.06 0.00 2.62 ^ _392_/A1_N (sky130_fd_sc_hd__o2bb2a_2)\r\n 0.04 0.21 2.83 v _392_/X (sky130_fd_sc_hd__o2bb2a_2)\r\n 1 0.00 genblk1[2].csa.hsum2 (net)\r\n 0.04 0.00 2.84 v _514_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 2.84 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _514_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.09 9.66 library setup time\r\n 9.66 data required time\r\n-----------------------------------------------------------------------------\r\n 9.66 data required time\r\n -2.84 data arrival time\r\n-----------------------------------------------------------------------------\r\n 6.82 slack (MET)\r\n\r\n\r\nStartpoint: y (input port clocked by clk)\r\nEndpoint: _516_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 ^ input external delay\r\n 0.49 0.35 2.35 ^ y (in)\r\n 33 0.06 y (net)\r\n 0.49 0.00 2.35 ^ _393_/A (sky130_fd_sc_hd__and2_2)\r\n 0.06 0.26 2.62 ^ _393_/X (sky130_fd_sc_hd__and2_2)\r\n 3 0.01 _181_ (net)\r\n 0.06 0.00 2.62 ^ _396_/A1_N (sky130_fd_sc_hd__o2bb2a_2)\r\n 0.04 0.21 2.83 v _396_/X (sky130_fd_sc_hd__o2bb2a_2)\r\n 1 0.00 genblk1[3].csa.hsum2 (net)\r\n 0.04 0.00 2.84 v _516_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 2.84 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _516_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.09 9.66 library setup time\r\n 9.66 data required time\r\n-----------------------------------------------------------------------------\r\n 9.66 data required time\r\n -2.84 data arrival time\r\n-----------------------------------------------------------------------------\r\n 6.82 slack (MET)\r\n\r\n\r\nStartpoint: y (input port clocked by clk)\r\nEndpoint: _518_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 ^ input external delay\r\n 0.49 0.35 2.35 ^ y (in)\r\n 33 0.06 y (net)\r\n 0.49 0.00 2.35 ^ _397_/A (sky130_fd_sc_hd__and2_2)\r\n 0.06 0.26 2.62 ^ _397_/X (sky130_fd_sc_hd__and2_2)\r\n 3 0.01 _183_ (net)\r\n 0.06 0.00 2.62 ^ _400_/A1_N (sky130_fd_sc_hd__o2bb2a_2)\r\n 0.04 0.21 2.83 v _400_/X (sky130_fd_sc_hd__o2bb2a_2)\r\n 1 0.00 genblk1[4].csa.hsum2 (net)\r\n 0.04 0.00 2.84 v _518_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 2.84 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _518_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.09 9.66 library setup time\r\n 9.66 data required time\r\n-----------------------------------------------------------------------------\r\n 9.66 data required time\r\n -2.84 data arrival time\r\n-----------------------------------------------------------------------------\r\n 6.82 slack (MET)\r\n\r\n\r\nmax_report_end\r\ncheck_report\r\n\r\n===========================================================================\r\nreport_checks -unconstrained\r\n============================================================================\r\nStartpoint: rst (input port clocked by clk)\r\nEndpoint: _510_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 v input external delay\r\n 0.03 0.02 2.02 v rst (in)\r\n 1 0.00 rst (net)\r\n 0.03 0.00 2.02 v _238_/A (sky130_fd_sc_hd__inv_2)\r\n 0.05 0.06 2.08 ^ _238_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.01 _097_ (net)\r\n 0.05 0.00 2.09 ^ _316_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.17 2.25 ^ _316_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _140_ (net)\r\n 0.15 0.00 2.25 ^ _361_/A (sky130_fd_sc_hd__buf_1)\r\n 0.15 0.19 2.44 ^ _361_/X (sky130_fd_sc_hd__buf_1)\r\n 5 0.01 _165_ (net)\r\n 0.15 0.00 2.45 ^ _370_/A (sky130_fd_sc_hd__buf_1)\r\n 0.04 0.11 2.55 ^ _370_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _170_ (net)\r\n 0.04 0.00 2.56 ^ _371_/A (sky130_fd_sc_hd__buf_1)\r\n 0.06 0.09 2.65 ^ _371_/X (sky130_fd_sc_hd__buf_1)\r\n 1 0.00 _036_ (net)\r\n 0.06 0.01 2.66 ^ _510_/RESET_B (sky130_fd_sc_hd__dfrtp_2)\r\n 2.66 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _510_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n 0.25 10.00 library recovery time\r\n 10.00 data required time\r\n-----------------------------------------------------------------------------\r\n 10.00 data required time\r\n -2.66 data arrival time\r\n-----------------------------------------------------------------------------\r\n 7.34 slack (MET)\r\n\r\n\r\nStartpoint: y (input port clocked by clk)\r\nEndpoint: _508_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 2.00 2.00 ^ input external delay\r\n 0.49 0.35 2.35 ^ y (in)\r\n 33 0.06 y (net)\r\n 0.49 0.00 2.35 ^ _378_/A (sky130_fd_sc_hd__and2_2)\r\n 0.06 0.26 2.62 ^ _378_/X (sky130_fd_sc_hd__and2_2)\r\n 3 0.01 _174_ (net)\r\n 0.06 0.00 2.62 ^ _381_/A1_N (sky130_fd_sc_hd__o2bb2a_2)\r\n 0.04 0.21 2.83 v _381_/X (sky130_fd_sc_hd__o2bb2a_2)\r\n 1 0.00 csa0.hsum2 (net)\r\n 0.04 0.00 2.84 v _508_/D (sky130_fd_sc_hd__dfrtp_2)\r\n 2.84 data arrival time\r\n\r\n 0.15 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (ideal)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n 9.75 ^ _508_/CLK (sky130_fd_sc_hd__dfrtp_2)\r\n -0.09 9.66 library setup time\r\n 9.66 data required time\r\n-----------------------------------------------------------------------------\r\n 9.66 data required time\r\n -2.84 data arrival time\r\n-----------------------------------------------------------------------------\r\n 6.82 slack (MET)\r\n\r\n\r\n\r\n===========================================================================\r\nreport_checks --slack_max -0.01\r\n============================================================================\r\nNo paths found.\r\ncheck_report_end\r\ncheck_slew\r\n\r\n===========================================================================\r\n report_check_types -max_slew -max_cap -max_fanout -violators\r\n============================================================================\r\n\r\n===========================================================================\r\nmax slew violation count 0\r\nmax fanout violation count 0\r\nmax cap violation count 0\r\n============================================================================\r\ncheck_slew_end\r\ntns_report\r\n\r\n===========================================================================\r\n report_tns\r\n============================================================================\r\ntns 0.00\r\ntns_report_end\r\nwns_report\r\n\r\n===========================================================================\r\n report_wns\r\n============================================================================\r\nwns 0.00\r\nwns_report_end\r\nworst_slack\r\n\r\n===========================================================================\r\n report_worst_slack -max (Setup)\r\n============================================================================\r\nworst slack 6.82\r\n\r\n===========================================================================\r\n report_worst_slack -min (Hold)\r\n============================================================================\r\nworst slack 0.24\r\nworst_slack_end\r\nclock_skew\r\n\r\n===========================================================================\r\n report_clock_skew\r\n============================================================================\r\nClock clk\r\nLatency CRPR Skew\r\n_507_/CLK ^\r\n 0.76\r\n_507_/CLK ^\r\n 0.69 0.00 0.07\r\n\r\nclock_skew_end\r\npower_report\r\n\r\n===========================================================================\r\n report_power\r\n============================================================================\r\n\r\n./run: line 24: 757 Segmentation fault (core dumped) $OPENROAD_BIN -exit $OR_SCRIPT_0\r\n```\r\n\r\n[packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7537471/packaged.tar.gz)\r\n"}, {"assistant": "please rewrite the testcase to not reference shell variables; convert them to tcl variables in the testcase tcl script. I have no way to pass shell variables into my IDE"}, {"assistant": "@donn in ORFS we generate a .gdb file which has the necessary setup for gdb (https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/6002fa81a6c646bcc51410ff91c2995d7a5fdbbb/flow/util/utils.mk#L80). Would you do the same for or_issue?"}, {"user": "@jjcherry56 \r\n[RUN_2021.11.15_09.28.40_sta_packaged.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7540587/RUN_2021.11.15_09.28.40_sta_packaged.zip)\r\n\r\n---\r\n\r\n@maliberty Is that just a list of `set env`s? "}, {"assistant": "@donn yes - they can be sourced in gdb to setup all the envars needed "}, {"user": "Sure, I'll throw it in or_issue. "}, {"assistant": "I use lldb so gdb is not relevant.\r\nThey should be tcl variables (which ORFS also generates for issues, albeit broken in many ways).\r\nThat way the can be part of the command script and require no external environment."}, {"user": "@jjcherry56 The download I linked is just that, manually converted for expedience. I'm also working on making that an auto-generated file as part of `or_issue`."}, {"assistant": "Thanks; that's what I had to do last time. I figured it was time to automate the process so I didn't have to do it everytime."}, {"assistant": "fixed\r\n\r\njust a heads up that the reason you are seeing failures is because the LEF has pins for liberty pg_pins and in the past it did not. that exposed a bunch of bugs. our version of the sky130 LEF files do not have the well pins."}]} +{"num": 1311, "messages": [{"user": "I think it could be useful to have a way to add custom scripts to a menu aside from the toolbar.\r\nIt could be directly on `Tools` or maybe some new one specially made for this (ej: `Custom scripts`)\r\n\r\nThe idea is to be able to build your script library with many useful functions, and there's not much space on the toolbar for that, without using short more criptic names\r\n"}, {"assistant": "We could have a way to add custom menus so that you aren't limited to one user menu."}, {"assistant": "> We could have a way to add custom menus so that you aren't limited to one user menu.\r\n\r\nYou mean something line:\r\n```\r\nadd_menu -script \"Blah\" -name \"Custom Scripts/Item1\"\r\nadd_menu -script \"Blah\" -name \"Custom Scripts/Item2\"\r\nadd_menu -script \"Blah\" -name \"SomethingElse/ItemA\"\r\n```\r\n\r\nwould add two menus to the menu bar `Custom Scripts` and `SomethingElse` with the three items in the respective menus?"}, {"assistant": "@arlpetergadfort sure though to be consistent with create_toolbar_button I would use create_menu_item."}, {"user": "Thanks! I think is really useful\r\n\r\nI started using it:\r\n![image](https://user-images.githubusercontent.com/2311128/142256925-30de32ed-32c0-4bf1-a0fc-0f1e2e4ad8f9.png)\r\n"}, {"assistant": "That's cool - would you share your .openroad? I think others might like that too."}, {"user": "yes, now that we can load lots of scripts I was thinking of creating a repository of useful .openroad scripts, where people colaborate adding theirs\r\nI'll create that with some of my scripts and I'll share it!\r\n"}, {"user": "I was busy but I finally created the repository with the scripts I'm using right now: https://github.com/mbalestrini/openroad_useful_scripts\r\n\r\n"}, {"assistant": "Thanks I'll take a look."}]} +{"num": 1324, "messages": [{"user": "I'm hitting a NULL pointer when running a design through the OpenLane flow:\r\n\r\n```\r\nSUMMARY: UndefinedBehaviorSanitizer: undefined-behavior ../src/grt/src/GlobalRouter.cpp:288:46 in\r\nAddressSanitizer:DEADLYSIGNAL\r\n=================================================================\r\n==1362927==ERROR: AddressSanitizer: SEGV on unknown address 0x000000000008 (pc 0x0000039f5159 bp 0x7ffe554df0d0 sp 0x7ffe554def90 T0)\r\n==1362927==The signal is caused by a READ memory access.\r\n==1362927==Hint: address points to the zero page.\r\n #0 0x39f5159 in __gnu_cxx::__normal_iterator > >::__normal_iterator(grt::Pin* const&) /usr/lib/gcc/x86_64-redhat-linux/11/../../../../include/c++/11/bits/stl_iterator.h:1011:20\r\n #1 0x39f5159 in std::vector >::begin() /usr/lib/gcc/x86_64-redhat-linux/11/../../../../include/c++/11/bits/stl_vector.h:812:16\r\n #2 0x3a39b33 in grt::MakeWireParasitics::makePinRoutePts(std::vector >&) /home/anton/OpenROAD/build-debug/../src/grt/src/MakeWireParasitics.cpp:93:17\r\n #3 0x3a3985b in grt::MakeWireParasitics::estimateParasitcs(odb::dbNet*, std::vector >&, std::vector >&) /home/anton/OpenROAD/build-debug/../src/grt/src/MakeWireParasitics.cpp:85:3\r\n #4 0x39c78d3 in grt::GlobalRouter::estimateRC() /home/anton/OpenROAD/build-debug/../src/grt/src/GlobalRouter.cpp:288:15\r\n #5 0x1e4d622 in rsz::Resizer::estimateParasitics(rsz::ParasiticsSrc) /home/anton/OpenROAD/build-debug/../src/rsz/src/EstimateWireParasitics.cc:181:21\r\n #6 0x1e63d54 in rsz::estimate_parasitics_cmd(rsz::ParasiticsSrc) /home/anton/OpenROAD/build-debug/src/rsz/src/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx:1861:12\r\n #7 0x1e63d54 in _wrap_estimate_parasitics_cmd(void*, Tcl_Interp*, int, Tcl_Obj* const*) /home/anton/OpenROAD/build-debug/src/rsz/src/CMakeFiles/rsz.dir/ResizerTCL_wrap.cxx:2760:7\r\n #8 0x7fdfcd84e041 in TclNRRunCallbacks (/lib64/libtcl8.6.so+0x3c041)\r\n #9 0x7fdfcd853da6 (/lib64/libtcl8.6.so+0x41da6)\r\n #10 0x7fdfcd8545d6 in Tcl_EvalEx (/lib64/libtcl8.6.so+0x425d6)\r\n #11 0x7fdfcd8545f9 in Tcl_Eval (/lib64/libtcl8.6.so+0x425f9)\r\n #12 0x215eb9c in sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) /home/anton/OpenROAD/build-debug/../src/sta/app/StaMain.cc:95:14\r\n #13 0x19e2ea3 in tclAppInit(int&, char**, char const*, Tcl_Interp*) /home/anton/OpenROAD/build-debug/../src/Main.cc:335:26\r\n #14 0x7fdfcd903ee5 in Tcl_MainEx (/lib64/libtcl8.6.so+0xf1ee5)\r\n #15 0x19e3a3d in main /home/anton/OpenROAD/build-debug/../src/Main.cc:222:3\r\n #16 0x7fdfcbb0eb74 in __libc_start_main (/lib64/libc.so.6+0x27b74)\r\n #17 0x18f379d in _start (/home/anton/OpenROAD/build-debug/src/openroad+0x18f379d)\r\n```\r\n\r\nThe test case is too large to attach, but it can be found at: [openroad-estimate_parasitics.tar.gz](https://ozlabs.org/~anton/openroad-estimate_parasitics/openroad-estimate_parasitics.tar.gz)"}, {"user": "Just in case this is another issue where OpenLane is driving things via the low level API, this is what it is doing:\r\n\r\n```\r\ncat resizer_routing_timing.tcl\r\nread_liberty \"resizer_sky130_fd_sc_hd__tt_025C_1v80.lib\"\r\nread_lef \"merged_unpadded.lef\"\r\nread_def \"20-resizer_timing.def\"\r\nread_sdc \"20-resizer_timing.sdc\"\r\n\r\nset_dont_use \"sky130_fd_sc_hd__a2111oi_0 sky130_fd_sc_hd__a21boi_0 sky130_fd_sc_hd__and2_0 sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__clkdlybuf4s15_1 sky130_fd_sc_hd__clkdlybuf4s18_1 sky130_fd_sc_hd__fa_4 sky130_fd_sc_hd__lpflow_bleeder_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_16 sky130_fd_sc_hd__lpflow_clkbufkapwr_2 sky130_fd_sc_hd__lpflow_clkbufkapwr_4 sky130_fd_sc_hd__lpflow_clkbufkapwr_8 sky130_fd_sc_hd__lpflow_clkinvkapwr_1 sky130_fd_sc_hd__lpflow_clkinvkapwr_16 sky130_fd_sc_hd__lpflow_clkinvkapwr_2 sky130_fd_sc_hd__lpflow_clkinvkapwr_4 sky130_fd_sc_hd__lpflow_clkinvkapwr_8 sky130_fd_sc_hd__lpflow_decapkapwr_12 sky130_fd_sc_hd__lpflow_decapkapwr_3 sky130_fd_sc_hd__lpflow_decapkapwr_4 sky130_fd_sc_hd__lpflow_decapkapwr_6 sky130_fd_sc_hd__lpflow_decapkapwr_8 sky130_fd_sc_hd__lpflow_inputiso0n_1 sky130_fd_sc_hd__lpflow_inputiso0p_1 sky130_fd_sc_hd__lpflow_inputiso1n_1 sky130_fd_sc_hd__lpflow_inputiso1p_1 sky130_fd_sc_hd__lpflow_inputisolatch_1 sky130_fd_sc_hd__lpflow_isobufsrc_1 sky130_fd_sc_hd__lpflow_isobufsrc_16 sky130_fd_sc_hd__lpflow_isobufsrc_2 sky130_fd_sc_hd__lpflow_isobufsrc_4 sky130_fd_sc_hd__lpflow_isobufsrc_8 sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 sky130_fd_sc_hd__mux4_4 sky130_fd_sc_hd__o21ai_0 sky130_fd_sc_hd__o311ai_0 sky130_fd_sc_hd__or2_0 sky130_fd_sc_hd__probe_p_8 sky130_fd_sc_hd__probec_p_8 sky130_fd_sc_hd__xor3_1 sky130_fd_sc_hd__xor3_2 sky130_fd_sc_hd__xor3_4 sky130_fd_sc_hd__xnor3_1 sky130_fd_sc_hd__xnor3_2 sky130_fd_sc_hd__xnor3_4 \"\r\n\r\nset signal_min_layer \"li1\"\r\nset signal_max_layer \"met5\"\r\n\r\nset clock_min_layer $signal_min_layer\r\nset clock_max_layer $signal_max_layer\r\n\r\nputs \"\\[INFO]: Setting singal min routig layer to: $signal_min_layer and clock min routing layer to $clock_min_layer. \"\r\nputs \"\\[INFO]: Setting signal max routig layer to: $signal_max_layer and clock min routing layer to $clock_max_layer. \"\r\n\r\nset_routing_layers -signal [subst $signal_min_layer]-[subst $signal_max_layer] -clock [subst $clock_min_layer]-[subst $clock_max_layer]\r\n\r\ngrt::set_capacity_adjustment \"0.0\"\r\n\r\ngrt::add_layer_adjustment 1 \"0.99\"\r\ngrt::add_layer_adjustment 2 \"0\"\r\ngrt::add_layer_adjustment 3 \"0\"\r\ngrt::add_layer_adjustment 4 \"0\"\r\ngrt::add_layer_adjustment 5 \"0\"\r\ngrt::add_layer_adjustment 6 \"0\"\r\n\r\nglobal_route -verbose 3 -congestion_iterations \"50\"\r\n\r\n# set rc values\r\nset ::env(VIAS_RC) \" mcon 9.249146E-3, via 4.5E-3, via2 3.368786E-3, via3 0.376635E-3, via4 0.00580E-3\"\r\nset ::env(LAYERS_RC) \" li1 1.499e-04 7.176e-02, met1 1.449e-04 8.929e-04, met2 1.331e-04 8.929e-04, met3 1.464e-04 1.567e-04, met4 1.297e-04 1.567e-04, met5 1.501e-04 1.781e-05\"\r\nset ::env(WIRE_RC_LAYER) \"met1\"\r\nsource set_rc.tcl \r\n\r\nset_propagated_clock [all_clocks]\r\n\r\n# estimate wire rc parasitics\r\nestimate_parasitics -global_routing\r\n\r\nif { [catch {repair_timing -hold \\\r\n -slack_margin \"0.1\" \\\r\n -max_buffer_percent \"50\"} ]} {\r\n puts \"Hold utilization limit is reached. Continuing the flow... \"\r\n}\r\n\r\nif { [catch {repair_timing -setup \\\r\n -slack_margin \"0.05\" \\\r\n -max_buffer_percent \"50\"} ]} {\r\n puts \"Setup utilization limit is reached. Continuing the flow... \"\r\n}\r\n\r\n# set_placement_padding -global -right $::env(CELL_PAD)\r\n# set_placement_padding -masters $::env(CELL_PAD_EXCLUDE) -right 0 -left 0\r\n\r\ndetailed_placement\r\n\r\noptimize_mirroring\r\n\r\ncheck_placement -verbose\r\n\r\nwrite_def save.def\r\nwrite_sdc save.sdc\r\n\r\n# Run post timing optimizations STA\r\nestimate_parasitics -global_routing\r\n\r\n#set ::env(RUN_STANDALONE) 0\r\n#source sta.tcl \r\n```"}, {"assistant": "@donn would you fix \" OpenLane is driving things via the low level API\""}, {"assistant": "Uuuh sure"}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenLane/pull/719"}, {"assistant": "@donn thanks. I don't think that will change the underlying problem here for @eder-matheus "}, {"assistant": "The failure has nothing to do with estimate_parasitics; it fails in the global route command.\r\nI suspect it is fallout from this:\r\n[WARNING GRT-0040] Net vccd1 has wires outside die area.\r\nbut it should either error (throw out of the command) or skip the net instead of calling assert and exiting."}]} +{"num": 1329, "messages": [{"user": "It would be good to be able to load a new DEF or reset the internal database to load new content without having to close the app.\r\n\r\n"}, {"assistant": "I agree. Do you want to just load a new DEF or a whole new PDK (e.g. lef, lib)? "}, {"user": "I found myself trying to load a new DEF more than loading the whole PDK. But if I had to choose just one option maybe doing a whole reset is more flexible. And can load everything again.\r\n"}, {"assistant": "Current ODB just generates an error when attempting to load new DEFs (https://github.com/The-OpenROAD-Project/OpenROAD/blob/f8c243bd63829123a58a9c22112c8d76b681522a/src/odb/src/defin/definReader.cpp#L1630 this is in the form of a printf instead of going to the logger). So that would need to be updated to support replacing the whole design over again (somehow).\r\n\r\nThe callbacks from ODB probably need to be expended to include information about the impending removal of the design (so all pointers can be cleared before ODB removes them), otherwise, I think the changes to the GUI would be fairly small to support this."}]} +{"num": 1331, "messages": [{"user": "```\r\nOpenROAD 6d56d36324b9ed2e59e8609fff5ddd3089c1c0bf \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: ./in.def\r\n[INFO ODB-0128] Design: inverter\r\n[INFO ODB-0130] Created 4 pins.\r\n[INFO ODB-0131] Created 32 components and 116 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 114 connections.\r\n[INFO ODB-0133] Created 2 nets and 2 connections.\r\n[INFO ODB-0134] Finished DEF file: ./in.def\r\n###############################################################################\r\n# Created by write_sdc\r\n# Thu Nov 18 09:37:59 2021\r\n###############################################################################\r\ncurrent_design inverter\r\n###############################################################################\r\n# Timing Constraints\r\n###############################################################################\r\ncreate_clock -name __VIRTUAL_CLK__ -period 10.0000 \r\nset_clock_uncertainty 0.2500 __VIRTUAL_CLK__\r\nset_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {in}]\r\nset_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {out}]\r\n###############################################################################\r\n# Environment\r\n###############################################################################\r\nset_load -pin_load 0.0334 [get_ports {out}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in}]\r\nset_timing_derate -early 0.9500\r\nset_timing_derate -late 1.0500\r\n###############################################################################\r\n# Design Rules\r\n###############################################################################\r\nset_max_fanout 5.0000 [current_design]\r\n[INFO]: Setting RC values...\r\n[INFO RSZ-0027] Inserted 1 input buffers.\r\n[INFO RSZ-0028] Inserted 1 output buffers.\r\n[INFO RSZ-0058] Using max wire length 2319um\r\nSegmentation fault (core dumped)\r\n```\r\n[packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7561770/packaged.tar.gz)\r\n"}, {"assistant": "@tspyrou one for Cherry"}, {"assistant": "fixed"}]} +{"num": 1332, "messages": [{"user": "```\r\nOpenROAD 6d56d36324b9ed2e59e8609fff5ddd3089c1c0bf \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: ./in.def\r\n[INFO ODB-0128] Design: spm\r\n[INFO ODB-0130] Created 38 pins.\r\n[INFO ODB-0131] Created 499 components and 2901 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 1792 connections.\r\n[INFO ODB-0133] Created 368 nets and 1109 connections.\r\n[INFO ODB-0134] Finished DEF file: ./in.def\r\n###############################################################################\r\n# Created by write_sdc\r\n# Thu Nov 18 09:59:50 2021\r\n###############################################################################\r\ncurrent_design spm\r\n###############################################################################\r\n# Timing Constraints\r\n###############################################################################\r\ncreate_clock -name clk -period 10.0000 [get_ports {clk}]\r\nset_clock_transition 0.1500 [get_clocks {clk}]\r\nset_clock_uncertainty 0.2500 clk\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {rst}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[0]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[10]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[11]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[12]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[13]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[14]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[15]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[16]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[17]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[18]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[19]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[1]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[20]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[21]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[22]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[23]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[24]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[25]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[26]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[27]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[28]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[29]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[2]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[30]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[31]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[3]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[4]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[5]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[6]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[7]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[8]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {x[9]}]\r\nset_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {y}]\r\nset_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {p}]\r\n###############################################################################\r\n# Environment\r\n###############################################################################\r\nset_load -pin_load 0.0334 [get_ports {p}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clk}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {rst}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {y}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[31]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[30]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[29]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[28]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[27]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[26]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[25]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[24]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[23]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[22]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[21]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[20]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[19]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[18]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[17]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[16]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[15]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[14]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[13]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[12]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[11]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[10]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[9]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[8]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[7]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[6]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[5]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[4]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[3]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[2]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[1]}]\r\nset_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {x[0]}]\r\nset_timing_derate -early 0.9500\r\nset_timing_derate -late 1.0500\r\n###############################################################################\r\n# Design Rules\r\n###############################################################################\r\nset_max_fanout 5.0000 [current_design]\r\n[INFO]: Setting RC values...\r\n[INFO]: Configuring cts characterization...\r\n[INFO]: Performing clock tree synthesis...\r\n[INFO]: Looking for the following net(s): clk\r\n[INFO]: Running Clock Tree Synthesis...\r\nSegmentation fault (core dumped)\r\n```\r\n[packaged.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7561910/packaged.tar.gz)\r\n\r\n\r\n"}, {"assistant": "It would be possible for cts to sort this out by looking at the liberty instead of the LEF, but it seems to me that the LEF VNB/VPB pins should have a USE POWER because they definitely are not signal pins, and that is how the signal type is defaulting."}, {"assistant": "If its impossible to get the lef changes quickly a tcl script using opendb commands could be created to add this property after LEF is read."}, {"assistant": "Just to be clear, the LEF for the clock buffers (and any other buffer for that matter) has 3 signal input pins that are only distinguishable by their name. cts is picking the first input because it expects a buffer to only have one input. It needs to find the first input with use type SIGNAL to avoid the well pins, but even that will fail with the LEF in this example."}, {"assistant": "Can someone comment if this is the same issue : ?\r\n\r\n(I also get a crash at running CTS, but the comments on this issue make me think there was some out-of-band discussion because I can't see a link between the first comment and the second one so I have no clue if it's the same problem or a distinct one, or how it works at all for some people apparently since they did use the flow ...)\r\n\r\n```\r\n(gdb) bt full\r\n#0 0x000055ad8be6b622 in sta::RiseFallMinMax::maxValue (this=0x68, max_value=@0x7ffe9a9efc14: -1.00000002e+30, \r\n exists=@0x7ffe9a9efc13: false) at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/sta/sdc/RiseFallMinMax.cc:187\r\n mm_index = 0\r\n rf_index = 0\r\n#1 0x000055ad8bdd4876 in sta::LibertyPort::capacitance (this=0x0)\r\n at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/sta/liberty/Liberty.cc:1944\r\n cap = -1.00000002e+30\r\n exists = false\r\n#2 0x000055ad8c66b7b3 in cts::TechChar::computeTopologyResults (this=0x55ad90263a30, solution=..., outPinVert=0x55ad922be640, \r\n load=4.99999991e-15, setupWirelength=27200) at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/cts/src/TechChar.cpp:931\r\n length = 27200\r\n firstInstLiberty = 0x55ad914defb0\r\n firstPinLiberty = 0x0\r\n firstPinCap = -5.46796163e-28\r\n results = {load = 1.65000039e-13, inSlew = 5.9999998e-11, wirelength = 27200, pinSlew = 5.9999998e-11, \r\n pinArrival = 4.10305774e-12, totalcap = 1.7e-13, totalPower = 4.15583366e-12, isPureWire = true, \r\n topology = std::vector of length 0, capacity 0}\r\n totalPower = 4.15583366e-12\r\n incap = 0\r\n totalcap = 3.07346792e-41\r\n pinArrival = -6.5755709e-23\r\n pinRise = 4.59149455e-41\r\n pinFall = -1.55407183e-31\r\n pinSlew = 3.07346792e-41\r\n#3 0x000055ad8c66ce8a in cts::TechChar::create (this=0x55ad90263a30)\r\n at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/cts/src/TechChar.cpp:1200\r\n results = {load = 1.65000039e-13, inSlew = 5.9999998e-11, wirelength = 27200, pinSlew = 5.9999998e-11, \r\n pinArrival = 4.10305774e-12, totalcap = 1.7e-13, totalPower = 4.15583366e-12, isPureWire = true, \r\n topology = std::vector of length 0, capacity 0}\r\n solutionKey = {load = 1.65000039e-13, wirelength = 27200, pinSlew = 5.9999998e-11, totalcap = 1.7e-13}\r\n inputslew = 4.99999998e-12\r\n __for_range = std::vector of length 12, capacity 16 = {4.99999998e-12, 9.99999996e-12, 1.49999995e-11, 1.99999999e-11, \r\n 2.50000003e-11, 2.9999999e-11, 3.49999994e-11, 3.99999998e-11, 4.50000003e-11, 5.00000007e-11, 5.50000011e-11, 5.9999998e-11}\r\n--Type for more, q to quit, c to continue without paging--\r\n __for_begin = 4.99999998e-12\r\n __for_end = -0\r\n load = 4.99999991e-15\r\n __for_range = std::vector of length 33, capacity 64 = {4.99999991e-15, 9.99999982e-15, 1.49999997e-14, 1.99999996e-14, \r\n 2.49999996e-14, 2.99999995e-14, 3.49999977e-14, 3.99999993e-14, 4.50000009e-14, 5.00000025e-14, 5.50000041e-14, \r\n 6.00000057e-14, 6.50000073e-14, 7.00000089e-14, 7.50000105e-14, 8.00000121e-14, 8.50000138e-14, 9.00000154e-14, \r\n 9.5000017e-14, 1.00000019e-13, 1.0500002e-13, 1.10000022e-13, 1.15000023e-13, 1.20000025e-13, 1.25000027e-13, \r\n 1.30000028e-13, 1.3500003e-13, 1.40000031e-13, 1.45000033e-13, 1.50000035e-13, 1.55000036e-13, 1.60000038e-13, \r\n 1.65000039e-13}\r\n __for_begin = 4.99999991e-15\r\n __for_end = 3.07346792e-41\r\n inBTerm = 0x55ad92297f40\r\n outPin = 0x55ad92297f80\r\n r1 = 0\r\n piExists = true\r\n outBTerm = 0x55ad92297f80\r\n inPinVert = 0x55ad922be618\r\n c1 = 0\r\n lastNet = 0x55ad922947b0\r\n inPin = 0x55ad92297f40\r\n outPinVert = 0x55ad922be640\r\n firstPinLastNet = 0x55ad9229efa0\r\n c2 = 0\r\n buffersUpdate = 3\r\n solution = {netVector = std::vector of length 2, capacity 2 = {0x55ad92294740, 0x55ad922947b0}, \r\n nodesWithoutBufVector = std::vector of length 2, capacity 2 = {1, 0}, inPort = 0x55ad922559c4, outPort = 0x55ad922559e0, \r\n instVector = std::vector of length 1, capacity 1 = {0x55ad9229bb28}, \r\n topologyDescriptor = std::vector of length 2, capacity 2 = {\"27200\", \"sky130_fd_sc_hd__clkbuf_2\"}, isPureWire = false}\r\n __for_range = std::vector of length 2, capacity 2 = {{netVector = std::vector of length 1, capacity 1 = {0x55ad922946d0}, \r\n nodesWithoutBufVector = std::vector of length 1, capacity 1 = {1}, inPort = 0x55ad9225598c, outPort = 0x55ad922559a8, \r\n instVector = std::vector of length 1, capacity 1 = {0x0}, topologyDescriptor = std::vector of length 1, capacity 1 = {\r\n \"27200\"}, isPureWire = true}, {netVector = std::vector of length 2, capacity 2 = {0x55ad92294740, 0x55ad922947b0}, \r\n nodesWithoutBufVector = std::vector of length 2, capacity 2 = {1, 0}, inPort = 0x55ad922559c4, outPort = 0x55ad922559e0, \r\n--Type for more, q to quit, c to continue without paging-- \r\n instVector = std::vector of length 1, capacity 1 = {0x55ad9229bb28}, \r\n topologyDescriptor = std::vector of length 2, capacity 2 = {\"27200\", \"sky130_fd_sc_hd__clkbuf_2\"}, isPureWire = false}}\r\n __for_begin = \r\n {netVector = std::vector of length 2, capacity 2 = {0x55ad92294740, 0x55ad922947b0}, nodesWithoutBufVector = std::vector of length 2, capacity 2 = {1, 0}, inPort = 0x55ad922559c4, outPort = 0x55ad922559e0, instVector = std::vector of length 1, capacity 1 = {0x55ad9229bb28}, topologyDescriptor = std::vector of length 2, capacity 2 = {\"27200\", \"sky130_fd_sc_hd__clkbuf_2\"}, isPureWire = false}\r\n __for_end = {netVector = std::vector of length 6, capacity 922083463885958510 = {\r\n topologiesVector = std::vector of length 2, capacity 2 = {{netVector = std::vector of length 1, capacity 1 = {0x55ad922946d0}, \r\n nodesWithoutBufVector = std::vector of length 1, capacity 1 = {1}, inPort = 0x55ad9225598c, outPort = 0x55ad922559a8, \r\n instVector = std::vector of length 1, capacity 1 = {0x0}, topologyDescriptor = std::vector of length 1, capacity 1 = {\r\n \"27200\"}, isPureWire = true}, {netVector = std::vector of length 2, capacity 2 = {0x55ad92294740, 0x55ad922947b0}, \r\n nodesWithoutBufVector = std::vector of length 2, capacity 2 = {1, 0}, inPort = 0x55ad922559c4, outPort = 0x55ad922559e0, \r\n instVector = std::vector of length 1, capacity 1 = {0x55ad9229bb28}, \r\n topologyDescriptor = std::vector of length 2, capacity 2 = {\"27200\", \"sky130_fd_sc_hd__clkbuf_2\"}, isPureWire = false}}\r\n graph = 0x55ad921d17f0\r\n setupWirelength = 27200\r\n __for_range = std::vector of length 4, capacity 4 = {27200, 54400, 81600, 108800}\r\n __for_begin = 27200\r\n __for_end = 4.48415509e-44\r\n topologiesCreated = 1188\r\n convertedSolutions = std::vector of length 8569682, capacity -5491468258032973 = {\r\n \r\n dbUnitsPerMicron = 3.07346792e-41\r\n segmentDistance = -4.83316742e-28\r\n#4 0x000055ad8c607778 in cts::TritonCTS::setupCharacterization (this=0x55ad9028aed0)\r\n at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/cts/src/TritonCTS.cpp:114\r\nNo locals.\r\n#5 0x000055ad8c60760c in cts::TritonCTS::runTritonCts (this=0x55ad9028aed0)\r\n at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/cts/src/TritonCTS.cpp:91\r\nNo locals.\r\n#6 0x000055ad8c63599c in run_triton_cts ()\r\n at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/_build/src/cts/src/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx:1884\r\nNo locals.\r\n--Type for more, q to quit, c to continue without paging--\r\n#7 0x000055ad8c6391b6 in _wrap_run_triton_cts (clientData=0x0, interp=0x55ad902bd450, objc=1, objv=0x55ad9029c1d0)\r\n at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/_build/src/cts/src/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx:2959\r\nNo locals.\r\n#8 0x00007f81a8c705f2 in TclNRRunCallbacks () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#9 0x00007f81a8c71924 in ?? () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#10 0x00007f81a8c71367 in Tcl_EvalEx () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#11 0x00007f81a8c724fa in Tcl_Eval () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#12 0x000055ad8bdad3bf in sta::sourceTclFile (\r\n filename=0x7ffe9a9f2b6c \"/mnt/sky130/mpw4/openlane_workspace/openlane/scripts/openroad/cts.tcl\", echo=false, verbose=false, \r\n interp=0x55ad902bd450) at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/sta/app/StaMain.cc:95\r\n cmd = \"source /mnt/sky130/mpw4/openlane_workspace/openlane/scripts/openroad/cts.tcl\"\r\n code = 32766\r\n result = 0x13 \r\n#13 0x000055ad8baa2e39 in tclAppInit (argc=@0x55ad8fdd6c20: 2, argv=0x7ffe9a9f0788, init_filename=0x55ad8d95f3a8 \".openroad\", \r\n interp=0x55ad902bd450) at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/Main.cc:335\r\n result = 1\r\n cmd_file = 0x7ffe9a9f2b6c \"/mnt/sky130/mpw4/openlane_workspace/openlane/scripts/openroad/cts.tcl\"\r\n threads = 0x0\r\n exit_after_cmd_file = true\r\n gui_enabled = false\r\n#14 0x000055ad8baa303e in ord::tclAppInit (interp=0x55ad902bd450)\r\n at /mnt/sky130/mpw4/openlane_workspace/_build/openroad_app/src/Main.cc:363\r\n```"}, {"assistant": "@smunaut \r\nshare tar file by running\r\n```\r\nmake cts_issue\r\n```"}, {"assistant": "I'm not sure what you expect this to do ...\r\n\r\nThis is a self-built bare metal install of openlane, no docker or anything like that, so I have no clue where that 'cts_issue' make target would even be coming from."}, {"assistant": "If you are using openroad-flow-script then that is a make target. If you are using openlane see https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/using_or_issue.md. "}, {"assistant": "It is most certainly not the same issue."}, {"assistant": "@maliberty \r\nTestcase still Segfault \r\n```\r\n[INFO]: Running Clock Tree Synthesis...\r\n[INFO CTS-0049] Characterization buffer is: sky130_fd_sc_hd__clkbuf_8.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000561CB42BB095 in openroad\r\n 1# 0x00007FCC1E911090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# sta::RiseFallMinMax::maxValue(float&, bool&) const in openroad\r\n 3# sta::LibertyPort::capacitance() const in openroad\r\n 4# cts::TechChar::computeTopologyResults(cts::TechChar::SolutionData const&, sta::Vertex*, float, float, unsigned int) in openroad\r\n 5# cts::TechChar::create() in openroad\r\n 6# cts::TritonCTS::runTritonCts() in openroad\r\n 7# 0x0000561CB471F226 in openroad\r\n 8# TclNRRunCallbacks in /usr/local/lib/libtcl8.6.so\r\n 9# 0x00007FCC225E7164 in /usr/local/lib/libtcl8.6.so\r\n10# Tcl_EvalEx in /usr/local/lib/libtcl8.6.so\r\n11# Tcl_Eval in /usr/local/lib/libtcl8.6.so\r\n12# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n13# ord::tclAppInit(Tcl_Interp*) in openroad\r\n14# Tcl_MainEx in /usr/local/lib/libtcl8.6.so\r\n15# main in openroad\r\n16# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n17# _start in openroad\r\nSegmentation fault (core dumped)\r\n```"}, {"assistant": "The LEF has been fixed so this is moot."}]} +{"num": 1349, "messages": [{"user": "[error.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/7585419/error.log)\r\n[bit8alu.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/7585424/bit8alu.txt)\r\n[config.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/7585428/config.txt)\r\n\r\nThese are the error file, verilog file and config tcl file respectively.\r\n\r\nThe error message:\r\n[ERROR]: during executing: \"openroad -exit /openlane/scripts/openroad/resizer_routing_timing.tcl |& tee >&@stdout /openlane/designs/bit8alubehav/runs/RUN_2021.11.22_19.15.10/logs/routing/12-resizer_timing_optimization.log\"\r\n[ERROR]: Exit code: 1\r\n[ERROR]: Last 10 lines:\r\nchild killed: segmentation violation\r\n\r\n[ERROR]: Please check openroad log file\r\n[ERROR]: Dumping to /openlane/designs/bit8alubehav/runs/RUN_2021.11.22_19.15.10/error.log"}, {"assistant": "You need to provide a full test case for a crash. Please see https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/using_or_issue.md"}, {"assistant": "@kishan-shanthakumar \r\nYou need to file this issue wrt openlane flow in https://github.com/The-OpenROAD-Project/OpenLane/issues\r\nTry below solution, if still facing issue raise this issue in openlane page.\r\n\r\ndesign config.tcl you defined \r\n```\r\nset ::env(CLOCK_PORT) \"clk\"\r\n```\r\nBut your .v file not have clk signal. so set above variable as empty and run the flow.\r\n```\r\nset ::env(CLOCK_PORT) \"\"\r\n```\r\nAlso set only required variables in config.tcl and appropriate values here."}, {"assistant": "@kishan-shanthakumar use this config.tcl working fine in Openlane flow\r\n```\r\n# User config\r\nset ::env(DESIGN_NAME) bit8alubehav\r\n\r\n# Change if needed\r\nset ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n\r\n# Fill this\r\n#set ::env(CLOCK_PERIOD) \"20.0\"\r\nset ::env(CLOCK_PORT) \"\"\r\nset ::env(PL_TARGET_DENSITY) \"0.7\"\r\n#set ::env(PL_RANDOM_GLB_PLACEMENT) 1\r\n#set ::env(PL_RANDOM_INITIAL_PLACEMENT) 1\r\nset ::env(FP_CORE_UTIL) 40\r\nset ::env(CLOCK_TREE_SYNTH) 0\r\n#set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0\r\nset ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 0\r\nset filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl\r\nif { [file exists $filename] == 1} {\r\n\tsource $filename\r\n}\r\n\r\n```"}]} +{"num": 1360, "messages": [{"user": "We are getting this warning on some of the sram pins: \r\n```\r\n[WARNING DRT-1001] No guide in the pin neighborhood\r\n[WARNING DRT-0215] Pin genblk1\\[1\\].RAM/dout0[22] not covered by guide.\r\n[WARNING DRT-0215] Pin genblk1\\[1\\].RAM/dout0[22] not covered by guide.\r\n[WARNING DRT-0225] sram_rdata\\[1\\]\\[22\\] 2 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0224] sram_rdata\\[1\\]\\[22\\] 2 pin not visited, number of guides = 6.\r\n```\r\n\r\nThen, the detailed router fails with this error \r\n\r\n`[ERROR DRT-0218] Guide is not connected to design.\r\n`\r\n\r\nTested with openroad commit: `934e0cc13d8d24ca477f5dfd26b64b232065951a`\r\n[sram_droute_packaged.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7613904/sram_droute_packaged.zip)\r\n"}, {"assistant": "@eder-matheus I assume the issue is in grt not drt; if you find otherwise please assign it back."}, {"assistant": "@Manarabdelaty could you attach the groute_package for this run?"}, {"user": "@eder-matheus Here it is\r\n[sram_groute_packaged.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7620488/sram_groute_packaged.zip)\r\n "}, {"assistant": "@maliberty The pin with the error is not being covered by the guide because the pin geometry intersects with a single track, only at its right boundary. Check the figure below:\r\n![image](https://user-images.githubusercontent.com/43450810/143933918-dbd5f916-aa7a-4c21-9861-eb5a4ff108e3.png)\r\n\r\ngrt is computing the position on the routing grid for this pin based on this single track, and this position generates a guide that is not covering the pin. Check this other figure:\r\n![image](https://user-images.githubusercontent.com/43450810/143934238-4fc9673b-3350-4142-be1f-db8ac9b5b815.png)\r\n\r\nThis is a perfect example of the mismatch between drt pin access and grt \"pin to grid\". I can workaround this issue by ignoring the track position for IO and macro pins, but a better correlation between grt and drt will still be needed."}, {"assistant": "@maliberty When I ignore the position of the tracks for IO and macro pins, the generated guides are valid for TR, which ends with 1 DRCs."}, {"assistant": "@eder-matheus I agree about grt/drt PA (topic for tomorrow's mtg). \r\n@Manarabdelaty is this urgent or can it wait a while for a better solution?"}, {"user": "@maliberty We have a workaround for now to get around this issue (flipping some of the macros helped avoid hitting this error). "}, {"assistant": "@Manarabdelaty what is the workaround? I've run into this problem also."}, {"assistant": "@eder-matheus it looks like the workaround is not working, @donn mentioned this today.\r\nPlease take a look at this.\r\nA possible workaround might be to run the pin_access command before GR. @shalan fyi.\r\n"}, {"assistant": "I've fixed a small bug in the `grt` code that uses the access points from `drt`. I've tested the falling design running the `pin_access` command and it is working properly now."}]} +{"num": 1361, "messages": [{"user": "GRT keeps printing these messages\r\n\r\n```\r\n[WARNING GRT-0211] dbGcellGrid already exists in db. Clearing existing dbGCellGrid.\r\n[INFO GRT-0020] Min routing layer: li1\r\n[INFO GRT-0021] Max routing layer: met5\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[WARNING GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0003] Macros: 10\r\n[INFO GRT-0004] Blockages: 1589272\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 2\r\n[INFO GRT-0009] Nets to reroute: 2.\r\n[INFO GRT-0111] Final number of vias: 4\r\n[INFO GRT-0112] Final usage 3D: 14\r\n[WARNING GRT-0211] dbGcellGrid already exists in db. Clearing existing dbGCellGrid.\r\n[INFO GRT-0020] Min routing layer: li1\r\n[INFO GRT-0021] Max routing layer: met5\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[WARNING GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0003] Macros: 10\r\n[INFO GRT-0004] Blockages: 1589276\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 2\r\n[INFO GRT-0009] Nets to reroute: 2.\r\n[INFO GRT-0111] Final number of vias: 4\r\n[INFO GRT-0112] Final usage 3D: 14\r\n[WARNING GRT-0211] dbGcellGrid already exists in db. Clearing existing dbGCellGrid.\r\n```\r\n\r\nThen, it prints a message that the message limit reached, and gets stuck at this point for hours\r\n\r\n`[INFO GRT-0009] message limit reached, this message will no longer print\r\n`\r\n\r\n[wrapper_resizer_routing_timing_packaged.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7614154/wrapper_resizer_routing_timing_packaged.zip)\r\n"}, {"assistant": "The above run was on a machine with 64GB SDRAM. If it runs on a machine with a lower memory (8GB), it crashes after some time with the message: `[ERROR GRT-0183] heap underflow during 3D maze routing.`"}, {"assistant": "Any update here. It is kinda a blocking issue for designs larger than 20k cells."}, {"assistant": "I see many:\r\n[WARNING GRT-0040] Net vccd1 has wires outside die area.\r\n..\r\n[WARNING GRT-0036] Pin analog_io[0] is outside die area.\r\n\r\nwarnings. I don't know if this is the cause but it is unexpected. Is there a reason for this?"}, {"assistant": "@donn the packaging of this test case shows a problem: LIB_RESIZER_OPT is set in run.sh but not in env.gdb"}, {"assistant": "I'll take a look\r\n\r\nEDIT: It's most likely Manar added LIB_RESIZER_OPT manually when it was missing entirely. Can you work around this for now?"}, {"assistant": "You are running repair_timing after global_route. In ORFS we run that after CTS but before global_routing. Repair_timing after global routing is in development and is not yet recommended.\r\n\r\nYou have:\r\n[INFO RSZ-0046] Found 6768 endpoints with hold violations.\r\n\r\nafter each endpoint repair, it calls grt to reroute the buffered net(s), updates estimate_rc and then sta before proceeding to the next endpoint. You can see this in\r\n[INFO GRT-0009] Nets to reroute: 4.\r\n\r\nso it is making progress but very slowly. This is a known performance problem in this under development work."}, {"assistant": "@donn I worked around it but noticed a bunch more were missing as well."}, {"assistant": "@maliberty Yeah I'm going to have to take a look. Most likely there's a file that's getting sourced but just not getting loaded properly by or_issue.py."}]} +{"num": 1381, "messages": [{"user": "While reviewing some other code I saw this block of code.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/54e3b7dff0eb5570953565b7060514d4838f3b99/src/drt/src/TritonRoute.cpp#L143-L153\r\n\r\nIt looks like it's hardcoding router parameters in the source code based on a process node parameter which seems very brittle. Can these be moved into the flow scripts instead of in the router?"}, {"user": "@tspyrou @maliberty"}, {"assistant": "This is a known problem and @Stephanommg has working on it as a background task. There are a bunch of other similar cases elsewhere. Some have already been fixed."}, {"assistant": "The fix in many cases is to identify what is the underlying condition and use that instead (e.g. unidirectional routing constraint, not GF14)."}, {"user": "Even so can't these parameters be set outside the context of the application as flags?"}, {"assistant": "These ones can, there are others that can't (like default via)."}]} +{"num": 1385, "messages": [{"user": "I am using Openlane resizer_routing_timing.tcl to optimize the design.\r\n\r\nAfter repair_timing -setup execution followed by estimate_parasitics -global_routing command crashes with segmentation fault.\r\n\r\nIssue not observed with bypassing setup fix stage.\r\n\r\nRun command: run_cmd OR openroad input/resizer_routing_timing.tcl\r\n\r\n\r\nError Message:\r\n
[WARNING GRT-0211] dbGcellGrid already exists in db. Clearing existing dbGCellGrid.\r\n[INFO RSZ-0040] Inserted 6 buffers.\r\n[INFO RSZ-0041] Resized 2 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement         19.2 u\r\naverage displacement        0.0 u\r\nmax displacement            4.5 u\r\noriginal HPWL          181209.5 u\r\nlegalized HPWL         186261.6 u\r\ndelta HPWL                    3 %\r\n\r\n[INFO DPL-0020] Mirrored 2030 instances\r\n[INFO DPL-0021] HPWL before          186261.6 u\r\n[INFO DPL-0022] HPWL after           181221.4 u\r\n[INFO DPL-0023] HPWL delta               -2.7 %\r\nSegmentation fault (core dumped)\r\n
\r\n\r\nOpenRoad Version : v2.0-2064-g2f7d6a270 \r\n\r\nOpenRoad Git Log\r\n\r\n
commit 2f7d6a270eb801b6d2eec0d45d39d0012343cf6f (HEAD -> master, origin/master, origin/HEAD)\r\nMerge: 4be5b9657 ec466d968\r\nAuthor: Stephanommg <stephanommg@yahoo.com.br>\r\nDate:   Thu Dec 2 19:50:18 2021 -0300\r\n\r\n    Merge pull request #1374 from The-OpenROAD-Project-staging/secure-TR_connFix\r\n    \r\n    Connectivity fix\r\n\r\ncommit 4be5b96578cdbc297c70681fa0981246bfc4f7f2\r\nMerge: f6c16f5b7 641050ed7\r\nAuthor: arlpetergadfort <46405338+arlpetergadfort@users.noreply.github.com>\r\nDate:   Thu Dec 2 14:00:39 2021 -0500\r\n\r\n    Merge pull request #1375 from The-OpenROAD-Project-staging/gui-select-dbu-um\r\n    \r\n    gui: toggle DBU vs. microns\r\n
\r\n\r\n\r\n[exp2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7646505/exp2.tar.gz)\r\n\r\n\r\n"}, {"assistant": "@dineshannayya \r\nDo you running this project directly in openroad and got this error or using openlane flow you got this error?\r\n"}, {"user": "I observed this issue openlane flow (With latest efabless/openlane docker) and I have created standalone testcase to cross-check and see same issue observed with latest openroad tools also .."}, {"assistant": "@dineshannayya possible to share your config.tcl and source RTL"}, {"user": "\r\n[config.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/7654042/config.txt)\r\n\r\nThe project database is at : https://github.com/dineshannayya/logic_bist, \r\n\r\nOpenlane run use to fails for me **wb_host** block\r\n\r\n1. You need to change the docker name and Tag in openlane.Makefile .. As i have done some hack on docker\r\nOPENLANE_TAG = mpw4\r\nOPENLANE_IMAGE_NAME = dineshannayya/openlane:$(OPENLANE_TAG)\r\n\r\n2. Run wb_host at openlane folder.\r\n\r\n\r\n"}, {"assistant": "@dineshannayya I was able to workaround the segfault by calling the global_route command before the last \"estimate_parasitics -global_routing\" command. Apparently, the internal structures of grt are not being updated after the repair_timing commands.\r\n\r\nI'm working to fix this issue, but adding the global_route command as I mentioned should solve the issue temporarily. "}, {"assistant": "In general we don't recommend using repair_timing after global_routing. This is an area that is under development and isn't ready for production usage. At best it will be very slow to run. (FYI @donn )"}, {"assistant": "@dineshannayya Please, check the latest version of the master branch. I've just merged a fix for this issue."}]} +{"num": 1394, "messages": [{"user": "When attempting to build the `pdn` openroad component from `master` or `v2.0`, I get the following error:\r\n```\r\nScanning dependencies of target pdn\r\nmake[2]: Leaving directory '/content/OpenROAD'\r\nmake -f src/pdn/src/CMakeFiles/pdn.dir/build.make src/pdn/src/CMakeFiles/pdn.dir/build\r\nmake[2]: Entering directory '/content/OpenROAD'\r\n...\r\n[ 32%] Linking CXX static library pdn.a\r\ncd /content/OpenROAD/src/pdn/src && /content/miniconda-openroad/bin/cmake -P CMakeFiles/pdn.dir/cmake_clean_target.cmake\r\ncd /content/OpenROAD/src/pdn/src && /content/miniconda-openroad/bin/cmake -E cmake_link_script CMakeFiles/pdn.dir/link.txt --verbose=1\r\n/content/miniconda-openroad/bin/gcc-ar qc pdn.a CMakeFiles/pdn.dir/CMakeFiles/pdn.dir/PdnGenTCL_wrap.cxx.o CMakeFiles/pdn.dir/pdn-tclInitVar.cc.o CMakeFiles/pdn.dir/PdnGen.cc.o CMakeFiles/pdn.dir/MakePdnGen.cc.o\r\n/content/miniconda-openroad/bin/gcc-ranlib pdn.a\r\ncd /content/OpenROAD/src/pdn && /content/OpenROAD/etc/find_messages.py > /content/OpenROAD/src/pdn/src/../messages.txt\r\nError: PDN 0053 used 2 times\r\nError: PDN 0054 used 2 times\r\nError: PDN 0053 used 2 times\r\nError: PDN 0054 used 2 times\r\nsrc/pdn/src/CMakeFiles/pdn.dir/build.make:154: recipe for target 'src/pdn/src/pdn.a' failed\r\n```\r\nis this error meant to be fatal?\r\n"}, {"assistant": "Yes the error is meant to be fatal but it doesn't occur in the CI build. I am guessing you have some extraneous file with messages in it (like a copy of PdnGen.tcl)."}, {"assistant": "try \"grep -r 54 src/pdn\" and see what files come up."}, {"assistant": "@proppy \r\nIs that required any further action?"}]} +{"num": 1395, "messages": [{"user": "Hi , \r\n\r\nTrying to build openroad , but build stops with below erors : \r\n\r\nAny idea ? Anybody faced them previously ?\r\n\r\nThanks !\r\n\r\nSteps : \r\n\r\n1. sudo ./etc/DependencyInstaller.sh -run\r\n2. sudo ./etc/DependencyInstaller.sh -dev\r\n3. sudo ./etc/Build.sh\r\n\r\n\r\n[ 97%] Linking CXX executable openroad\r\ncd /home/ratul619/Desktop/OpenROAD/build/src && /usr/local/bin/cmake -E cmake_link_script CMakeFiles/openroad.dir/link.txt --verbose=1\r\n/usr/bin/g++ -O3 -DNDEBUG CMakeFiles/openroad.dir/OpenRoad.cc.o CMakeFiles/openroad.dir/Main.cc.o -o openroad ifp/src/ifp.a openroad_swig.a gpl/gpl.a dpl/dpl.a fin/fin.a rsz/src/rsz.a ppl/ppl.a stt/stt.a dbSta/src/dbSta.a ../../src/sta/app/libOpenSTA.a odb/src/db/libodb.a odb/src/swig/tcl/odbtcl.a rcx/src/rcx.a rmp/src/rmp.a cts/src/cts.a grt/grt.a tap/src/tap.a gui/gui.a drt/drt.a mpl/mpl.a mpl2/mpl2.a psm/src/psm.a ant/src/ant.a par/par.a utl/utl.a pdn/src/pdn.a /usr/lib/x86_64-linux-gnu/libtcl.so -pthread /usr/lib/x86_64-linux-gnu/libtclreadline.so /usr/lib/x86_64-linux-gnu/libz.so _openroad_swig_py.a odb/src/swig/python/_odbpy.a /usr/lib/x86_64-linux-gnu/librt.so ppl/src/munkres/libMunkres.a rsz/src/rsz.a grt/grt.a dpl/dpl.a ant/src/ant.a grt/src/fastroute/libFastRoute4.1.a stt/stt.a /usr/lib/gcc/x86_64-linux-gnu/9/libgomp.so /usr/lib/x86_64-linux-gnu/libpthread.so mpl/src/ParquetFP/libParquetFP.a par/src/MLPart/libMLPart.a dbSta/src/dbSta.a gui/gui.a /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.12.8 ../../src/sta/app/libOpenSTA.a odb/src/db/libodb.a odb/src/defout/libdefout.a odb/src/lefout/liblefout.a odb/src/tm/libtm.a odb/src/zlib/libzlib.a /usr/lib/x86_64-linux-gnu/libtcl.so odb/src/cdl/libcdl.a odb/src/swig/common/libodb_swig_common.a odb/src/defin/libdefin.a odb/src/def/libdef.a odb/src/def/libdefzlib.a odb/src/lefin/liblefin.a utl/utl.a /usr/lib/x86_64-linux-gnu/libspdlog.so.1.5.0 -pthread odb/src/zutil/libzutil.a odb/src/lef/liblef.a odb/src/lef/liblefzlib.a /usr/lib/x86_64-linux-gnu/libz.so /usr/lib/x86_64-linux-gnu/libpython3.8.so \r\n/usr/bin/ld: CMakeFiles/openroad.dir/OpenRoad.cc.o: in function `ord::OpenRoad::setThreadCount(int, bool)':\r\nOpenRoad.cc:(.text+0xe03): undefined reference to `fmt::v7::basic_format_context >, char>::iterator fmt::v7::detail::vformat_to(fmt::v7::detail::buffer&, fmt::v7::basic_string_view, fmt::v7::basic_format_args::type> >, fmt::v7::type_identity::type> >)'\r\n/usr/bin/ld: OpenRoad.cc:(.text+0xe3c): undefined reference to `spdlog::details::log_msg::log_msg(spdlog::source_loc, fmt::v7::basic_string_view, spdlog::level::level_enum, fmt::v7::basic_string_view)'\r\n/usr/bin/ld: OpenRoad.cc:(.text+0x108a): undefined reference to `fmt::v7::basic_format_context >, char>::iterator fmt::v7::detail::vformat_to(fmt::v7::detail::buffer&, fmt::v7::basic_string_view, fmt::v7::basic_format_args::type> >, fmt::v7::type_identity::type> >)'\r\n/usr/bin/ld: OpenRoad.cc:(.text+0x10ca): undefined reference to `spdlog::details::log_msg::log_msg(spdlog::source_loc, fmt::v7::basic_string_view, spdlog::level::level_enum, fmt::v7::basic_string_view)'\r\n"}, {"assistant": "On what OS? Which compiler/version?"}, {"user": "gcc (Ubuntu 9.3.0-17ubuntu1~20.04) 9.3.0\r\n\r\nThanks"}, {"assistant": "@vvbandeira any guesses?"}, {"assistant": "We build on Ubuntu 20.04 with GCC in our CI successfully.\r\n\r\nMake sure your workspace is clean: `git clean -d -x -i && git submodule foreach --recursive git clean -d -x -i`\r\n\r\nAre you using conda environment or anything similar? If so, deactivate them."}, {"user": "Using virtualbox with ubuntu installation. I have refired the installation with the git clean commands as provided. \r\nLets see. \r\nThanks "}, {"user": "Thanks @maliberty & @vvbandeira -- built cleanly now\r\n\r\nib.a ../odb/src/zutil/libzutil.a /usr/lib/x86_64-linux-gnu/libtcl.so /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.12.8 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.12.8 ../../../src/sta/app/libOpenSTA.a ../utl/utl.a /usr/local/lib/libspdlog.a -lpthread /usr/lib/gcc/x86_64-linux-gnu/9/libgomp.so /usr/lib/x86_64-linux-gnu/libpthread.so /usr/lib/x86_64-linux-gnu/libz.so \r\nmake[2]: Leaving directory '/home/ratul619/Desktop/OpenROAD/build'\r\n\r\n\r\n[100%] Built target trTest\r\nmake[1]: Leaving directory '/home/ratul619/Desktop/OpenROAD/build'\r\n/usr/local/bin/cmake -E cmake_progress_start /home/ratul619/Desktop/OpenROAD/build/CMakeFiles 0\r\n\r\nreal\t45m50.624s\r\nuser\t42m37.661s\r\nsys\t1m46.062s\r\n"}]} +{"num": 1419, "messages": [{"user": "OpenROAD e9bd11509b1d907cbc3205e17f699ef05ae2181c via OpenLane\r\n\r\n```\r\n[etc]\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 2 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\n[INFO GRT-0012] Antenna violations: 13\r\n[INFO GRT-0015] 13 diodes inserted.\r\n[WARNING DPL-0005] Overlap check failed (4).\r\n[ERROR DPL-0033] detailed placement checks failed.\r\nError: groute.tcl, 74 DPL-0033\r\n```\r\n\r\nReproducible:\r\n[groute.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7705111/groute.tar.gz)\r\n\r\n"}, {"assistant": "@eder-matheus if this is a detailed placement problem please let me know and I will pass it along to cherry."}, {"assistant": "I have a fix for this that I'm testing now. The problem is antenna repair only looks at global padding not padding by master type."}, {"assistant": "Fixed with https://github.com/The-OpenROAD-Project/OpenROAD/pull/1421"}]} +{"num": 1424, "messages": [{"user": "Currently the documented way to interact with the openroad python package is thru `openroad -python` (thru https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/odb/src/swig/python/main.cpp?), it would be great if it was also distributed as a regular python package on [PyPI](https://pypi.org/) and/or [conda-eda](https://github.com/hdl/conda-eda) so that developers can import it from a regular python interpreter without relying on the `openroad` binary, ex:\r\n```\r\n$ python\r\n>>> import openroad\r\n```\r\n"}, {"assistant": "We used to support odb in python as you describe but have never tried to support all of openroad. I suspect it is possible but a fair amount of work to do and support (e.g. we don't build shared libraries currently). Would you describe how this would be advantageous over \"openroad -python\"? "}, {"user": " > Would you describe how this would be advantageous over \"openroad -python\"?\r\n\r\nIt does make it easier to use openroad from other python projects or from a jupyter notebook.\r\n\r\n> but have never tried to support all of openroad\r\n\r\nI wonder if the remaining part could be bridged with https://docs.python.org/3/library/tkinter.html, it does seems to allow TCL from python using: https://docs.python.org/3/library/tkinter.html#tkinter.Tcl\r\n"}, {"assistant": "I don't think that will help as we need to have the tcl interpreter object in c++ in order to register our swig wrapper on it. We could make a command to forward to tcl though that would be the ugliest interface possible."}, {"user": "> I don't think that will help as we need to have the tcl interpreter object in c++ in order to register our swig wrapper on it.\r\n\r\nAh yes, you're right those must be two different Tcl context. \r\n\r\n> that would be the ugliest interface possible.\r\n\r\nAck, out of the command listed under https://openroad.readthedocs.io/en/latest/main/README.html#run, are there ones that are heavily dependent on a non-trivial amount of TCL to be usable? and therefore not trivially expose-able to python thru the existing SWIG interface?"}, {"assistant": "> Ack, out of the command listed under https://openroad.readthedocs.io/en/latest/main/README.html#run, are there ones that are heavily dependent on a non-trivial amount of TCL to be usable? and therefore not trivially expose-able to python thru the existing SWIG interface?\r\n\r\npdngen & icewall are totally in tcl so they are the worst. Most others do argument handling in tcl and then drop to c++. Reading sdc implicitly requires tcl (SDC is a set of commands in tcl per its definition) - I think we will have to have a behinds the scenes interpreter for read_sdc."}, {"user": "another thing to consider could be to have something similar to http://yowasp.org/ (OpenROAD compiled as a WASM bundle using https://github.com/WebAssembly/wasi-sdk) to enable cross platform distribute on PyPI.\r\n"}, {"assistant": "In the future UPF support will also require TCL for the same reason as SDC.\r\nI looked at the wasi docs and it seem rather immature with lots of caveats. I don't want to add extra headaches.\r\n\r\nI'm still unclear if you are proposing an identical interface in python as tcl or a more programmatic one."}, {"user": "To go back to my original issue https://github.com/The-OpenROAD-Project/OpenROAD/issues/1424#issue-1079742993, I'm just suggesting that we support importing the current python binding outside of the python interpreter embedded in the openroad process (`from openroad import odb` from a regular `python` process), we can discuss the scope of those bindings in a separate issue #1659.\r\n"}, {"assistant": "@proppy would you help to define what needs to happen here in specific actions. I'm not a pip expert and I'm hoping you are."}, {"assistant": "FYI - You will need to build OpenROAD against the \"manylinux\" platforms - https://github.com/pypa/manylinux#docker-images"}, {"assistant": "@umarcor has been helping us get GitHub Actions running on a bunch of other repositories. "}, {"assistant": "I was working on trying to make this easy for SymbiFlow,\r\n * see https://github.com/SymbiFlow/actions/blob/main/examples/build-and-upload-for-pypi-bin.yml\r\n * see https://github.com/SymbiFlow/actions/blob/main/includes/workflows/python/build-and-upload-for-pypi-bin/workflow.yaml\r\n\r\n"}, {"user": "Looks like https://cibuildwheel.readthedocs.io/en/stable/ can also help achieve this."}, {"user": "And https://github.com/marketplace/actions/pypi-publish for publishing."}, {"user": "Both are being maintained under @pypa so should be future safe to depends on."}, {"assistant": "@proppy pypi-publish is actually a shell wrapper calling twine: https://github.com/pypa/gh-action-pypi-publish/blob/master/twine-upload.sh. Nowadays, it's not worth using a Container Action for such task. A Reusable Workflow or a Composite Action is enough. See https://github.com/pyTooling/Actions/#context."}, {"user": "The @pypa action does seems to have additional knobs to target different PyPI repository (ex: test PyPI) and run twine check to verify the package metadata before uploading.\r\n\r\nMaybe it would worth filling an issue on https://github.com/pypa/gh-action-pypi-publish to suggest that they use composite action over containerized one? And then @pyTooling could simply reuse/extend it."}, {"assistant": "> The @pypa action does seems to have additional knobs to target different PyPI repository (ex: test PyPI) and run twine check to verify the package metadata before uploading.\r\n\r\nThat's a feature of twine. See:\r\n\r\n- https://github.com/pypa/gh-action-pypi-publish/blob/master/twine-upload.sh#L51-L54\r\n- https://github.com/VUnit/vunit/blob/master/.github/workflows/push.yml#L168-L174\r\n- https://github.com/pyTooling/Actions/blob/main/.github/workflows/PublishOnPyPI.yml#L70-L80\r\n\r\n> Maybe it would worth filling an issue on https://github.com/pypa/gh-action-pypi-publish to suggest that they use composite action over containerized one? And then @pyTooling could simply reuse/extend it.\r\n\r\nMight be interesting. So far pyTooling has been focused on building, testing, and generating docs/reports, rather than covering the widest range of platforms. Since building is out of scope of pypi-publish, pyTooling might need to be enhanced with e.g. manylinux before using pypi-publish (or specific options of twine).\r\n\r\n/cc @Paebbels"}, {"assistant": "Adding a `twine check` call is a welcome addition to pyTooling. Is signing the package with PGP also required? @umarcor, I think we should support this too, right?\r\n\r\nIs there also a need for [twine verify-upload](https://pypi.org/project/twine-verify-upload/)?\r\n\r\nBut for supporting the PyPI test repository, I don't see a use case. What additional knowledge would be gained from a pipeline step uploading to that repository?"}, {"user": "> Since building is out of scope of pypi-publish, pyTooling might need to be enhanced with e.g. manylinux before using pypi-publish (or specific options of twine).\r\n\r\nIt seems that @pypa maintains https://github.com/pypa/cibuildwheel for this, so maybe pyTooling could be refactored as a composite action that uses both?"}, {"user": "Let's move the meta discussion to https://github.com/pyTooling/Actions/issues/47 and keep this issue about the specifics of the OpenROAD implementation of building wheels and publishing them to PyPI."}, {"assistant": "Is this moving towards a conclusion?"}, {"assistant": "@maliberty the dialogue during last week drifted towards CI solutions. We moved the discussion to https://github.com/pyTooling/Actions/issues/47. That is orthogonal to making openroad a Python package. First, the setup configuration file should be created and tested on a single platform only. Even before doing so, I think that the expected interaction should be prototyped. Overall, pip is \"just another\" packaging solution which can distribute python, tcl and other assets. "}, {"assistant": "I have no experience with pip or its configuration files, etc. We don't package at all today. Can you give a more specific set of steps?"}, {"assistant": "Do not care about \"pip\" for now. Let's focus on \"Python setup files\". Some examples:\r\n\r\n- https://github.com/chipsalliance/f4pga/blob/main/f4pga/setup.py#L72-L97\r\n- https://github.com/cocotb/cocotb/blob/master/setup.py#L88-L136\r\n- https://github.com/edaa-org/pyEDAA.ProjectModel/blob/main/setup.py#L41-L48\r\n\r\nSo, conceptually it's very similar to any other \"recipe\" (say deb, rpm, conda...). You declare the sources that belong to the \"package\", the \"entrypoints\" you want to generate, and other metadata (author, license, compatible versions, etc.).\r\n\r\nI believe that the \"shell wrappers\" of f4pga can be inspiring to you:\r\n\r\n- We picked some shell/bash scripts: https://github.com/chipsalliance/f4pga/tree/main/f4pga/wrappers/sh/xc7.\r\n- We created a \"wrapper\" in Python which has one function for each scripts (https://github.com/chipsalliance/f4pga/blob/main/f4pga/wrappers/sh/__init__.py).\r\n- We created \"entrypoints\" in the Python package, each pointing to one wrapper function, which calls the corresponding shell script:\r\n - https://github.com/chipsalliance/f4pga/blob/main/f4pga/setup.py#L95\r\n - https://github.com/chipsalliance/f4pga/blob/main/f4pga/setup.py#L55-L70\r\n \r\nNow, when users install f4pga through pip, they get \"binaries\" such as `symbiflow_pack` created \"somewhere in the system available in the PATH\". At the same time, the python sources and shell scripts are installed \"somewhere in the system where python libs are installed\". The `symbiflow_pack` \"binary\" calls the `?/wrappers/sh/__init__.py`, which executes `?/wrappers/sh/xc7/pack.f4pga.sh`.\r\n\r\nIn the context of openroad, you might do something similar. That would provide a not-ideal but working solution to install openroad through pip. From there on, the Python wrapper functions can be reworked to use tkinter or some other \"more elegant\" solution than blindly calling an \"external\" TCL script as a system tool."}, {"user": "A bit more background:\r\nThe goal of https://github.com/The-OpenROAD-Project/OpenROAD/pull/1849, is to expose a subset of the OpenROAD C++ API as a regular [python extension](https://docs.python.org/3/extending/extending.html), and not really to replace the main OpenROAD executable with a Python entrypoint.\r\n\r\nIn addition to the example that @umarcor pointed to for the \"Python setup files\", https://packaging.python.org/en/latest/tutorials/packaging-projects/ is also a good resources for getting started with Python packaging.\r\n\r\nSo the steps are likely to be:\r\na. write the required `setup.py/cfg`, `pyproject.toml` metadata\r\nb. bridge the python build process with cmake using something like https://github.com/diegoferigo/cmake-build-extension\r\nc. integrate with a cross-platform build GH action like https://github.com/pypa/cibuildwheel and https://github.com/pyTooling/Actions to build the wheel for all supported platform on each commits\r\nd. integrate with a publishing GH action like https://github.com/pypa/gh-action-pypi-publish or https://github.com/pyTooling/Actions to publish to PyPI prod and/or test at the desired release cadence.\r\n\r\nMore than happy to contribute/help navigate those steps, maybe we could already start the work with the existing `odb` binding and update it include the newer binding when #1849 lands, wdyt?"}, {"assistant": "@proppy I'm happy to have you start with odb "}]} +{"num": 1428, "messages": [{"user": "OpenROAD 174a460d88e87f538ac36e26ef82d0bba0f4182c via OpenLane\r\n\r\nFor context, this issue only shows up when we update Yosys. I'm not sure what feature yosys may have added to expose this.\r\n\r\n```\r\n[etc]\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\nNotice 0: Split top of 3 T shapes.\r\nNotice 0: Split top of 1 T shapes.\r\n./run.sh: line 37: 2772 Segmentation fault (core dumped) $OPENROAD_BIN -exit $OR_SCRIPT_0\r\n```\r\n\r\n[groute.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7719479/groute.tar.gz)\r\n"}, {"assistant": "@donn I've notice you are using `li1` as the min routing layer for this technology. It is more likely to have loops between wires and pin shapes when we have segments in `li1`, and these loops are the main cause for the crash during repair_antennas. Changing the min layer to `met1` \"fixed\" the segfault."}, {"assistant": "@donn I thought the min routing layer was already fixed?"}, {"user": "@maliberty This issue was filed a week ago- the minimum layer was fixed since yes. I'm going to re-test yosys now."}, {"assistant": "@eder-matheus We definitely shouldn't segfault; at minimum we should tolerate loops and error out. "}, {"assistant": "> @eder-matheus We definitely shouldn't segfault; at minimum we should tolerate loops and error out.\r\n\r\nWe already discussed this segfault. This happens during order wires, and this piece of code is pretty hard to understand. I can try to update rrepair_antennas to detect loops and error out"}, {"user": "Yeah, according to Matt order_wires is a nightmarish piece of code.\r\n\r\nAs this has a workaround, we can just leave this issue open but deprioritize it."}, {"assistant": "This is bad for users we need to at least trap the segfault and notify the user that if they're on a low metal layer this can cause an issue."}, {"assistant": "@donn maybe we can close https://github.com/The-OpenROAD-Project/OpenROAD/issues/1111, since they appear to be duplicated."}, {"user": "sure, why not."}, {"assistant": "@donn My last update in grt (https://github.com/The-OpenROAD-Project/OpenROAD/pull/1668) fixes the crash on this testcase, even using `li1` as min routing layer. I will close the issue for now, but please let me know if you face any other problems."}]} +{"num": 1430, "messages": [{"user": "This is my first issue I'm reporting so bare with me. I'm using Openlane tag `2021.12.15_04.14.23` to trial our design and hit a segmentation violation during the global routing phase. The message dumped by Openlane is-\r\n\r\n```\r\nduring executing: \"openroad -exit /openlane/scripts/openroad/groute.tcl |& tee >&@stdout /openlane/designs//runs/f_15dec2021_1224/logs/routing/18-global.log\"\r\nLast 10 lines:\r\nchild killed: segmentation violation\r\n```\r\n\r\nThe log from `18-global.log` is attached.\r\nNote: I have removed some confidential information about our design.\r\n\r\nLet me know what information I can provide. I won't be able to unfortunately send across the design database.\r\n[18-global.log.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/7719917/18-global.log.txt)\r\n\r\n"}, {"assistant": "Is this the same as #1428 ?"}, {"user": "I looked at the logs from #1428. While the point at which the fail occurs appears to be the same, it is impossible for me to say it is the same. I'm using the current version of Openlane (which uses an older version of Yosys), whereas #1428 integrates a newer version of the synthesis tool. The two designs aren't the same either. The one I'm attempting to run is a much larger design."}, {"user": "I don't mind waiting for #1428 to be fixed first so I can re-run on the fixed version to see if I had hit the same issue."}, {"assistant": "@kauser-rl As I mentioned in #1428, using the min layer as `li1` can be the cause of the segfault. Could you try your design with min layer as `met1`?"}, {"assistant": "@kauser-rl My latest update on grt has fixed #1428 segfault, that is probably the same issue you were facing. Could you try the latest commit on OpenROAD? If you still see the segfault, feel free to open this issue again. Thanks!"}]} +{"num": 1437, "messages": [{"user": "`Summary`\r\nWhile running the temperature sensor design, I got a new error related to how padding is used. Padding seems to be applied to `sky130_fd_sc_hd__decap_4` cell and the tool thinks there is an overlap with the tapcells.\r\n\r\n**Observed behavior:** \r\nDesign fails at detail placement:\r\n\r\n```\r\n[INFO DPL-0020] Mirrored 63 instances\r\n[INFO DPL-0021] HPWL before 5213.7 u\r\n[INFO DPL-0022] HPWL after 5133.0 u\r\n[INFO DPL-0023] HPWL delta -1.5 %\r\n[WARNING DPL-0005] Overlap check failed (7).\r\n TAP_119 overlaps PHY_13\r\n TAP_123 overlaps PHY_17\r\n TAP_128 overlaps PHY_25\r\n TAP_133 overlaps PHY_33\r\n TAP_138 overlaps PHY_41\r\n TAP_143 overlaps PHY_49\r\n TAP_146 overlaps PHY_53\r\n[ERROR DPL-0033] detailed placement checks failed.\r\nError: detail_place.tcl, 121 DPL-0033\r\n0:00.48elapsed 99%CPU 84476memKB\r\nmake[1]: *** [results/sky130hd/tempsense/3_4_place_dp.def] Error 1\r\nmake[1]: Leaving directory `/shared/OpenFASOC/generators/temp-sense-gen/flow\u2019\r\n```\r\n\r\n![paddingendcap](https://user-images.githubusercontent.com/48068702/146483688-95a2fa6e-4d3c-480b-ab11-e4d14e0474e1.jpg)\r\n\r\n\r\n**Expected Behavior**\r\n\r\nDesign should not fail at detail placement. My work around is to remove padding for the decap cells as follows:\r\n`set_placement_padding -left 0 -right 0 -masters \u201csky130_fd_sc_hd__decap_4\u201d` in tapcell.tcl\r\n`set_placement_padding -left 0 -right 0 -masters \u201csky130_fd_sc_hd__decap_4\u201d` in detail_place.tcl\r\n\r\nTest case is provided here\r\n[floorplan_tempsense_sky130hd__2021-12-17_03-43.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7731939/floorplan_tempsense_sky130hd__2021-12-17_03-43.tar.gz)\r\n\r\n\r\n\r\n"}, {"assistant": "DPL checks based on the LEF MACRO class. For `sky130_fd_sc_hd__decap_4`, the class is `CORE` which is probably not correct. It should be `CORE SPACER`."}, {"user": "Yes, I think that should fix it if we updated the LEF. Thanks @rovinski. I will need to try it.\r\nI think @maliberty mentioned he could handle this case (CORE cells versus TAPCELL + padding)"}, {"assistant": "I'm happy to update the PDK rather than trying to work around it."}, {"assistant": "@rovinski why should decap be a SPACER? It isn't a fill cell. sky130_fd_sc_hd__fill_* are marked as SPACER.\r\n@msaligane is there a reason to use decap rather than fill?"}, {"assistant": "@maliberty It would be nice if there was a LEF class for decap but there isn't. Decap cells don't have any pins except power/ground, so they fit the same profile as filler cells. Most (all?) commercial PDKs I surveyed mark their decaps cells as such (`CORE SPACER`)."}, {"assistant": "@rovinski I see you are correct. @QuantamHD can you update the PDK?"}, {"assistant": "@QuantamHD \r\nIs PDK got updated? \r\nPlease close this if already updated."}]} +{"num": 1438, "messages": [{"user": "Hi, OpenDB experts\r\n\r\nI am now trying to use opendb as the design database in one of my projects. But I am not quite sure if opendb can support the features I am requiring. \r\n\r\nSay I have a hierarchical design which has a \"chip_top\" block, then I have many child blocks (as instances) those may have child block instances (recursively) as well. In addition, some block instances may be complete clones of a \"master block\", of which the changes on their bterms and instances etc. will exactly mirror those of the \"master block\".\r\n\r\nI have investigated a bit on the source codes, and I got basic ideas about the dbMaster, dbInst, dbLib and dbBlock. But I am still confused on the combination usage of them. Is that possible to use the current opendb structure to implement what I need? Or do you have some suggestions on implemeting this kind of design style?\r\n\r\nThanks in advance,\r\nBest wishes\r\nBo"}, {"assistant": "If this comment is accurate\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/282340eaf54d33a125214230e635c689acc1a75b/src/odb/include/odb/db.h#L790-L796\r\n\r\nThen the max hierarchy supported is 2. I don't believe we've extensively tested anything other than 1 level of hierarchy.\r\n\r\nThe current best methodology for hierarchical design is to export LEF/DEF for child blocks and then use those blocks as macros in the parent design.\r\n\r\nI believe support for deeper hierarchies has been discussed, but we haven't had enough resources to allocate to the issue. I'm sure any contributions towards this end would be welcomed."}, {"user": "> If this comment is accurate\r\n> \r\n> https://github.com/The-OpenROAD-Project/OpenROAD/blob/282340eaf54d33a125214230e635c689acc1a75b/src/odb/include/odb/db.h#L790-L796\r\n> \r\n> Then the max hierarchy supported is 2. I don't believe we've extensively tested anything other than 1 level of hierarchy.\r\n> \r\n> The current best methodology for hierarchical design is to export LEF/DEF for child blocks and then use those blocks as macros in the parent design.\r\n> \r\n> I believe support for deeper hierarchies has been discussed, but we haven't had enough resources to allocate to the issue. I'm sure any contributions towards this end would be welcomed.\r\n\r\nHi, dear Rovinski\r\n\r\nThanks for the reply, as my understanding of your comments, more than two levels is not theoretically unsupported, but is not extensively tested, which means that the current opendb actually has the ability to support more than two levels, however it is not \"officially\" supported by openroad. Am I correct in understanding this?\r\n\r\nAlso, do you have any further comment on implementing the cloned master block? \r\n\r\nI am currently trying to implement this feature by removing the limit that \"one block can only be bound to one instance\" when bindBlock() and trying to make _parent_inst of the block as a dbVector to store all instances which instantiate the child block (the cloned block). Then in my opinion, once the block has updates in its status (bterms, instances placements), the parent_insts will all do the same updates.\r\n\r\nI am very interested in extending the opendb functionalities in this direction, so any good suggestion will be very appreciated.\r\n\r\nBest regards,\r\nBo"}, {"assistant": "> Thanks for the reply, as my understanding of your comments, more than two levels is not theoretically unsupported, but is not extensively tested\r\n\r\nNo:\r\n1 level: Extensively tested\r\n2 levels: Not tested\r\n3+ levels: Unsupported\r\n\r\n> Also, do you have any further comment on implementing the cloned master block?\r\n\r\nIt sounds like you're asking if there can be multiple instances of the same block within the hierarchy, and to that end I don't know. @maliberty might."}, {"assistant": "I think @rovinski description is correct. Before we dive into the db level questions, can you explain how you would use this capability if it existed? The model you describe is more commonly used in custom digital tools (eg Virtuoso) than P&R ones."}, {"user": "Hi, @maliberty\r\n\r\nThanks. We need this feature because our design includes a predefined hierarchy (very deep) and abut blocks, we will never need to P&R over the blocks. Then we will perform P&R in each individual block, and optimizing the pin assignments between the blocks. So we need a way to store the complete hierarchy information with OpenDB.\r\n\r\nNow we realized that actually we do not need block hier with that many levels, but multiple instances of the same block is a hard requirement.\r\n\r\nWhat we are trying to do now is saving all blocks as the children of a \"chip_top\" block, binding the master block which is not necessarily the direct child of the owner block to multiple instances of the owener block is made available. In other words, we build the hierarchy using the instances and the bound blocks. The solution seem to work up to now (parsing our block hierarchy and netlists and storing the model)\r\n\r\nIt is difficult for me do explain the exact requirement, the following block diagram can roughly show our block structure. Where B1 and B3 are the first level block insts, B2 is a wrapper for second level block insts (B2_0 and B2_1).\r\nHope it is not that difficult to understand.\r\n\r\n![image](https://user-images.githubusercontent.com/10143274/146923535-d650fe58-191c-4e59-9b24-5c9a8c27d596.png)\r\n\r\nI will be back reporting our experiment results.\r\n\r\nThanks a ton,\r\nBR,\r\nBo"}, {"assistant": "Seems to me like it would be a lot easier to do a bottom-up hierarchy and just iterate on the design constraints. But if you'd like to contribute to ODB feel free."}, {"assistant": "@rovinski I agree with you but we do lack a timing model generation capability so final signoff still needs to be flat."}, {"assistant": "@rovinski could you shed more light in terms of how to load both block def and top def?\r\nie: block1.def and top.def, \r\n dbChip* chip = def_reader.createChip(search_libs, top_def_filename); \r\n if (chip) { \r\n dbBlock* block = chip->getBlock(); \r\n string block_def_filename = \"xxx.gz\"; \r\n dbBlock* sub_block = def_reader.createBlock(block, search_libs, block_def_filename.c_str()); \r\n } \r\n \r\nI tried above, both file got parsed, however, when I iterate the nets in chip->getBlock(), cannot find the nets in block.def. \r\n\r\nThanks! \r\n\r\n"}, {"assistant": "At best you will not see the nets in subblocks in the top block. You would have to manually traverse across the instance boundary. There is no flat view of the top block."}, {"assistant": "@maliberty thanks"}]} +{"num": 1470, "messages": [{"user": "Build fails with clang-13:\r\n```\r\nIn file included from /disk-samsung/freebsd-ports/cad/openroad/work/.build/src/dst/CMakeFiles/dst.dir/DistributedTCL_wrap.cxx:1701:\r\n/disk-samsung/freebsd-ports/cad/openroad/work/OpenROAD-2.0-2346-g95a1df82f/src/dst/include/dst/Distributed.h:48:46: error: no template named 'any_io_executor' in namespace 'boost::asio'; did you mean 'is_executor'?\r\ntypedef asio::basic_stream_socket socket;\r\n```\r\n\r\nRev. 95a1df82f"}, {"assistant": "What version of boost are you using?"}, {"user": "boost-libs-1.72.0_6"}, {"assistant": "For me the build also failed on Ubuntu 20.04.3 LTS\r\n\r\n$ cat /usr/include/boost/version.hpp\r\n...\r\n#define BOOST_VERSION 107100\r\n...\r\n"}, {"assistant": "Could you try changing in src/dst/include/dst/Distributed.h:\r\n\r\n```\r\n#if BOOST_VERSION >= 107000\r\ntypedef asio::basic_stream_socket socket;\r\n#else\r\ntypedef asio::basic_stream_socket socket;\r\n#endif\r\n\r\n``` \r\nto\r\n\r\n```\r\n#if BOOST_VERSION >= 107400\r\ntypedef asio::basic_stream_socket socket;\r\n#elif BOOST_VERSION >= 107000\r\ntypedef asio::basic_stream_socket socket;\r\n#else\r\ntypedef asio::basic_stream_socket socket;\r\n#endif\r\n\r\n```\r\nany let me know if that works for you?"}, {"assistant": "Actually I think the whole thing could just be reduced to\r\n`typedef asio::basic_stream_socket socket;\r\n`\r\nas I think the second arg is properly defaulted in all versions. Would you try that?"}, {"user": "Replacing with ```typedef asio::basic_stream_socket socket; ``` helped.\r\n"}, {"assistant": "fixed with https://github.com/The-OpenROAD-Project/OpenROAD/pull/1475"}]} +{"num": 1476, "messages": [{"user": "OpenRoad bundles ```abc``` and fails with the same error ```abc``` fails with:\r\n```\r\nld: error: duplicate symbol: memUsedPeak()\r\n>>> defined at System.cpp\r\n>>> CMakeFiles/libabc.dir/src/sat/glucose/System.cpp.o:(memUsedPeak())\r\n>>> defined at System2.cpp\r\n>>> CMakeFiles/libabc.dir/src/sat/glucose2/System2.cpp.o:(.text+0x50)\r\n```\r\n\r\nclang-13\r\n\r\n```abc``` bug [report](https://github.com/berkeley-abc/abc/issues/146).\r\n\r\nA better way is to make ```abc``` install the headers (if it doesn't yet) and use the externally installed ```abc```.\r\n"}, {"assistant": "Strong disagree on relying on the system abc installation. Different system abcs can have widely circuit results from commit to commit, and that make reproducibility hard when engineers might be using slightly different versions of ABC when building the software. \r\n\r\nIMO static linking for this kind of compiler has distinct advantages in terms of supportability and reproducibility. "}, {"assistant": "@QuantamHD abc is statically linked afaik. "}, {"assistant": "I was trying to argue in favor of a statically linked and pinned version of abc for OpenROAD. I think potentially modifying the users system installation/relying on what's installed would be a mistake. Which I believe is what @yurivict is suggesting, but I could be totally misunderstanding what @yurivict is trying to suggest. "}, {"user": "> I was trying to argue in favor of a statically linked and pinned version of abc for OpenROAD.\r\n\r\nIt is always beneficial to some degree to pin dependency versions, but bundling causes exponential compilation and maintenance costs. Bugs in each package need to be fixed in all bundled versions. Same software is recompiled a potentially unlimited number of times.\r\n"}, {"assistant": " I think it makes sense to rely on the system packages for something like spdlog or other very stable dependencies, but heuristic based software like ABC has the potential to completely change its results from commit to commit due to small changes in its cost functions without changing its underlying API. That isn't very desirable for this kind of compiler/CAD software.\r\n\r\nThe additional compilation time is generally a one time cost for most users, and is likely much less time in aggregate than solving dependency issues that only show up because they have a particular version of ABC installed. There are also many issues that are difficult to solve when relying on system packages.\r\n\r\n1. Build machines that are managed by another organization in a large company may make it impossible to install a compatible version of ABC.\r\n2. People may rely on a particular version of ABC for other use cases that are incompatible with OpenROAD's needs, and the users might not have the right relationships in their organization to implement a solution. This software is targeted at both software engineers as well as hardware engineers after all. \r\n3. ABC has the potential to heavily impact the final performance of the output, and is updated frequently with new algorithms that increase the performance even further. Meaning that users on particularly old OSes will see very degraded results that reflect poorly on the project as a whole.\r\n\r\nI think for those reasons it makes sense to bundle and pin. Though I understand that you might weigh different cost matrices than I do; especially as a package maintainer.\r\n"}, {"assistant": "I don't get the debate here - OR has abc as a submodule so we aren't relying on any abc from the distro. That wasn't reported as an issue. I still don't know how to reproduce the issue reported."}, {"user": "> I still don't know how to reproduce the issue reported.\r\n\r\nThe issue is likely with incorrect ifdef ```__FreeBSD__``` around the function in question because the issue is on FreeBSD.\r\n"}, {"assistant": "As I've said before - OR doesn't support freebsd and doesn't plan to. You are welcome to submit PRs that improve things on FreeBSD but we won't spend time investigating issues related to FreeBSD. If abc fixes this up stream we are glad to pick it up."}, {"user": "I'm just trying to provide packages for open source EDA software using which users would be able to easily install this software.\r\nAs it stands today FreeBSD ports is the only packaging system that can keep up with many version updates in many projects.\r\nThe alternative is for all users to rebuild all projects by hand, which is a lot more difficult and error prone.\r\n"}, {"assistant": "Its a laudable goal but we lack sufficient developers to devote to it. Another alternative is to use docker which is what openlane does."}]} +{"num": 1477, "messages": [{"user": "https://github.com/The-OpenROAD-Project/OpenROAD/blob/719c6dc617d4b8d6896500bb41cdf057864f9e09/src/drt/src/dr/FlexDR_maze.cpp#L1940-L1968\r\n\r\nThe pin access code above is phrased a bit weird. It seems to select only the first pin access point in the list rather than iterating through the whole thing. Is this intentional? The rest of the code seems to accommodate selecting multiple pin access points."}, {"user": "I uncommented the line to see if things would break, but it looks like it improves the slack on designs like AES. \r\nTo\r\n```\r\n---------------------------------------------------------------------------\r\n 7.663 data required time\r\n -9.087 data arrival time\r\n---------------------------------------------------------------------------\r\n -1.424 slack (VIOLATED)\r\n```\r\n\r\nFrom\r\n```\r\n---------------------------------------------------------------------------\r\n 7.916 data required time\r\n -9.549 data arrival time\r\n---------------------------------------------------------------------------\r\n -1.633 slack (VIOLATED)\r\n\r\n```"}, {"user": "@osamahammad21 @maliberty Should we remove the break statement?"}, {"assistant": "The first AP is the preferred AP so I think this is intentional. We would need to run a full suite of designs to consider changing this."}, {"user": "If that's the case why is dividing by toApCnt in the lines following this loop? It seems at some point there was some kind of averaging algorithm that is now is basically dead.\r\n\r\nThat code snippet also only generates something called the centerPt. The AP selection is actually below this."}, {"assistant": "@osamahammad21 \r\nCan you check this pin access code?"}, {"assistant": "I don't think removing the break would be correct. Moving it to the outer for loop could be correct. Here is why:\r\nuConnPins is a vector of all the pins that are to be connected for the current net. We pass this vector to routeNet_setSrc(), where we are calculating the centerPt and the srcPin. It's worth mentioning that the distance to the centerPt is used in the maze search as a second preference for sorting the waveFrontGrid points after the cost (it is the tie breaker of 2 waveFronts with the same cost).\r\nNow that this is explained, I think the code is meant to do the following:\r\n- Get the centerPt of the first pin's access points.\r\n- Set the src pin as the furthest away pin from that first pin we chose.\r\n\r\nThis makes the search start from that src pin and keep on advancing towards the centerPt which is \"final destination\" for that net. I am considering the centerPt as the theoritical final destination because in mazeSearch we start at the src pin and keep on going to the nearest pin to that src pin. since the centerPt is the furthest away from the initial src pin, it should theoretically be the final pin chosen as destination in the maze search of the net.\r\nIf we remove the break entirely, then we are setting the centerPt as the center of all access points which directs the maze search to advance more to that center. So if we have a net of 2 pins on the opposing boundaries, the centerPt would be exactly at the center of the worker (either vertically or horizontally). This doesn't seem correct.\r\nAlso it's worth mentioning that I don't think we rely heavily on the centerPt as the cost takes into consideration the distance to the current destination node.\r\nThat said, I didn't write the code so I am not sure if that was the initial intention. But it seems to me that this is the most logical explanation."}]} +{"num": 1478, "messages": [{"user": "After cmake .. and make I run openroad -gui. But nothing opened. Only the phrase below appeared and was not implemented.\r\n\r\n\r\n```\r\n~/OpenROAD$ openroad -gui\r\nopenroad: command not found\r\n\r\n```"}, {"assistant": "This has nothing to do with the gui - it can't find the executable at all. I suspect you don't have it in your path."}, {"assistant": "By default cmake doesn't install the binary, it just builds it. I suspect that if you run the following command it will work `./build/src/openroad -gui`"}]} +{"num": 1480, "messages": [{"user": "OpenROAD 719c6dc617d4b8d6896500bb41cdf057864f9e09\r\n\r\n* Issue:\r\n\r\n`global_route` does not support its documented arguments, opting to show this error:\r\n```\r\n$ echo \"global_route -verbose 3\" | openroad -exit\r\nOpenROAD v2.0-2364-g719c6dc61 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[ERROR STA-0406] global_route positional arguments not supported.\r\nSTA-0406\r\n```\r\n\r\n* Probable Cause: https://github.com/The-OpenROAD-Project/OpenROAD/commit/110c23c2565b07e460c4f4ab7d3cd4e68ddfd5f7\r\n\r\n* Reproducible:\r\n- `echo \"global_route -verbose 3\" | openroad -exit`\r\n"}, {"user": "Critical for OpenLane, btw"}, {"assistant": "@donn I've updated the `-verbose` option to be a flag. We don't have the levels now, that's why you have the failure. I've created a PR updating the `-verbose` documentation."}, {"user": "Thanks. I've updated the invocation"}]} +{"num": 1487, "messages": [{"user": "Hi all,\r\n\r\nI'm trying to harden a macro for the MPW-4 shuttle. The resizer(?) causes huge memory consumption once an OpenSRAM macro that come with the Sky130 PDK is used. In order to track down the issue, I've made a very simple macro design that essentially encapsulates a 1 kB OpenSRAM block with clocked registers. The resizer still consumes ~6 GB of RAM, but this time ends with a segmentation fault. I've got 8 GB of RAM plus 4 GB Swap in my computer and ran only the base Linux desktop in parallel, so this shouldn't be a corner case for out-of-memory handling (I hope).\r\n\r\nAs reference, here is the complete Verilog description of the macro:\r\n\r\n\r\n```\r\n`default_nettype none\r\n\r\nmodule sram1kbwrapper\r\n(\r\n`ifdef USE_POWER_PINS\r\n inout vccd1,\t// User area 1 1.8V supply\r\n inout vssd1,\t// User area 1 digital ground\r\n`endif\r\n input clk,\r\n input reset,\r\n input csb0,\r\n input web0,\r\n input [3:0] wmask0,\r\n input [7:0] addr0,\r\n input [31:0] din0,\r\n output reg[31:0] dout0,\r\n input csb1,\r\n input [7:0] addr1,\r\n output reg[31:0] dout1\r\n );\r\n\r\nwire [31:0] sram1_dout0;\r\nwire [31:0] sram1_dout1;\r\nreg sram1_csb0;\r\nreg sram1_web0;\r\nreg sram1_csb1;\r\nreg [31:0] sram1_addr0;\r\nreg [31:0] sram1_din0;\r\nreg [3:0] sram1_wmask0;\r\n\r\n always @(posedge clk) begin\r\n if (reset) begin\r\n\t dout0 <= 0;\r\n\t dout1 <= 0;\r\n sram1_csb0 <= 0;\r\n sram1_web0 <= 0;\r\n sram1_csb1 <= 0;\r\n sram1_addr0 <= 0;\r\n sram1_addr1 <= 0;\r\n sram1_wmask0 <= 0;\r\n\t end\r\n else begin\r\n\t dout0 <= sram1_dout0;\r\n\t dout1 <= sram1_dout1;\r\n sram1_csb0 <= csb0;\r\n sram1_web0 <= web0;\r\n sram1_csb1 <= csb1;\r\n sram1_addr0 <= addr0;\r\n sram1_addr1 <= addr1;\r\n sram1_wmask0 <= wmask0;\r\n\t end\r\n end\r\n\r\nsky130_sram_1kbyte_1rw1r_32x256_8 SRAMInternal\r\n (\r\n `ifdef USE_POWER_PINS\r\n .vccd1(vccd1),\r\n .vssd1(vssd1),\r\n `endif\r\n .clk0 (clk),\r\n .csb0 (sram1_csb0),\r\n .web0 (sram1_web0),\r\n .wmask0 (sram1_wmask0),\r\n .addr0 (sram1_addr0),\r\n .din0 (sram1_din0),\r\n .dout0 (sram1_dout0),\r\n .clk1 (clk),\r\n .csb1 (sram1_csb1),\r\n .addr1 (sram1_addr1),\r\n .dout1 (sram1_dout1)\r\n );\r\n\r\nendmodule\r\n\r\n`default_nettype wire\r\n```\r\n\r\nI've tried both using the efabless/openlane:mpw-4 docker image as well as the edalize/openlane-sky130:mpw4 docker image. In both case, I'm getting segfaults. Here is the \"reproducible\" file:\r\n\r\n[openroad_issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7790188/openroad_issue_reproducible.tar.gz)\r\n "}, {"user": "Oh, by the way, the Verilog example above has multiple errors. But fixing them doesn't make a difference regarding the issue."}, {"assistant": "There is a packaging problem: (@donn please note)\r\nexport EXTRA_LIBS=' /home/ruedi/XilinxImage/Caraval/SkyPDK/sky130A/libs.ref/sky130_sram_macros/lib/sky130_sram_1kbyte_1rw1r_32x256_8_TT_1p8V_25C.lib';\r\n\r\nwhich leads to:\r\nError: resizer.tcl, 23 cannot read file /home/ruedi/XilinxImage/Caraval/SkyPDK/sky130A/libs.ref/sky130_sram_macros/lib/sky130_sram_1kbyte_1rw1r_32x256_8_TT_1p8V_25C.lib.\r\n\r\nPlease add the missing lib"}, {"user": "Oh, ok. I've added the missing file to a new \"manual\" directory in the \"openroad_issue_reproducible\" directory and referenced the file in run.tcl, run.sh, and env.* - the previously missing file is part of what open_pdks produces when enabling the Sky130 SRAM macros.\r\n\r\n[issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7794393/issue.tar.gz)\r\n"}, {"assistant": "Your RAM has a very small transition limit:\r\n\r\n bus(addr0){\r\n max_transition : 0.04;\r\n\r\nIn addition you have: export PL_RESIZER_MAX_SLEW_MARGIN='20';\r\n\r\nso you are trying to fix the slew to 0.032 which is not realistic to achieve. If I used a margin of zero then the design goes through without trouble. I'm not sure how this ram was generated but it is suspect in this regard."}, {"assistant": "@jjcherry56 it would be good to harden rsz against such bad inputs."}, {"user": "Thanks for the feedback. The transition limits are coming from the files that open_pkds generates when asking it to also build the SRAM macros. It's weird that they are unrealistic, so I'm now wondering how the projects employing these macros address this requirement."}, {"assistant": "@donn @RTimothyEdwards do you know how OL is generating its RAMs?"}, {"assistant": "@maliberty : Macros come directly from the OpenRAM project, specifically pre-built macros that Matt Guthaus made for efabless and which we dropped into the repository at https://github.com/efabless/sky130_sram_macros/. Matt Guthaus has commit privileges to that repository. The open_pdks installer clones that repository and drops the files more or less verbatim into the location cited above (e.g., `sky130A/libs.ref/sky130_sram_macro/lib/sky130_sram_1kbyte_1rw1r_32x256_8_TT_1p8V_25C.lib`)."}, {"assistant": "I opened https://github.com/efabless/sky130_sram_macros/issues/9"}, {"assistant": "It tickled a bug in the resizer that is fixed by 789d5b3e9 master origin/master Merge pull request #1496 from jjcherry56/rsz_sm_max_slew"}]} +{"num": 1505, "messages": [{"user": "In this design, we have a macro `user_proj_example` which has four power domains: `vccd1` `vccd2` `vdda1` `vdda2`. The issue we are having is that pdngen shorts all the power domains together when it connects the `user_proj_example` macro to the top level pdn. \r\n\r\nFor example, here the four pdn stripes inside `user_proj_exmaple` are shorted together. \r\n![Screenshot_2022-01-04_14-17-39](https://user-images.githubusercontent.com/25064257/148059164-956f1513-000e-49f1-bcef-b09b16cb7bf0.png)\r\n\r\n[user_project_wrapper_pdn_packaged.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/7807497/user_project_wrapper_pdn_packaged.zip)\r\n\r\n\r\n"}, {"assistant": "@msaligane do you have any comments? I guess you have similar designs in fasoc."}, {"assistant": "I think it is more like having multi vdd rings than voltage domain here?"}, {"user": "This wasn't an issue with a very old versions of openroad (for example: `1415572a73d43dfc91ba4501170fe6a245729e87`) because we were able to tell pdngen which pins to connect on the macro by specifying the `power_pins` / `ground_pins` keys like: \r\n```\r\nset macro {\r\n orient {R0 R180 MX MY R90 R270 MXR90 MYR90}\r\n power_pins $::env(VDD_NET)\r\n ground_pins $::env(GND_NET)\r\n blockages $::env(MACRO_BLOCKAGES_LAYER)\r\n straps {\r\n }\r\n connect {{$::env(FP_PDN_LOWER_LAYER)_PIN_ver $::env(FP_PDN_UPPER_LAYER)}}\r\n}\r\n```\r\n\r\nBut, it looks like pdngen is now ignoring the `power_pins` / `ground_pins` keys. \r\n\r\n"}, {"assistant": "We use power_pins & ground_pins so it is unlikely they are ignored. Perhaps there is some change in behavior."}, {"assistant": "@Colin-Holehouse can you take a look at this one?"}, {"assistant": "@abk-openroad fyi for Colin."}, {"assistant": "The pdngen code was updated to not require the specification of power_pins and ground_pins, insterad the code would look at all the pins on the macro for signal types POWER and GROUND. This works in the case where all POWER pins are to be connected to the same POWER net and all GROUND pins are to be connected to the same GROUND net.\r\n\r\nClearly that is not the requirement here. With the older code base, specifying a subset of the power/ground pins resulted in just the subset beinug connected to the POWER/GROUND nets.\r\n\r\nThe correct behavior should be for pdngen to check for pins on the macro connected to the logical net before making the physical connection.\r\n\r\nThe changes I am currently working on will cause pdngen to operate in this way, but will rely on the add_global_connection to be done correctly to create the required logical connection. [Taking a look at the script it seems like this is done correctly already].\r\n\r\nI will add a link to the PR once it is submitted."}, {"assistant": "Hello. Any update on this? "}, {"assistant": "I believe that @msaligane has done something like this in his OpenFASoC designs?"}, {"assistant": "@kareefardi we are currently working on this with @minghungumich and @Colin-Holehouse \n\nHowever, we have enabled 2 voltage domains in our sensors, ldo using custom scripts to workaround issues. \n\nThe issue you filed doesn't provide me with the whole picture of what you are trying to do. Can you please help me understand or provide a testcase of what you're trying to do?"}]} +{"num": 1509, "messages": [{"user": "Global routing has some strange code which measures the time taken to execute a chunk of code, and then makes decisions based on it:\r\n\r\n```\r\nconst clock_t t1 = clock();\r\n```\r\nDo a bunch of stuff...\r\n```\r\n const clock_t t2 = clock();\r\n const float gen_brk_Time = (float) (t2 - t1) / CLOCKS_PER_SEC;\r\n```\r\n...\r\n```\r\n if (gen_brk_Time < 60) {\r\n ripupTH3D = 15;\r\n } else if (gen_brk_Time < 120) {\r\n ripupTH3D = 18;\r\n } else {\r\n ripupTH3D = 20;\r\n }\r\n```\r\n\r\nVery strange, especially since the execution time is not normalized. What if my CPU is 10x faster, or compiler optimisations speed the code up 10x?"}, {"assistant": "Yuck - let's just drop that and use 20 (checking CI of course). Even that is pretty arbitrary but at least is isn't crazy."}, {"assistant": "This might be the source of the non determinism we've been seeing in Google blocks."}, {"user": "PR https://github.com/The-OpenROAD-Project/OpenROAD/pull/1513 created to fix this."}, {"assistant": "PR with the fix merged."}]} +{"num": 1512, "messages": [{"user": "I'm having issues routing out of a macro. There is lots of room around the macro:\r\n\r\n![dcache](https://user-images.githubusercontent.com/2029971/148151542-da9ad042-20a5-4ba4-9254-7c6c5d1fba94.png)\r\n\r\nHowever some of the macro pins are accessed from within the macro (I assume planar access to the pin, if I understand the terminology). Looking at part of the post routed def below, the middle pin is not being accessed at the bottom edge of the macro, but we drop a via all the way from met5 to met2 within the macro:\r\n\r\n![planar_access](https://user-images.githubusercontent.com/2029971/148151990-f2062aee-85d5-4589-aa96-905219e84158.png)\r\n\r\nThere are obstructions in the macro LEF at every level, apart from the pins. I do notice some of the pins extend well within the macro, perhaps contributing to the issue (in green, pin 9 and 10 from the right, on the bottom of the macro):\r\n\r\n![pins](https://user-images.githubusercontent.com/2029971/148153094-0280f19b-962c-49c4-8418-e6fb8a11db0f.png)\r\n\r\nThe LEF backs this up. The bad pins extend a long way into the macro:\r\n\r\n```\r\n PIN Di0[53]\r\n DIRECTION INPUT ;\r\n USE SIGNAL ;\r\n PORT\r\n LAYER met2 ;\r\n RECT 939.480 0.000 939.620 110.470 ;\r\n END\r\n END Di0[53]\r\n PIN Di0[54]\r\n DIRECTION INPUT ;\r\n USE SIGNAL ;\r\n PORT\r\n LAYER met2 ;\r\n RECT 956.960 0.000 957.100 62.170 ;\r\n END\r\n END Di0[54]\r\n```\r\n\r\nAnd the next pin along, just a little:\r\n\r\n```\r\n PIN Di0[55]\r\n DIRECTION INPUT ;\r\n USE SIGNAL ;\r\n PORT\r\n LAYER met2 ;\r\n RECT 974.440 0.000 974.580 2.450 ;\r\n END\r\n END Di0[55]\r\n```\r\n\r\nEven so, it seems bad that detailed routing is dropping a via through many obstructed layers.\r\n\r\nWorking on packaging up a test case."}, {"user": "The macro was created by magic's `lef write -hide` which creates an abstract LEF, with only pins and obstructions."}, {"user": "I hand modified the macro LEF so that the pins are all the same short length and the issues went away. I had to rerun global routing because detailed routing complained about pin access (I guess the original pin was so long it must cross grid cells, and global routing chose the end inside the macro).\r\n\r\nWhat to make of this? It seems like magic isn't helping us, but should global and detailed routing be a bit more intelligent about choosing where to connect to a pin?\r\n\r\n\r\n"}, {"user": "Test case: [macro-pin-access.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7814186/macro-pin-access.tar.gz)\r\n"}, {"assistant": "I appreciate the pictures but it is also helpful to have instance/pin names to know exactly what we are talking about. "}, {"assistant": "What were your global route settings to reproduce your guide file? "}, {"user": "This adds the global route step to the above tarball: [macro-pin-access-grt.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7818642/macro-pin-access-grt.tar.gz)\r\n\r\nI'll find some of the offending pin names."}, {"assistant": "I think this is the general problem in grt that it doesn't know about pin access. It is just picking the middle of the shape as the access point and since it is so large a shape drt doesn't look far enough out to find a detour.\r\n\r\nWe have some work to move pin access earlier in the flow so that it's results are available to grt. I think that will solve this issue once it lands (hopefully soon but it needs a bunch of testing).\r\n@eder-matheus FYI"}, {"assistant": "I can test my theory once I have your grt setup."}, {"user": "Thanks @maliberty that makes sense. In parallel I will look at why magic is creating such large pins (it seems to merge the rest of the metal on the layer into the pin).\r\n\r\nIf I use the ORFS scripts to convert the def to lef I get small pins. This also gives me a workaround for now."}, {"assistant": "another possible workaround is macro pin extension though I haven't tried it."}, {"assistant": "@eder-matheus I ran the grt provided and with \"draw_route_guides {soc0.processor.icache_0._014_\\[53\\]}\" I see the guide does go down through a fully blocked m3 layer. That is surprising to me. Would you look at that? I know we don't do via congestion but even so we have to consider that a via requires a shape and there has to be less than 100% blockage."}, {"assistant": "@eder-matheus interestingly adding pin_access doesn't help\r\n\r\n\"set_macro_extension 2\" does work as a workaround to get a better guide."}, {"assistant": "> @eder-matheus interestingly adding pin_access doesn't help\r\n> \r\n> \"set_macro_extension 2\" does work as a workaround to get a better guide.\r\n\r\nIt is possible that the pin_access didn't help due to the bug that I've fixed this week. I've tried the design with the latest master branch and the guide going through the m3 layer is not there anymore. Here is the comparison:\r\n\r\nPrevious global route:\r\n\"Screen\r\n\r\nGlobal route + pin access:\r\n\"Screen\r\n\r\nEven so, the final detailed route ends with 32 DRCs. I didn't look at them yet, but at least the net you've mentioned before seems to be working with the pin_access cmd.\r\n"}, {"assistant": "Great - I'm glad PA fixes the known issue. Are you looking at the 32 drcs?"}, {"assistant": "@maliberty @antonblanchard Sorry for the delay on it. I've run the design again with the latest OpenROAD (where we have the update for the macro obstructions) and with the pin_access cmd before the global route. Below is a brief summary of the state of this design:\r\n\r\n- GRT ends with congestion. Here is the final congestion report:\r\n![Screen Shot 2022-02-02 at 14 56 41](https://user-images.githubusercontent.com/43450810/152222664-69c9da00-0061-4622-bf2b-3b80364cf558.png)\r\nAnd here is the congestion map:\r\n\"Screen\r\nNote that even when I remove the layer adjustments, GRT ends with congestion.\r\n\r\n- DRT ends with 25 violations, all of them near macros. Here is a figure of DRC viewer:\r\n![image](https://user-images.githubusercontent.com/43450810/152223009-de012743-f2b0-40df-b395-7b88e36b4e44.png)\r\n\r\nAs you can see, all the metal spacing violations happen between a net and the VDD, and we also have 9 short violations with the VSS. The remaining 12 violations are shorts with the macro obstructions."}, {"assistant": "Here is the tarball with the final routing and the DRC report. You can load it with the script `load_final_dr.tcl`.\r\n[1512_final_dr.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7989557/1512_final_dr.tar.gz)\r\n\r\n"}, {"assistant": "@eder-matheus is your tar with layer adjustments on or off?"}, {"assistant": "> @eder-matheus is your tar with layer adjustments on or off?\r\n\r\nAdjustments on."}, {"user": "I was trying to reproduce the DRC issues, using a recent checkout (0192cb7a083e) and I hit this:\r\n\r\n```\r\n[INFO DRT-0195] Start 5th optimization iteration.\r\n Completing 10% with 1774 violations.\r\n elapsed time = 00:00:00, memory = 24062.58 (MB).\r\n Completing 20% with 1774 violations.\r\n elapsed time = 00:00:00, memory = 24062.58 (MB).\r\n Completing 30% with 1432 violations.\r\n elapsed time = 00:00:25, memory = 24062.58 (MB).\r\n Completing 40% with 1432 violations.\r\n elapsed time = 00:00:26, memory = 24062.58 (MB).\r\n Completing 50% with 1193 violations.\r\n elapsed time = 00:00:39, memory = 24062.58 (MB).\r\n Completing 60% with 1193 violations.\r\n elapsed time = 00:00:39, memory = 24062.58 (MB).\r\n Completing 70% with 1193 violations.\r\n elapsed time = 00:00:40, memory = 24062.58 (MB).\r\n Completing 80% with 962 violations.\r\n elapsed time = 00:00:48, memory = 24062.58 (MB).\r\n Completing 90% with 962 violations.\r\n elapsed time = 00:00:49, memory = 24062.58 (MB).\r\n Completing 100% with 612 violations.\r\n elapsed time = 00:01:08, memory = 24062.58 (MB).\r\nError: soc0.processor.icache_0._014_\\[28\\] 1 pin not visited #guides = 37\r\nError: checkConnectivity break, net soc0.processor.icache_0._014_\\[28\\]\r\nObjs not visited:\r\nfrPathSeg: begin (1569290 274210 ) end ( 1569290 277950 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (1597350 192610 ) end ( 1601490 192610 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (1601490 183940 ) end ( 1601490 192610 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (1569290 274210 ) end ( 1597350 274210 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (1597350 192610 ) end ( 1597350 274210 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2094380 205700 ) end ( 2094380 219300 ) layerNum 10\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2094380 202300 ) end ( 2094380 205700 ) layerNum 12\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2094380 202300 ) end ( 2099900 202300 ) layerNum 12\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2099900 200260 ) end ( 2099900 202300 ) layerNum 10\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2166140 183940 ) end ( 2166140 200260 ) layerNum 10\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2116690 200260 ) end ( 2116690 222700 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2116690 219300 ) end ( 2116690 222700 ) layerNum 12\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2116690 200940 ) end ( 2117150 200940 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2117150 200260 ) end ( 2117150 200940 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2117150 200260 ) end ( 2117610 200260 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2099900 200260 ) end ( 2116690 200260 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2094380 219300 ) end ( 2116690 219300 ) layerNum 12\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (1601490 183940 ) end ( 2166140 183940 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (2117610 200260 ) end ( 2166140 200260 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrVia: at ( 1569290 277950 )\r\nVIA DEF:\r\nVIA L1M1_PR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nfrVia: at ( 1569290 277950 )\r\nVIA DEF:\r\nVIA M1M2_PR DEFAULT\r\n RECT -160 -130 160 130\r\n RECT -75 -75 75 75\r\n RECT -130 -160 130 160\r\nfrVia: at ( 1569290 274210 )\r\nVIA DEF:\r\nVIA M1M2_PR DEFAULT\r\n RECT -160 -130 160 130\r\n RECT -75 -75 75 75\r\n RECT -130 -160 130 160\r\nfrVia: at ( 1597350 192610 )\r\nVIA DEF:\r\nVIA M1M2_PR DEFAULT\r\n RECT -160 -130 160 130\r\n RECT -75 -75 75 75\r\n RECT -130 -160 130 160\r\nfrVia: at ( 1601490 192610 )\r\nVIA DEF:\r\nVIA M1M2_PR DEFAULT\r\n RECT -160 -130 160 130\r\n RECT -75 -75 75 75\r\n RECT -130 -160 130 160\r\nfrVia: at ( 1601490 183940 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 1597350 274210 )\r\nVIA DEF:\r\nVIA M1M2_PR DEFAULT\r\n RECT -160 -130 160 130\r\n RECT -75 -75 75 75\r\n RECT -130 -160 130 160\r\nfrVia: at ( 2166140 183940 )\r\nVIA DEF:\r\nVIA M3M4_PR DEFAULT\r\n RECT -190 -160 190 160\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 2094380 219300 )\r\nVIA DEF:\r\nVIA M4M5_PR DEFAULT\r\n RECT -590 -590 590 590\r\n RECT -400 -400 400 400\r\n RECT -710 -710 710 710\r\nfrVia: at ( 2094380 205700 )\r\nVIA DEF:\r\nVIA M4M5_PR DEFAULT\r\n RECT -590 -590 590 590\r\n RECT -400 -400 400 400\r\n RECT -710 -710 710 710\r\nfrVia: at ( 2099900 202300 )\r\nVIA DEF:\r\nVIA M4M5_PR DEFAULT\r\n RECT -590 -590 590 590\r\n RECT -400 -400 400 400\r\n RECT -710 -710 710 710\r\nfrVia: at ( 2099900 200260 )\r\nVIA DEF:\r\nVIA M3M4_PR DEFAULT\r\n RECT -190 -160 190 160\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 2166140 200260 )\r\nVIA DEF:\r\nVIA M3M4_PR DEFAULT\r\n RECT -190 -160 190 160\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 2116690 200260 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 2116690 222700 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 2116690 222700 )\r\nVIA DEF:\r\nVIA M3M4_PR DEFAULT\r\n RECT -190 -160 190 160\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 2116690 222700 )\r\nVIA DEF:\r\nVIA M4M5_PR DEFAULT\r\n RECT -590 -590 590 590\r\n RECT -400 -400 400 400\r\n RECT -710 -710 710 710\r\nfrVia: at ( 2117610 200260 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nINSTTERM: (INST/CELL/TERM/NET) _44171_ sky130_fd_sc_hd__mux2i_4 Y soc0.processor.icache_0._014_\\[28\\]\r\nSegmentation fault (core dumped)\r\nStream error: Input/Output error\r\n```"}, {"assistant": "@eder-matheus would you provide the router inputs so I can see what's happening in pa.\r\n\r\n@antonblanchard would you provide a testcase tgz for your crash"}, {"assistant": "> @eder-matheus would you provide the router inputs so I can see what's happening in pa.\r\n> \r\n> @antonblanchard would you provide a testcase tgz for your crash\r\n\r\nHere they are. Just untar them into the directory.\r\n[router_inputs.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7990200/router_inputs.tar.gz)"}, {"user": "@maliberty I just reran the original test case above to hit that fail:\r\n\r\n```\r\nwget https://github.com/The-OpenROAD-Project/OpenROAD/files/7814186/macro-pin-access.tar.gz\r\nwget https://github.com/The-OpenROAD-Project/OpenROAD/files/7818642/macro-pin-access-grt.tar.gz\r\ntar xzf macro-pin-access.tar.gz\r\ntar xzf macro-pin-access-grt.tar.gz\r\ncd macro-pin-access\r\nopenroad -exit global_route.tcl\r\nopenroad -exit detail_route.tcl\r\n```"}, {"assistant": "FYI https://github.com/The-OpenROAD-Project/OpenROAD/pull/1630 is part of the fix though another PR will be needed for the rest."}, {"assistant": "@antonblanchard with https://github.com/The-OpenROAD-Project/OpenROAD/pull/1637 I think this issue should be resolved. Please test and update or close."}, {"user": "Thanks @eder-matheus and @maliberty. I'm not seeing any issues now."}]} +{"num": 1514, "messages": [{"user": "Running the same report_checks gives different results after calling another report_checks between them:\r\n\r\n```report_checks .... -through mprj/wrapped_quad_pwm_fet_drivers_5``` >>> ```-0.69 clock reconvergence pessimism```\r\n```report_checks .... -through mprj/wrapped_quad_pwm_fet_drivers_5/_3655_``` >>> ```-0.69 clock reconvergence pessimism```\r\n```report_checks .... -through mprj/wrapped_quad_pwm_fet_drivers_5``` >>> ```0.00 clock reconvergence pessimism```\r\n\r\nTest case: \r\nhttps://videogamo.com/tmp/asic/sta_crpr_issue.zip\r\n\r\nrunning `sta sta_script.tcl` you can see the different cprp results \r\n"}, {"assistant": "The variable $::env(PDK_ROOT) is not set in the script and the pdk data is not in the test case."}, {"assistant": "@mbalestrini it is best to use https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/using_or_issue.md to package the test case."}, {"user": "Didn't know about or_issue.py\r\nI'll use it and upload the result test case\r\n"}, {"user": "I think this or_issue.py script is oriented to an openlane flow error. This error came up running a custom sta script with the results from different flows (caravel, user_project_wrapper), and doesn't use .def files\r\nI made a new zip that includes the pdk files needed: https://videogamo.com/tmp/asic/sta_crpr_issue_with_pdk.zip\r\n\r\n\r\n"}, {"assistant": "This is really an opensta issue and has nothing to do with openroad.\r\nFixed by\r\ne6d5e2d7d Merge pull request #1527 from jjcherry56/sta_report_thru"}]} +{"num": 1518, "messages": [{"user": "I'm getting a short near a macro where there is loads of free routing space nearby:\r\n\r\n![short-under-macro](https://user-images.githubusercontent.com/2029971/148363019-4f874990-45cc-4f3d-a562-8c33a27bb1e6.png)\r\n\r\nI think the problem is that the macro has a slightly smaller obstruction on met1 vs all other layers. The route guide for `_012772_` looks like this (I added the macro obstructions to this picture too):\r\n\r\n![_012772_](https://user-images.githubusercontent.com/2029971/148363377-1a2f0deb-84e7-4948-a817-61390caf2c21.png)\r\n\r\nand `net153` looks like:\r\n\r\n![net153](https://user-images.githubusercontent.com/2029971/148364056-41eb2d6b-a1b0-41be-bded-7d32ef6f4e41.png)\r\n\r\nSo the guides for both nets are in a region that has only `met1` unobstructed, hence the short.\r\n\r\nIt seems we should penalise global routing cells that have obstructed layers (and very heavily penalise those that only have one layer)."}, {"user": "Test case: [grt-track-under-obstruction.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7821047/grt-track-under-obstruction.tar.gz)\r\n"}, {"assistant": "We have seen something similar with chameleon. This area under the shelf of m2 allows for no errors in track assignment as there is no way to uncross wires. In that case we worked around it with macro extension.\r\n\r\nWe already remove capacity for blockages so I don't think we need to penalize extra for that. We do need to penalize for cases where you are restricted to planar routing due to a blockage above. Note that initial routing is done in a 2d plane so there is no notion of blockage above.\r\n\r\n@eder-matheus I think we should look at reducing the capacity on the 1st routing layer if the 2nd routing layer is obstructed. We would have to carry that through 3d routing as well since there are available m5 tracks here."}, {"assistant": "@antonblanchard if you want to tackle this yourself and give a PR you are welcome to. It will probably be a bit before we can get it fixed (Eder is out next week)."}, {"user": "@maliberty Thanks, I'm still trying to dig myself out of some other bugs right now. Will update the issue if I do get some time."}, {"assistant": "> We have seen something similar with chameleon. This area under the shelf of m2 allows for no errors in track assignment as there is no way to uncross wires. In that case we worked around it with macro extension.\r\n> \r\n> We already remove capacity for blockages so I don't think we need to penalize extra for that. We do need to penalize for cases where you are restricted to planar routing due to a blockage above. Note that initial routing is done in a 2d plane so there is no notion of blockage above.\r\n> \r\n> @eder-matheus I think we should look at reducing the capacity on the 1st routing layer if the 2nd routing layer is obstructed. We would have to carry that through 3d routing as well since there are available m5 tracks here.\r\n\r\n@maliberty Should this be applied only in the case of stacked routing obstructions (like in macros)? Just to make sure, the idea is to \"extend\" the 1st routing layer blockage so it is aligned with the 2nd layer blockage? In this way, we would avoid these areas where the detailed router cannot detour."}, {"assistant": "@eder-matheus yes extend the blockage either literally or by removing all capacity from the m1 gcells that are blocked above completely ."}, {"assistant": "@maliberty @antonblanchard I've implemented an initial version of the fix for this issue, and the guides for the net mentioned above (net `_012772`) looks like this after my fix:\r\n![image](https://user-images.githubusercontent.com/43450810/151622241-94c8d12d-9586-4a4f-966c-189a9d4cedb8.png)"}, {"user": "Much better. Thank you @eder-matheus!"}]} +{"num": 1528, "messages": [{"user": "I have this small TCL script and I would like to directly script the jpeg or png generation to get the figure with the highlighted nets\r\n \r\nfor {set i 0} {$i < 10} {incr i} {\r\n select -type Net -name clknet_$i* -highlight $i\r\n}\r\n"}, {"assistant": "See https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/save_images.tcl for examples"}, {"assistant": "Were you able to get what you wanted?"}, {"assistant": "@iruhm \r\nPlease refer latest OpenROAD gui features. Still you need any help on this?"}]} +{"num": 1530, "messages": [{"user": "```\r\n/build/source/src/TritonRoute/src/frBaseTypes.h:331:20: error: 'segment' in namespace 'boost::geometry::model' does not name a template type\r\n 331 | typedef bg::model::segment segment_t;\r\n | ^~~~~~~\r\n```\r\n\r\nSee also https://github.com/The-OpenROAD-Project/OpenROAD/issues/866\r\n\r\nThe latest boost version known to work is 1.69, the earliest version reported not to work is 1.75.\r\n\r\nPlease fix compilation with newer boost versions. If you do not plan on doing this anytime soon, then please pin down the dependency so that it already fails during configuration."}, {"assistant": "@piegamesde \r\nnew boost version required is 1.76\r\n```\r\n./etc/DependencyInstaller.sh -help\r\n\r\nUsage: ./etc/DependencyInstaller.sh -run[time] # installs dependencies to run a pre-compiled binary\r\n ./etc/DependencyInstaller.sh -dev[elopment] # installs dependencies to compile the openroad binary\r\n```"}, {"assistant": "Platform integrators complain about pinned versions"}, {"assistant": "We may want to throw an error on known bad versions of boost or bundling a known good version."}, {"user": "> Platform integrators complain about pinned versions\r\n\r\nBad wording on my side. I meant specifying the supported version range in the build system. It is better to have an error message early on that says \"you are using a version we don't support\" than getting a random compile error and trying to figure out its cause. But I see that this can be rather difficult, especially if the dependency does not follow SemVer.\r\n\r\n-----\r\n\r\nI tried building latest master, with little success. I had to configure boost for static compilation and set `-Wno-error` to get somewhere at all. Now it fails after a few minutes without apparent error message. These are the last log lines:\r\n\r\n```\r\n[ 29%] Linking CXX static library liblefin.a\r\ncd /build/source/build/src/odb/src/lefin && /nix/store/10cjb32rn1rsjhbqxbxa77s4s1p62gbc-cmake-3.22.1/bin/cmake -P CMakeFiles/lefin.dir/cmake_clean_target.cmake\r\ncd /build/source/build/src/odb/src/lefin && /nix/store/10cjb32rn1rsjhbqxbxa77s4s1p62gbc-cmake-3.22.1/bin/cmake -E cmake_link_script CMakeFiles/lefin.dir/link.txt --verbose=1\r\n/nix/store/cdm6zywd51mbabxhklsixwcskv4n70s3-binutils-2.35.2/bin/ar qc liblefin.a CMakeFiles/lefin.dir/lefin.cpp.o CMakeFiles/lefin.dir/reader.cpp.o CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerMinStepParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCornerSpacingParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerSpacingTablePrlParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerRightWayOnGridOnlyParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerRectOnlyParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutClassParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutSpacingTableParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerCutEnclosureParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerTypeParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerEolExtensionParser.cpp.o CMakeFiles/lefin.dir/lefTechLayerEolKeepOutRuleParser.cpp.o\r\n/nix/store/cdm6zywd51mbabxhklsixwcskv4n70s3-binutils-2.35.2/bin/ranlib liblefin.a\r\nmake[2]: Leaving directory '/build/source/build'\r\n[ 29%] Built target lefin\r\nmake[1]: Leaving directory '/build/source/build'\r\nmake: *** [Makefile:139: all] Error 2\r\nbuilder for '/nix/store/kfy59bg9v293v7kbcznyq6isa292vskx-OpenROAD.drv' failed with exit code 2\r\n```"}, {"assistant": "We don't test with each and every boost version so its hard to know what is supported beyond the one we do test with. Usually some #ifdef can solve it if you dig a bit. Unfortunately boost changes their APIs from time to time."}, {"assistant": "Closing due to lack of activity. Please open a new issue in case the problem is still relevant and occurring with the latest commit.\r\n\r\nNote that we test with boost 1.76, and is known to work with boost up to 1.80."}]} +{"num": 1535, "messages": [{"user": "I tried importing the sky130 PDK with separate `openroad -tech` and `openroad -library` commands, but it failed because the tech LEF has a `SITE` statement, and odb assumes it can only appear in a library LEF. The spec suggests it is allowed in both tech and library LEF.\r\n\r\nNot a high priority, since ORFS and Openlane create a merged LEF and load it in one go.\r\n\r\n"}, {"assistant": "@osamahammad21 not urgent as Anton notes but good for a background task."}, {"assistant": "@maliberty In the current model, the site ownership belongs to dbLib. Should it be moved to dbTech? "}, {"assistant": "@antonblanchard what did you mean by \"openroad -tech\" and \"openroad -library\"? The openroad executable does not take those command line options? In general ORFS does load in two steps:\r\n\r\n read_lef $::env(TECH_LEF)\r\n read_lef $::env(SC_LEF)"}, {"assistant": "@maliberty I think he means read_lef -tech/-lib"}, {"assistant": "@antonblanchard if you do\r\n```\r\nread_lef -tech -library sky130_fd_sc_hd.tlef\r\nread_lef -library sky130_fd_sc_hd_merged.lef\r\n```\r\nit works as does:\r\n```\r\nread_lef sky130_fd_sc_hd.tlef\r\nread_lef sky130_fd_sc_hd_merged.lef\r\n```\r\nonly \r\n```\r\nread_lef -tech sky130_fd_sc_hd.tlef\r\nread_lef -library sky130_fd_sc_hd_merged.lef\r\n```\r\nfails. In ORFS we don't use either -tech or -library and I don't really think they are very useful. I'd rather just deprecate them unless you see a use case where it matters."}, {"user": "> In ORFS we don't use either -tech or -library and I don't really think they are very useful. I'd rather just deprecate them unless you see a use case where it matters.\r\n\r\n(Going through some of my older bugs). I agree with deprecating the options, I don't see much point in them."}, {"assistant": "@maliberty \r\nWith `read_lef` I'm not getting any switch for `-tech` or `-library`. Can we close this as resolved?"}, {"assistant": "I see the switches still exist in the code so I'm not sure what \"I'm not getting any switch\" means."}]} +{"num": 1549, "messages": [{"user": "We are starting to use OpenSTA fairly successfully, reading about 210K lines of verilog with about 514 standard cell types, with delay models using rise and fall resistances and pin capacitances for each.\r\n\r\nWe are trying to run gate-level simulations, with sdf annotation, written using OpenSTA's write_sdf command, and it is seemingly working successfully, except that about 6% of the IOPATH lines in the SDF have the (IOPATH A Z (0.00::0.00)) format, and then simulate as zero delay. The real issue is that none of our cells have resistance and intrinsic delays which can result in 0.00, the intrinsic delays are all 0.1, and all the resistances are positive > 0 and all the capacitances are positive > 0. Further proof of a programming issue is that\r\na frequently used CELLTYPE, such as SC_AND2_100_60 will sometimes have valid SDF delays like this:\r\n\r\n(CELL\r\n (CELLTYPE \"SC_AND2_100_60\")\r\n (INSTANCE MI1373/MI1493/X43758)\r\n (DELAY\r\n (ABSOLUTE\r\n (IOPATH A1 Z (3.51::3.51) (3.09::3.09))\r\n (IOPATH A2 Z (3.51::3.51) (3.09::3.09))\r\n )\r\n )\r\n)\r\n\r\nbut then many other instances will have SDF delays like this:\r\n\r\n(CELL\r\n (CELLTYPE \"SC_AND2_100_60\")\r\n (INSTANCE MI1373/MI1490/X46078)\r\n (DELAY\r\n (ABSOLUTE\r\n (IOPATH A1 Z (0.00::0.00))\r\n (IOPATH A2 Z (0.00::0.00))\r\n )\r\n )\r\n)\r\n\r\nWhen the liberty file entry for this cell type looks like this:\r\ncell ( SC_AND2_100_60 ) {\r\n area : 1 ;\r\n auxillary_pad_cell : false ;\r\n bus_name_style : \"%s[%d]\" ;\r\n dont_touch : true ;\r\n dont_use : true ;\r\n interface_timing : true ;\r\n pad_cell : false ;\r\n pin ( A1 ) {\r\n direction : input ;\r\n capacitance: 2.032 ;\r\n }\r\n pin ( A2 ) {\r\n direction : input ;\r\n capacitance: 2.032 ;\r\n }\r\n pin ( Z ) {\r\n direction : output ;\r\n function : A1 & A2 ;\r\n timing () {\r\n intrinsic_rise : 0.1 ;\r\n intrinsic_fall : 0.1 ;\r\n rise_resistance: 1.68 ;\r\n fall_resistance: 1.86666666667 ;\r\n related_pin : A1 ;\r\n }\r\n timing () {\r\n intrinsic_rise : 0.1 ;\r\n intrinsic_fall : 0.1 ;\r\n rise_resistance: 1.68 ;\r\n fall_resistance: 1.86666666667 ;\r\n related_pin : A2 ;\r\n }\r\n }\r\n}\r\n\r\nThis is admittedly a detailed issue, but having 6% of the SDF delay values clearly wrong at 0.00 is hard to cope with, and so I am wondering if this is a known bug, or if there is a version with this fixed? If not, I am happy to provide more data to help debug the issue.\r\n\r\nMark Vancura"}, {"assistant": "@tspyrou please answer or forward as necessary"}, {"user": "Not sure how this got closed by me, I am new to all the buttons here, I must have pushed one I didnt realize."}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenSTA/blob/master/doc/OpenSTA.pdf is a pointer to the manual.\r\nThere are a few commands that can help figure out why those delays are zero on the sta graph.\r\nreport_dcalc\r\nreport_disabled_edges\r\nThose commands are a good start. Does your SDC have any constants set that would block propagation of delays?\r\n"}, {"assistant": "@Mark-at-Apex Forgot to tag you in my previous comment."}, {"user": "Thanks Tom, there is virtually no SDC in force at least as we start the write_sdf command, we are \"learning\" the circuti, and don't know yet how to apply the SDC, plus it is a very asynchronous circuit. But I can look at report_calc for sure. I wonder too if there are \"automatic\" ways that timing arcs are getting disabled"}, {"user": "The results from report_dcalc look like this:\r\n% help report_dcalc\r\nreport_dcalc [-from from_pin] [-to to_pin] [-corner corner_name] [-min] [-max]\r\n [-digits digits]\r\n% report_dcalc -from MI1373/MI1370/X105613/A -to MI1373/MI1370/X105613/ZN -digits 2 -max\r\nLibrary: NXP7105_first_liberty\r\nCell: SC_INV_100_60\r\nArc sense: negative_unate\r\nArc type: combinational\r\nA ^ -> ZN v\r\nDelay = 0.10 + 1.87 * 3.29 = 6.24\r\n.............................................\r\n\r\nA v -> ZN ^\r\nDelay = 0.10 + 1.68 * 3.29 = 5.62\r\n.............................................\r\n\r\nWhile the sdf looks like this:\r\n (CELL\r\n (CELLTYPE \"SC_INV_100_60\")\r\n (INSTANCE MI1373/MI1370/X105613)\r\n (DELAY\r\n (ABSOLUTE\r\n (IOPATH A ZN (0.00::0.00))\r\n )\r\n )\r\n )\r\n\r\nHowever, the report_disabled_edges shows 14386 lines, and one of them is:\r\nMI1373/MI1370/X105613 A ZN constant A=1\r\n\r\nAnd thus the 0.00 delay above makes sense, thanks, I believe I can move forward with this. I will have to look at\r\nthe documentation to understand the constant, loop, and positive_unate and negative_unate reports. But this all happened just from read_verilog, current_design, link_design, so I didn't expect all that analysis already. Thanks!\r\n\r\n\r\n"}, {"assistant": "@Mark-at-Apex did you mean to close this?"}, {"assistant": "@Mark-at-Apex sorry I see you meant to close it. Re-open if you have more questions."}, {"user": "Yes, I think you explained it enough for me to move forward, as I said, I need to understand the loop and constant reports more but that is just documenation I need to read. Sorry, I didn't realize this analysis happened as part of write_sdf\r\n"}, {"user": "Tom,\r\nI am trying to actually identify the loops. I do see that the timing arc with the 0.00 delay is the arc which has been disabled to break the loop. But OpenSTA.pdf on pages 31-32 has the report_disable_edges command, and it then references a report_disable_timing command, which unfortunately when I try it, only gives me:\r\n\r\n% report_disable_timing\r\ninvalid command name \"report_disable_timing\"\r\n\r\nAnd if I look at the help output, I don't find a report_disable_timing command.\r\n\r\nI have created some tcl procs, that allow me to probe nets and probe instances as follows:\r\n\r\nConsider one loop arc from report_disabled_edges:\r\nMI102/X108826 A1 ZN loop\r\n\r\nIt is consistent with the SDF 0.00 delay feature:\r\n\r\n (CELL\r\n (CELLTYPE \"SC_NOR2B2_90_25\")\r\n (INSTANCE MI102/X108826)\r\n (DELAY\r\n (ABSOLUTE\r\n (IOPATH A1 ZN (0.00::0.00))\r\n (IOPATH A2N ZN (16.93::16.93) (20.30::20.30))\r\n )\r\n )\r\n )\r\n\r\nand I can see how it is connected with my probe inst command:\r\n% probe inst MI102/X108953/ZN\r\ncmd=\r\nSC_NOR2_90_30 MI102/X108953 (\r\n\t.A1\t( X106072_ZN ),\r\n\t.ZN\t( MI102/X108953_ZN ),\r\n\t.A2\t( MI102/X108828_ZN ),\r\n\t.VS\t( MI102/VS ),\r\n\t.GS\t( GND ),\r\n\t);\r\n\r\nand I can trace the nets, with my probe net (tcl proc) as follows:\r\n% probe net MI102/X108953_ZN\r\nfound MI102/X108953_ZN\r\nnetName = MI102/X108953_ZN\r\ncmd=\r\nwire MI102/X108953_ZN:\r\n\t> MI102/X108953/ZN\tMI102/X108953_ZN\r\n\t> MI102/X108828/A2\tMI102/X108953_ZN\r\n\r\nBut I have not been able to identify a \"LOOP\" with the A1->ZN arc of the X108826 instance in it.\r\n\r\nSo at this point I am wondering if there are some loop reporting capabilities I have not yet recognized?\r\n\r\nThanks for any help you can offer here.\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"user": "sorry, I cut and pasted the wrong outputs above, it should have been:\r\n\r\n% probe inst MI102/X108826\r\ncmd=\r\nSC_NOR2B2_90_25 MI102/X108826 (\r\n\t.A1\t( X106072_ZN ),\r\n\t.ZN\t( MI102/X108826_ZN ),\r\n\t.A2N\t( MI102/X108828_ZN ),\r\n\t.VS\t( MI102/VS ),\r\n\t.GS\t( GND ),\r\n\t);\r\n\r\nand\r\n% probe net MI102/X108826_ZN\r\nfound MI102/X108826_ZN\r\nnetName = MI102/X108826_ZN\r\ncmd=\r\nwire MI102/X108826_ZN:\r\n\t> MI102/X109441/A\tMI102/X108826_ZN\r\n\t> MI102/X108956/A2\tMI102/X108826_ZN\r\n\t> MI102/X108826/ZN\tMI102/X108826_ZN\r\n\r\n"}, {"assistant": "Its report_disabled_edges"}, {"user": "yah, that report only shows one arc in the loop, which matches the one in the SDF with 0.00 delay, but it doesn't help me find the rest of the arcs in the loop. I am trying to identify combinational latches which I may want to replace with cells that have better sequential timing arcs."}, {"assistant": "I don't know of a tool for that but there are some developer debugs in sta that might help. \"sta::set_debug loop 2\" will report on loop breaking in the timer (set before running sta). "}, {"user": "That seemed to be quite helpful, but I would alert you to the following issue I cannot explain:\r\n\r\nIf I start in this way:\r\n% sta::set_debug loop 2\r\n% read_liberty NXP7105_liberty.lib\r\n\r\n % source read_veri.tcl\r\n\r\nand then do \r\n% source write_sdf.tcl > write_sdf.set_debug.log\r\n\r\nThen I do get a big log:\r\n 1 loop: Loop\r\n 2 loop: MI1373/MI1370/X30703A/Q -> MI1373/MI1370/X54375/A1\r\n 3 loop: MI1373/MI1370/X54375/A1 -> MI1373/MI1370/X54375/ZN\r\n 4 loop: MI1373/MI1370/X54375/ZN -> MI1373/MI1370/X30703A/CP\r\n 5 loop: MI1373/MI1370/X30703A/CP -> MI1373/MI1370/X30703A/Q\r\n 6 loop: Loop\r\n 7 loop: MI1373/MI1370/X18339/ZN -> MI1373/MI1370/X18339/B1N\r\n 8 loop: MI1373/MI1370/X18339/B1N -> MI1373/MI1370/X18339/ZN\r\n 9 loop: Loop\r\n 10 loop: MI1373/MI1370/X38281/ZN -> MI1373/MI1370/X71960/A1\r\n 11 loop: MI1373/MI1370/X71960/A1 -> MI1373/MI1370/X71960/ZN\r\n 12 loop: MI1373/MI1370/X71960/ZN -> MI1373/MI1370/X78723/A1\r\n 13 loop: MI1373/MI1370/X78723/A1 -> MI1373/MI1370/X78723/ZN\r\n 14 loop: MI1373/MI1370/X78723/ZN -> MI1373/MI1370/X38281/A1\r\n 15 loop: MI1373/MI1370/X38281/A1 -> MI1373/MI1370/X38281/ZN\r\n 16 loop: Loop\r\n 17 loop: MI1373/MI1370/X32955/Z -> MI1373/MI1370/X32955/A2\r\n 18 loop: MI1373/MI1370/X32955/A2 -> MI1373/MI1370/X32955/Z\r\n 19 loop: Loop\r\n 20 loop: MI1373/MI1370/X17482/ZN -> MI1373/MI1370/X17482/B1N\r\n 21 loop: MI1373/MI1370/X17482/B1N -> MI1373/MI1370/X17482/ZN\r\n...\r\n2933633 loop: Loop\r\n2933634 loop: MI1373/MI1370/X36996/ZN -> MI1373/MI1370/X68299/A2\r\n2933635 loop: MI1373/MI1370/X68299/A2 -> MI1373/MI1370/X68299/ZN\r\n2933636 loop: MI1373/MI1370/X68299/ZN -> MI1373/MI1370/X36996/B\r\n2933637 loop: MI1373/MI1370/X36996/B -> MI1373/MI1370/X36996/ZN\r\n2933638 loop: Loop\r\n2933639 loop: MI1373/MI1370/X35924/Z -> MI1373/MI1370/X35924/A2\r\n2933640 loop: MI1373/MI1370/X35924/A2 -> MI1373/MI1370/X35924/Z\r\n2933641 loop: Loop\r\n2933642 loop: MI1373/MI1370/X35924/Z -> MI1373/MI1370/X36115/A1\r\n2933643 loop: MI1373/MI1370/X36115/A1 -> MI1373/MI1370/X36115/Z\r\n2933644 loop: MI1373/MI1370/X36115/Z -> MI1373/MI1370/X35924/B\r\n2933645 loop: MI1373/MI1370/X35924/B -> MI1373/MI1370/X35924/Z\r\n\r\nBut if I compare the (IOPATH A1 ZN (0.00::0.00)) lines in the SDF with the loops above,\r\nthen I find that 12737 of the SDF 0.00 delay pins can be matched with pins found in the loop report above.\r\n\r\nHowever, I also find that 1009 of the SDF 0.00 delay pins do not match any of the pins in the loop report above.matches \r\nlook like this for my analysis:\r\n###################################\r\nshortInst = X108826)\r\n(INSTANCE MI102/X108826) (IOPATH A1 ZN (0.00::0.00))\r\ninpin = A1\r\ninst2 = X108826.A1\r\nloop: MI1373/MI1370/X106072/ZN -> MI102/X108826/A1\r\nloop: MI102/X108826/A1 -> MI102/X108826/ZN\r\nmatch = 1\r\nto \r\n###################################\r\nshortInst = X3635)\r\n(INSTANCE MI95/X3380_X3635) (IOPATH B2 ZN (0.00::0.00)) (INSTANCE MI95/X3635) (IOPATH B2 ZN (0.00::0.00))\r\ninpin = B2\r\ninst2 = X3635.B2\r\nloop: MI95/X3380_X3653/Q -> MI95/X3380_X3635/B2\r\nloop: MI95/X3380_X3635/B2 -> MI95/X3380_X3635/ZN\r\nloop: MI95/X3653/Q -> MI95/X3635/B2\r\nloop: MI95/X3635/B2 -> MI95/X3635/ZN\r\nmatch = 12736\r\ninpin = B2\r\ninst2 = X3635.B2\r\nloop: MI95/X3380_X3653/Q -> MI95/X3380_X3635/B2\r\nloop: MI95/X3380_X3635/B2 -> MI95/X3380_X3635/ZN\r\nloop: MI95/X3653/Q -> MI95/X3635/B2\r\nloop: MI95/X3635/B2 -> MI95/X3635/ZN\r\nmatch = 12737\r\n\r\nwhile the non-matching SDF 0.00 lines look like this:\r\n###################################\r\nshortInst = X108824)\r\n(INSTANCE MI102/X108824) (IOPATH A1 ZN (0.00::0.00))\r\ninpin = A1\r\ninst2 = X108824.A1\r\nnomatch = 1\r\n\r\nto\r\nshortInst = X3650)\r\n(INSTANCE MI95/X3380_X3650) (IOPATH A ZN (0.00::0.00)) (INSTANCE MI95/X3650) (IOPATH A ZN (0.00::0.00))\r\ninpin = A\r\ninst2 = X3650.A\r\nnomatch = 1008\r\ninpin = A\r\ninst2 = X3650.A\r\nnomatch = 1009\r\n\r\nSO to me it seems that about 1/12 of the Zero-delay SDF lines are flawed, in that there is no loop reported that they are\r\npart of. I have not determined if it is the loop report or the SDF which is the mistaken report, but I hope you can see they\r\ndisagree. I am happy to share data if desired to debug this further.\r\n\r\nThanks again for the sta::set_debug loop 2 command!\r\n\r\nMark Vancura\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "You could have zero delay for another reason (eg constant propagation). Unless you see something that you specifically are concerned about I don't feel the need to look further."}, {"user": "Ok, earlier above I learned how to use the resport_disabled_edges command to identify the constant pins, and I added that to my analysis, and for my design, there are 12725 0.00 delays in SDF which can also be found in the loop report. And there are 956 0.00 delays in the SDF which can also be found in the constand report, and there are no other 0.00 SDF delays, which don't fall into one of those two categories, so yes, I am satisfied. Thanks again for the details needed to determine this all made sense."}]} +{"num": 1550, "messages": [{"user": "re: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/commit/9da2d0659588f37dfea7771b7de6d623b486b050\r\n\r\n@antonblanchard this has broken the ORFS test sky130 hd chameleon_hier. Do you have time to look into it? The router fails with \r\n\r\n[ERROR DRT-0073] No ap for ibex_core/HADDR[10].\r\n\r\nI guess the \"USEMINSPACING OBS OFF\" makes the pin inaccessible."}, {"user": "@vvbandeira FYI"}, {"assistant": "I can have a go. sky130hd chameleon_hier dies in detailed placement with my setup, so I need to sort that out first:\r\n```\r\n[ERROR DPL-0018] detailed placement failed on RAM.genblk1\\[0\\].RAM.\r\n```"}, {"assistant": "@maliberty the issue is we only have minimum clearance around the pins:\r\n![bad_pin](https://user-images.githubusercontent.com/2029971/149495132-59293607-a9aa-4d51-a16b-f88ed011b191.png)\r\n\r\nCreating a wide spacing clearance fixes it:\r\n\r\n![good_pin](https://user-images.githubusercontent.com/2029971/149495223-a1499edc-f5d8-4215-8b7c-c475aec3d8e4.png)\r\n\r\nWhich I guess is expected since the blockage around it is very wide. It does mean that pretty much every pin needs wide spacing clearance.\r\n\r\nOne option is to back this patch out of ORFS, and continue to work on the `write_abstract_lef` patch."}, {"user": "I think backing it out is best (would you make a PR to do so?). I pointed out the same issue was likely with your other PR due to the min spacing assumption. "}, {"assistant": "With latest commit not facing any issue with chameleon_hier and flow completes successfully. "}]} +{"num": 1555, "messages": [{"user": "```\r\nOpenROAD v2.0-2678-gf8f3d6bb3 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: ./in.def\r\n[INFO ODB-0128] Design: spm\r\n[INFO ODB-0130] Created 38 pins.\r\n[INFO ODB-0131] Created 1389 components and 7408 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 5352 connections.\r\n[INFO ODB-0133] Created 425 nets and 2056 connections.\r\n[INFO ODB-0134] Finished DEF file: ./in.def\r\n[ERROR DPL-0019] detailed placement failed on _527_\r\n```\r\n\r\n[reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7875887/reproducible.tar.gz)\r\n\r\nThis is likely something wrong with how we're handling diode strategies in OpenLane.\r\n"}, {"assistant": "What are you trying to do here? You seem to have placed antenna cells everywhere and are re-running placement with CELL_PAD='4' which means tons of spacing is required around each antenna cell. This seems like a methodology issue rather than a placement issue."}, {"assistant": "The antennas cells are highlighted:\r\n![image](https://user-images.githubusercontent.com/761514/149644019-2ae69a89-d71a-4ceb-af4f-2f46531cc9f5.png)\r\n"}, {"user": "Ah, gotcha. I'm just figuring out why diode insertion strats 2, 5 were failing- didn't write those."}]} +{"num": 1563, "messages": [{"user": "We should integrate Google's AI Macro Placer into OpenROAD. https://github.com/google-research/circuit_training"}, {"assistant": "I suggest starting with a loose integration into either ORFS or OL. @QuantamHD is this something you want to tackle?"}, {"user": "Do we have any macro heavy designs?"}, {"assistant": "bp_* and swerv* have the most of the public test cases."}, {"assistant": "Hi,\r\n@maliberty and @QuantamHD:\r\nAny progresses after almost a year?"}, {"assistant": "No, we have been working on RTL-MP as our macro placement solution (in src/mpl2). You are welcome to pick up the loose coupling if you are interested."}, {"assistant": "@maliberty Is this github issue moot?"}]} +{"num": 1576, "messages": [{"user": "I had to catch the NULL in the list of nets to avoid a script error. \r\nIs this behaviour of get_nets intended or a bug?\r\n\r\nThis is the script part that I added to flow.tcl to get a robust clock net routing:\r\n\r\n# Global routing\r\ncreate_ndr -name mult -spacing { *2 } -width {met1:met4 *3}\r\nset nets [get_nets -of_objects [get_fanout -from [get_full_name [sta::get_clocks *]]] -quiet]\r\nforeach net $nets {\r\n if {![string equal $net NULL]} {\r\n assign_ndr -ndr mult -net [get_full_name $net]\r\n }\r\n}\r\n\r\n"}, {"assistant": "Please provide a test case"}, {"user": "[run_all_aes_sky130hd_base_2022-01-23_21-53.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7922886/run_all_aes_sky130hd_base_2022-01-23_21-53.tar.gz)\r\nget_nets command is part of run_all_aes_sky130hd_base_2022-01-23_21-53/scripts/pre_global_route.tcl\r\nwithout the comments the layout is generated with the custom clock tree routing rule"}, {"assistant": "This is the expected behavior. Imagine the simple case where you perform a get_nets -of_object [get_pins inst1/pin1]. If inst1/pin1 is disconnected you would expect to get an empty collection back. Similarly, you might expect the size of the $nets list to equal the size of the list returned from get_fanouts and use this to search for unconnected instance pins. \r\n\r\nThis is a corner case and I think reasonable people could disagree and expect no empty members in the return collection. It is a design decision and needs to be consistent which opensta is."}]} +{"num": 1577, "messages": [{"user": "While chasing an LVS issue in a design, it looks like detailed routing created a short on met1 without reporting it as a violation:\r\n\r\n```\r\n Completing 100% with 0 violations.\r\n elapsed time = 00:00:00, memory = 25517.50 (MB).\r\n[INFO DRT-0199] Number of violations = 0.\r\n```\r\n\r\nBut there is a short between nets `net718` and `_017753_` on `met1`. This is a screenshot of just met1:\r\n\r\n![drt-short](https://user-images.githubusercontent.com/2029971/150668775-ed10b56b-6e38-442a-a249-8b6b6ff958b8.png)\r\n\r\nCoordinates: `1448.994`, `167.121`"}, {"user": "Testcase: [drt-drc-bug.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7920019/drt-drc-bug.tar.gz)\r\n"}, {"assistant": "Working on it"}, {"user": "Thanks @osamahammad21! Any clues here? "}, {"assistant": "There is a lot of discussion going on. The basic issue is that the short falls right on the boundary between two workers and there is some conflict over ownership of the shapes and markers. It is getting attention."}, {"user": "Thanks @maliberty, that gives me a possible workaround (running with `ROUTING_CORES=1`)"}, {"assistant": "Its not such the number of threads as it is the boundary condition. I don't think it will help but you can try."}, {"assistant": "Do we need to run convolutions over the routing grid, or is there a more efficient solution here? "}, {"assistant": "There's just a bug that needs fixing"}, {"assistant": "Changing the number of threads won't change a thing. I have a local change that solves this violation. But the real problem I see here is that 0 violations doesn't actually mean 0 violations. This is because of the nature of GC being run on separate workers not on the whole design, so it's affected by what the drWorker initializes it with."}, {"assistant": "@antonblanchard The pr is merged into master, so the issue is fixed now."}]} +{"num": 1582, "messages": [{"user": "As the title says,\r\n```\r\nget_cells -filter ref_name==\r\n```\r\nwhile this doesn't.\r\n```\r\nget_cells -filter ref_name== -of_objects \r\n```\r\nA quick look at the source code and seems like the -filter handler may not be properly scoped (unless that is intentional, then it should reflect in the documentation)\r\n\r\nThanks."}, {"assistant": "This is a bug, the filter should be respected. "}, {"assistant": "fixed"}]} +{"num": 1584, "messages": [{"user": "I am getting a below error while synthesizing the opensource RISCV using OpenRoad tools during the floorplan. I have used freepdk45 for my work. Can someone guide me how to resolve this error ?\r\n\r\nOpenROAD 1 v2.0-880-gd1c7001ad\r\nError: sc_floorplan.tcl, 101 PPL-0024\r\nfloorplan/0/floorplan.log:[ERROR PPL-0024] Number of pins 1521 exceeds max possible 1247."}, {"assistant": "You have more pins than can fit around the perimeter of your design. You need either fewer pins, less space between pins, or a larger die area."}, {"user": "Thank you for the solution. It worked. "}]} +{"num": 1585, "messages": [{"user": "After checking out `master` and running `./build_openroad.sh --local`, I get several errors when building odb:\r\n\r\n```\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp: In member function \u2018void LefDefParser::defrData::defInfo(int, const char*)\u2019:\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:1028:19: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1028 | printf(\"WARNING(DEFPARS-8500): Unable to open the file defRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1029 | getcwd(NULL, 64));\r\n | ~~~~~~~~~~~~~~~~~\r\nIn file included from /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:49:\r\n/usr/include/unistd.h:520:14: note: in a call to function \u2018char* getcwd(char*, size_t)\u2019 declared with attribute \u2018access (write_only, 1, 2)\u2019\r\n 520 | extern char *getcwd (char *__buf, size_t __size) __THROW __wur\r\n | ^~~~~~\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:1039:19: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1039 | printf(\"WARNING (DEFPARS-8500): Unable to open the file defRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1040 | getcwd(NULL, 64));\r\n | ~~~~~~~~~~~~~~~~~\r\nIn file included from /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:49:\r\n/usr/include/unistd.h:520:14: note: in a call to function \u2018char* getcwd(char*, size_t)\u2019 declared with attribute \u2018access (write_only, 1, 2)\u2019\r\n 520 | extern char *getcwd (char *__buf, size_t __size) __THROW __wur\r\n | ^~~~~~\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp: In member function \u2018void LefDefParser::defrData::defWarning(int, const char*)\u2019:\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:1083:19: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1083 | printf(\"WARNING (DEFPARS-7500): Unable to open the file defRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1084 | getcwd(NULL, 64));\r\n | ~~~~~~~~~~~~~~~~~\r\nIn file included from /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:49:\r\n/usr/include/unistd.h:520:14: note: in a call to function \u2018char* getcwd(char*, size_t)\u2019 declared with attribute \u2018access (write_only, 1, 2)\u2019\r\n 520 | extern char *getcwd (char *__buf, size_t __size) __THROW __wur\r\n | ^~~~~~\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:1094:19: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1094 | printf(\"WARNING (DEFAPRS-7501): Unable to open the file defRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1095 | getcwd(NULL, 64));\r\n | ~~~~~~~~~~~~~~~~~\r\nIn file included from /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:49:\r\n/usr/include/unistd.h:520:14: note: in a call to function \u2018char* getcwd(char*, size_t)\u2019 declared with attribute \u2018access (write_only, 1, 2)\u2019\r\n 520 | extern char *getcwd (char *__buf, size_t __size) __THROW __wur\r\n | ^~~~~~\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp: In member function \u2018void LefDefParser::defrData::pathIsDone(int, int, int, int*)\u2019:\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def/def_keywords.cpp:1347:13: error: \u2018void free(void*)\u2019 called on pointer \u2018\u2019 with nonzero offset 632 [-Werror=free-nonheap-object]\r\n 1347 | free((char*) &PathObj);\r\n | ~~~~^~~~~~~~~~~~~~~~~~\r\nIn function \u2018void LefDefParser::lefWarning(int, const char*)\u2019,\r\n inlined from \u2018void LefDefParser::lefWarning(int, const char*)\u2019 at /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1158:1:\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1208:23: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1208 | printf(\"WARNING (LEFPARS-2500): Unable to open the file lefRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1209 | getcwd(NULL, 64));\r\n | ~~~~~~~~~~~~~~~~~\r\nIn file included from /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:45:\r\n/usr/include/unistd.h: In function \u2018void LefDefParser::lefWarning(int, const char*)\u2019:\r\n/usr/include/unistd.h:520:14: note: in a call to function \u2018char* getcwd(char*, size_t)\u2019 declared with attribute \u2018access (write_only, 1, 2)\u2019\r\n 520 | extern char *getcwd (char *__buf, size_t __size) __THROW __wur\r\n | ^~~~~~\r\nIn function \u2018void LefDefParser::lefWarning(int, const char*)\u2019,\r\n inlined from \u2018void LefDefParser::lefWarning(int, const char*)\u2019 at /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1158:1:\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1219:23: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1219 | printf(\"WARNING (LEFPARS-2501): Unable to open the file lefRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1220 | getcwd(NULL, 64));\r\n | ~~~~~~~~~~~~~~~~~\r\nIn file included from /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:45:\r\n/usr/include/unistd.h: In function \u2018void LefDefParser::lefWarning(int, const char*)\u2019:\r\n/usr/include/unistd.h:520:14: note: in a call to function \u2018char* getcwd(char*, size_t)\u2019 declared with attribute \u2018access (write_only, 1, 2)\u2019\r\n 520 | extern char *getcwd (char *__buf, size_t __size) __THROW __wur\r\n | ^~~~~~\r\nIn function \u2018void LefDefParser::lefInfo(int, const char*)\u2019,\r\n inlined from \u2018void LefDefParser::lefInfo(int, const char*)\u2019 at /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1087:1:\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1132:23: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1132 | printf(\"WARNING (LEFPARS-3500): Unable to open the file lefRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1133 | getcwd(NULL, 64));\r\n | ~~~~~~~~~~~~~~~~~\r\nIn file included from /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:45:\r\n/usr/include/unistd.h: In function \u2018void LefDefParser::lefInfo(int, const char*)\u2019:\r\n/usr/include/unistd.h:520:14: note: in a call to function \u2018char* getcwd(char*, size_t)\u2019 declared with attribute \u2018access (write_only, 1, 2)\u2019\r\n 520 | extern char *getcwd (char *__buf, size_t __size) __THROW __wur\r\n | ^~~~~~\r\nIn function \u2018void LefDefParser::lefInfo(int, const char*)\u2019,\r\n inlined from \u2018void LefDefParser::lefInfo(int, const char*)\u2019 at /home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1087:1:\r\n/home/oguz286/Software/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1143:23: error: argument 1 is null but the corresponding size argument 2 value is 64 [-Werror=nonnull]\r\n 1143 | printf(\"WARNING (LEFPARS-3500): Unable to open the file lefRWarning.log in %s.\\n\",\r\n | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n 1144 | getcwd(NULL, 64));\r\n\r\n```\r\n\r\nI am using GCC version 11.1.0 (and clang 13.0.0 if that is used)."}, {"assistant": "@vijayank88 can you test this?"}, {"assistant": "Can you try building with ALLOW_WARNINGS=ON"}, {"user": "@maliberty I assume like this `ALLOW_WARNINGS=ON ./build_openroad.sh --local`?\r\n\r\nI get the exact same error when running the above in a freshly cloned repo."}, {"assistant": "You would need ./build_openroad.sh --local --openroad-args ALLOW_WARNINGS=ON"}, {"user": "That gives me the following:\r\n\r\n```\r\n\u276f ./build_openroad.sh --local --openroad-args ALLOW_WARNINGS=ON\r\n[INFO FLW-0002] Updating git submodules.\r\n[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install unless overwritten.\r\n[INFO FLW-0017] Compiling Yosys.\r\nmake: Entering directory '/home/oguz286/Software/OpenROAD-flow-scripts/tools/yosys'\r\nmkdir -p /home/oguz286/Software/OpenROAD-flow-scripts/tools/install/yosys/bin\r\ncp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc /home/oguz286/Software/OpenROAD-flow-scripts/tools/install/yosys/bin\r\nstrip -S /home/oguz286/Software/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys\r\nstrip /home/oguz286/Software/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-abc\r\nstrip /home/oguz286/Software/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-filterlib\r\nmkdir -p /home/oguz286/Software/OpenROAD-flow-scripts/tools/install/yosys/share/yosys\r\ncp -r share/. /home/oguz286/Software/OpenROAD-flow-scripts/tools/install/yosys/share/yosys/.\r\nmake: Leaving directory '/home/oguz286/Software/OpenROAD-flow-scripts/tools/yosys'\r\n[INFO FLW-0018] Compiling OpenROAD.\r\nCMake Error: The source directory \"/home/oguz286/Software/OpenROAD-flow-scripts/ALLOW_WARNINGS=ON\" does not exist.\r\nSpecify --help for usage, or press the help button on the CMake GUI.\r\n```"}, {"assistant": "Perhaps\r\n ./build_openroad.sh --local --openroad-args \"-D ALLOW_WARNINGS=ON\""}, {"user": "That did the trick! I can now try the GUI mode that you demonstrated :)"}, {"assistant": "Great. The plan is to swap so that is the default outside of CI but at least you have a solution for now."}]} +{"num": 1597, "messages": [{"user": "I notice quite a lot of met1 wire stubs on sky130hd designs. It appears when we are just connecting through met1:\r\n\r\n![stub2](https://user-images.githubusercontent.com/2029971/151679175-a632fcc1-328b-428f-8b46-9bc90e3077e7.png)\r\n\r\nSo I thought it might be a design rule (like a minimum area rule). But it also appears at the end of longer wires, but not always:\r\n\r\n![stub1](https://user-images.githubusercontent.com/2029971/151679189-2e9f6b44-3583-413d-9f26-0c2d6add4676.png)\r\n\r\nIs this expected? This makes me wonder if it isn't a design rule, but something in drt. I can package something up, but it does show up on the sky130hd aes test in ORFS. "}, {"assistant": "is that a separate shape or part of the via?"}, {"user": "I think it's a separate shape (not sure how to be sure). I see the L1M1 and M1M2 vias and the associated met1 rectangles. The stub is just a rectangle of met1 with a net name.\r\n![stub3](https://user-images.githubusercontent.com/2029971/151683851-d7b13447-527f-41b0-848c-b756f2981527.png)\r\n\r\nLEF and DEF files:\r\n[merged_spacing.lef.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7965157/merged_spacing.lef.gz)\r\n[6_final.def.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7965158/6_final.def.gz)\r\n\r\nI'm looking at `423.075, 425.780`, net `_05536_`"}, {"assistant": "That case is a stacked via and it doesn't meet min area without a patch metal on met1 so I don't see a problem at that coordinate."}, {"user": "@maliberty Thanks. One last question at `423.755, 469.300`, net `us30.a[6]`. Here met1 extends in 3 directions from the stacked via. Shouldn't need a stub there should we?\r\n\r\n![stub4](https://user-images.githubusercontent.com/2029971/151690684-9ae631cc-7f51-4201-8f64-3fb9802dcc8c.png)\r\n"}, {"assistant": "That one is more interesting. There is a stack via there as well as met1 segments. My guess is that it routed the stacked via first and patched it. Then it added the other segments later and didn't remove the patch. Could you package a test that produces this DEF?\r\n\r\nIts not strictly a problem to have the extra patches though in some case it might lead to suboptimal routing if the patch blocks another wire."}, {"user": "Here's a packaged up test. I switched to the sky130hd jpeg test because it was smaller. `656.51500, 783.80000` net `_029496_`.\r\n\r\n[stub-issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/7974230/stub-issue.tar.gz)\r\n"}, {"assistant": "Thanks, smaller is always better."}, {"assistant": "It is not clear to me whether this is a real problem and is expected to be solved or if @antonblanchard is simple curious to know why this happens.\r\n\r\nThis behavior is expected, due to what @maliberty said: a patch is placed to solve a min area viol, then, another wire is connected to the shape, so the patch is not required anymore, but the router doesn't remove it.\r\n"}, {"user": "@Stephanommg I've been having routing issues with some of my designs, and looking for all areas that could be improved. Seems like there might be a small degradation in met1 routing capacity due to this issue. Not a high priority, but I would think it is worth fixing."}, {"assistant": "@antonblanchard ok, I am currently investigating the best way to proceed with a solution."}, {"assistant": "@tspyrou flagged this as a higher priority but it sounds like it isn't. Your other two issues seem more important."}, {"assistant": "Seems like there could be a patch cleanup step after routing which removes patches if the minArea constraints are otherwise satisfied. If it comes after the end of DR then it saves wirelength but doesn't improve routing. If it comes between DR iterations, it would free up routing but possibly cause instability; I'm not sure."}, {"assistant": "@rovinski My first idea was to clean the patches after each net is routed, but this way we would have 2 code logic, one for creating and other for removing, while we can just create the necessary patches after the net is routed. So I have chosen this approach."}, {"assistant": "@Stephanommg I recall Banqi saying that they tried adding patches after routing, but there are circumstances where the route doesn't allow any patches without creating a violation. So I think the first approach might work better."}, {"assistant": "@rovinski I am not sure if you understood correctly. I am not adding the patches after the entire routing, but immediately after net net is routed. Currently it adds patches after each path search of a given net, now I'm adding after all path searches of this net."}, {"assistant": "Consider the following:\r\n![image](https://user-images.githubusercontent.com/3936698/153171376-17f29e9a-12bd-410a-91a1-b1acda26c85c.png)\r\nDR doesn't do patch metal until after all routes.\r\nIt connects pin 1 with M2 and a via and pin 2 in M1. Pin 2 is fine for metal area, but pin 1 is not. Pin 1 cannot have a patch added either because it is blocked by the route to pin 2.\r\n\r\nI don't know how often this comes up, but that's the reason I remember for patch metal being added first."}, {"assistant": "> Consider the following: ![image](https://user-images.githubusercontent.com/3936698/153171376-17f29e9a-12bd-410a-91a1-b1acda26c85c.png) DR doesn't do patch metal until after all routes. It connects pin 1 with M2 and a via and pin 2 in M1. Pin 2 is fine for metal area, but pin 1 is not. Pin 1 cannot have a patch added either because it is blocked by the route to pin 2.\r\n> \r\n> I don't know how often this comes up, but that's the reason I remember for patch metal being added first.\r\n\r\nAFAIK, the pin shape is guaranteed to have the minimum area met."}, {"assistant": "Well if you run into DRC problems you might want to look at this / related problems."}, {"assistant": "@antonblanchard the solution is on master branch. In the end I tested with other designs and forgot to test with this one, so I await for your confirmation that it really solved the problem for this design. Also, the feature is by default turned off since it increased runtime. You need to activate it using the -clean_patches flag in the tcl with the router parameters."}]} +{"num": 1603, "messages": [{"user": "I am following [the documentation](https://openroad.readthedocs.io/en/latest/main/src/rcx/doc/calibration.html) regarding the calibration of OpenRCX. I used Cadence Innovus to extract the \"golden SPEF\". The following step, `generate_rules.tcl`, crashes at `bench_read_spef $golden_spef`, with following stack trace:\r\n\r\n```\r\nStack trace:\r\n 0# 0x0000000000FD1FE9 in openroad\r\n 1# 0x00007F0EE922E400 in /lib64/libc.so.6\r\n 2# odb::dbChip::getBlock() in openroad\r\n 3# rcx::extMain::setBlockFromChip() in openroad\r\n 4# rcx::Ext::read_spef(rcx::Ext::ReadSpefOpts&) in openroad\r\n 5# 0x00000000017009B9 in openroad\r\n 6# 0x00007F0EEC1C1EB2 in /lib64/libtcl8.5.so\r\n 7# 0x00007F0EEC20636C in /lib64/libtcl8.5.so\r\n 8# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n 9# 0x00007F0EEC1C1EB2 in /lib64/libtcl8.5.so\r\n10# 0x00007F0EEC20636C in /lib64/libtcl8.5.so\r\n11# 0x00007F0EEC20E647 in /lib64/libtcl8.5.so\r\n12# TclEvalObjEx in /lib64/libtcl8.5.so\r\n13# 0x00007F0EEC24927F in /lib64/libtcl8.5.so\r\n14# 0x00007F0EEC1C1EB2 in /lib64/libtcl8.5.so\r\n15# 0x00007F0EEC20636C in /lib64/libtcl8.5.so\r\n16# 0x00007F0EEC20E647 in /lib64/libtcl8.5.so\r\n17# TclEvalObjEx in /lib64/libtcl8.5.so\r\n18# 0x00007F0EEC1C91D0 in /lib64/libtcl8.5.so\r\n19# 0x00007F0EEC1C1EB2 in /lib64/libtcl8.5.so\r\n20# 0x00007F0EEC20636C in /lib64/libtcl8.5.so\r\n21# 0x00007F0EEC20E647 in /lib64/libtcl8.5.so\r\n22# TclEvalObjEx in /lib64/libtcl8.5.so\r\n23# 0x00007F0EEC1CCF00 in /lib64/libtcl8.5.so\r\n24# 0x00007F0EEC1C1EB2 in /lib64/libtcl8.5.so\r\n25# 0x00007F0EEC20636C in /lib64/libtcl8.5.so\r\n26# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n27# 0x00007F0EEC1C1EB2 in /lib64/libtcl8.5.so\r\n28# 0x00007F0EEC20636C in /lib64/libtcl8.5.so\r\n29# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n30# 0x00007F0EEC1C1EB2 in /lib64/libtcl8.5.so\r\n31# 0x00007F0EEC1C2F1E in /lib64/libtcl8.5.so\r\n32# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n33# Tcl_Eval in /lib64/libtcl8.5.so\r\n34# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n35# ord::tclAppInit(Tcl_Interp*) in openroad\r\n36# Tcl_Main in /lib64/libtcl8.5.so\r\n37# main in openroad\r\n38# __libc_start_main in /lib64/libc.so.6\r\n39# 0x0000000000FCE8B7 in openroad\r\n```\r\n\r\nI've tried passing the golden SPEF using an absolute path too.\r\n\r\nIn fact, it just crashed on me when I just had `bench_read_spec` in the prompt and pressed tab again LOL:\r\n```\r\n$ openroad \r\nOpenROAD 3eafa6da2942ec22d27e2208c99c7a449af34fbf \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nreadwarning: `//.tclsh-history' is not writable.\r\nopenroad> bench_\r\nbench_read_spef bench_verilog bench_wires \r\nopenroad> bench_read_spef Stack trace:\r\n 0# 0x0000000000FD1FE9 in openroad\r\n 1# 0x00007FE95A18B400 in /lib64/libc.so.6\r\n 2# odb::dbChip::getBlock() in openroad\r\n 3# rcx::extMain::setBlockFromChip() in openroad\r\n 4# rcx::Ext::read_spef(rcx::Ext::ReadSpefOpts&) in openroad\r\n 5# 0x00000000017009B9 in openroad\r\n 6# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n 7# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n 8# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n 9# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n10# 0x00007FE95D11FF1E in /lib64/libtcl8.5.so\r\n11# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n12# TclEvalObjEx in /lib64/libtcl8.5.so\r\n13# 0x00007FE95D1269FB in /lib64/libtcl8.5.so\r\n14# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n15# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n16# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n17# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n18# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n19# 0x00007FE95D16B647 in /lib64/libtcl8.5.so\r\n20# TclEvalObjEx in /lib64/libtcl8.5.so\r\n21# 0x00007FE95D129F00 in /lib64/libtcl8.5.so\r\n22# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n23# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n24# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n25# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n26# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n27# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n28# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n29# 0x00007FE95D11FF1E in /lib64/libtcl8.5.so\r\n30# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n31# Tcl_Eval in /lib64/libtcl8.5.so\r\n32# Tcl_VarEvalVA in /lib64/libtcl8.5.so\r\n33# Tcl_VarEval in /lib64/libtcl8.5.so\r\n34# 0x00007FE95CCCB90D in /lib64/libtclreadline-2.1.0.so\r\n35# 0x00007FE95C6826F9 in /lib64/libreadline.so.6\r\n36# rl_complete_internal in /lib64/libreadline.so.6\r\n37# _rl_dispatch_subseq in /lib64/libreadline.so.6\r\n38# readline_internal_char in /lib64/libreadline.so.6\r\n39# rl_callback_read_char in /lib64/libreadline.so.6\r\n40# 0x00007FE95D1CDB97 in /lib64/libtcl8.5.so\r\n41# Tcl_ServiceEvent in /lib64/libtcl8.5.so\r\n42# Tcl_DoOneEvent in /lib64/libtcl8.5.so\r\n43# 0x00007FE95CCCB22A in /lib64/libtclreadline-2.1.0.so\r\n44# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n45# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n46# 0x00007FE95D16B647 in /lib64/libtcl8.5.so\r\n47# TclEvalObjEx in /lib64/libtcl8.5.so\r\n48# 0x00007FE95D1261D0 in /lib64/libtcl8.5.so\r\n49# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n50# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n51# 0x00007FE95D16B647 in /lib64/libtcl8.5.so\r\n52# TclEvalObjEx in /lib64/libtcl8.5.so\r\n53# 0x00007FE95D1A627F in /lib64/libtcl8.5.so\r\n54# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n55# 0x00007FE95D16336C in /lib64/libtcl8.5.so\r\n56# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n57# 0x00007FE95D11EEB2 in /lib64/libtcl8.5.so\r\n58# 0x00007FE95D11FF1E in /lib64/libtcl8.5.so\r\n59# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n60# Tcl_Eval in /lib64/libtcl8.5.so\r\n61# ord::tclAppInit(Tcl_Interp*) in openroad\r\n62# Tcl_Main in /lib64/libtcl8.5.so\r\n63# main in openroad\r\n64# __libc_start_main in /lib64/libc.so.6\r\n65# 0x0000000000FCE8B7 in openroad\r\n```\r\n\r\nSorry, forgot to add some info:\r\n- I'm running the OpenROAD that is included with the newest commit of OpenLane\r\n- on a Debian Bullseye\r\n- the golden SPEF is around 50MB and looks alright to me on first glance"}, {"assistant": "I don't see that when I try it:\r\n\r\nopenroad> bench_read_spef \r\n[ERROR STA-0407] bench_read_spef requires one positional argument.\r\nSTA-0407\r\n\r\nit makes suspicious of your build. In your other crash did you read_def first? See https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/rcx/calibration/script/generate_rules.tcl"}, {"user": "What you did (typing `bench_read_spef` and then pressing enter) doesn't cause a crash for me either. Typing `bench_read_spef` and then pressing tab twice for tab-completion crashes for me. Or using `bench_read_spef` to read my golden SPEF.\r\n\r\nI've also just reproduced it, on a system that had never run OpenLane before:\r\n```\r\n$ cd /tmp/\r\n$ git clone git@github.com:The-OpenROAD-Project/OpenLane.git\r\n$ cd OpenLane/\r\n$ make mount\r\nOpenLane Container (aac68a0):/openlane$ openroad \r\nOpenROAD 3eafa6da2942ec22d27e2208c99c7a449af34fbf \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nwarning: `//.tclsh-history' is not writable.\r\nopenroad> bench_read_spef Stack trace:\r\n 0# 0x0000000000FD1FE9 in openroad\r\n 1# 0x00007F223EDBF400 in /lib64/libc.so.6\r\n 2# odb::dbChip::getBlock() in openroad\r\n 3# rcx::extMain::setBlockFromChip() in openroad\r\n 4# rcx::Ext::read_spef(rcx::Ext::ReadSpefOpts&) in openroad\r\n 5# 0x00000000017009B9 in openroad\r\n 6# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n 7# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n 8# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n 9# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n10# 0x00007F2241D53F1E in /lib64/libtcl8.5.so\r\n11# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n12# TclEvalObjEx in /lib64/libtcl8.5.so\r\n13# 0x00007F2241D5A9FB in /lib64/libtcl8.5.so\r\n14# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n15# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n16# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n17# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n18# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n19# 0x00007F2241D9F647 in /lib64/libtcl8.5.so\r\n20# TclEvalObjEx in /lib64/libtcl8.5.so\r\n21# 0x00007F2241D5DF00 in /lib64/libtcl8.5.so\r\n22# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n23# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n24# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n25# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n26# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n27# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n28# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n29# 0x00007F2241D53F1E in /lib64/libtcl8.5.so\r\n30# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n31# Tcl_Eval in /lib64/libtcl8.5.so\r\n32# Tcl_VarEvalVA in /lib64/libtcl8.5.so\r\n33# Tcl_VarEval in /lib64/libtcl8.5.so\r\n34# 0x00007F22418FF90D in /lib64/libtclreadline-2.1.0.so\r\n35# 0x00007F22412B66F9 in /lib64/libreadline.so.6\r\n36# rl_complete_internal in /lib64/libreadline.so.6\r\n37# _rl_dispatch_subseq in /lib64/libreadline.so.6\r\n38# readline_internal_char in /lib64/libreadline.so.6\r\n39# rl_callback_read_char in /lib64/libreadline.so.6\r\n40# 0x00007F2241E01B97 in /lib64/libtcl8.5.so\r\n41# Tcl_ServiceEvent in /lib64/libtcl8.5.so\r\n42# Tcl_DoOneEvent in /lib64/libtcl8.5.so\r\n43# 0x00007F22418FF22A in /lib64/libtclreadline-2.1.0.so\r\n44# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n45# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n46# 0x00007F2241D9F647 in /lib64/libtcl8.5.so\r\n47# TclEvalObjEx in /lib64/libtcl8.5.so\r\n48# 0x00007F2241D5A1D0 in /lib64/libtcl8.5.so\r\n49# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n50# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n51# 0x00007F2241D9F647 in /lib64/libtcl8.5.so\r\n52# TclEvalObjEx in /lib64/libtcl8.5.so\r\n53# 0x00007F2241DDA27F in /lib64/libtcl8.5.so\r\n54# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n55# 0x00007F2241D9736C in /lib64/libtcl8.5.so\r\n56# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n57# 0x00007F2241D52EB2 in /lib64/libtcl8.5.so\r\n58# 0x00007F2241D53F1E in /lib64/libtcl8.5.so\r\n59# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n60# Tcl_Eval in /lib64/libtcl8.5.so\r\n61# ord::tclAppInit(Tcl_Interp*) in openroad\r\n62# Tcl_Main in /lib64/libtcl8.5.so\r\n63# main in openroad\r\n64# __libc_start_main in /lib64/libc.so.6\r\n65# 0x0000000000FCE8B7 in openroad\r\n```\r\n(this time I've added `` where I pressed tab for auto-completion)\r\n\r\nAlso yes, I've tried running the full `generate_rules.tcl` script before.\r\n\r\nEdit: confirmed on a third independent system running Mint. You can also just run `bench_read_spef foo` to get a similar stack trace. Doesn't matter whether \"foo\" points to a valid SPEF or doesn't exist at all. Also the container version for reference: `efabless/openlane:2022.02.01_02.19.58`."}, {"assistant": "It is a readline issue and I reproduced it and will fix that. However I suspect the real problem is you haven't loaded a design."}, {"assistant": "Tab completion fixed with https://github.com/The-OpenROAD-Project/OpenROAD/pull/1605"}, {"assistant": "The underlying problem is that tcl readline tries the command with '---' which it accepted as the filename. Since no design is loaded you get the crash. It now gives an error message instead."}, {"user": "Hmm. Do you mean \"design loaded\" as in \"prep -design foo\"? That sounds kind of wrong, the OpenRCX calibration is a one-time PDK-level operation that should not require any design to be loaded, if I understand it correctly. Anyway, thanks for being so quick to prepare a fix, I'll try it tomorrow."}, {"assistant": "If you look at the link I provided above you'll see it is reading in the patterns.def. You have to have something to annotate spef against - it isn't a netlist by itself."}, {"user": "So you're not talking about `prep -design foo`, but `load_lef` and friends. Got it. As I said I ran the whole script before, including those commands. I just tried again with a build containing your fix and even though I run the whole script - including `read_lef $TECH_LEF` and `read_def EXT/patterns.def`, I still get the `[ERROR RCX-0497] No design is loaded.` output. Here's the full log:\r\n```\r\nroot@cea0f0aded01:~/OpenROAD/src/rcx/calibration/work# ./openroad ../script/generate_rules.tcl \r\nOpenROAD v2.0-2865-ge714d4a41 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ../data/xxxxx_tech.lef\r\n[INFO ODB-0223] Created xxx technology layers\r\n[INFO ODB-0224] Created xxx technology vias\r\n[INFO ODB-0226] Finished LEF file: ../data/xxxxx_tech.lef\r\n[ERROR RCX-0497] No design is loaded.\r\nError: generate_rules.tcl, 24 RCX-0497\r\n```"}, {"user": "Also just in case, here's me entering the commands manually one after another:\r\n```\r\nroot@cea0f0aded01:~/OpenROAD/src/rcx/calibration/work# ./openroad\r\nOpenROAD v2.0-2865-ge714d4a41 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> read_lef ../data/xxxxx_tech.lef \r\n[INFO ODB-0222] Reading LEF file: ../data/xxxxx_tech.lef\r\n[INFO ODB-0223] Created xxx technology layers\r\n[INFO ODB-0224] Created xxx technology vias\r\n[INFO ODB-0226] Finished LEF file: ../data/xxxxx_tech.lef\r\nopenroad> read_def EXT/patterns.def \r\nopenroad> bench_read_spef ../data/golden.spef \r\n[ERROR RCX-0497] No design is loaded.\r\nRCX-0497\r\nwhile evaluating bench_read_spef ../data/golden.spef \r\nopenroad>\r\n```"}, {"assistant": "I don't see that:\r\n\r\nopenroad> bench_read_spef foo.spef\r\n[INFO RCX-0001] Reading SPEF file: foo.spef\r\n[INFO RCX-0286] Number of corners in SPEF file = 0.\r\n\r\n"}, {"user": "Thanks, that was the hint I needed. If it's neither the command, nor the DEF file, it must be the LEF. It works when I load the phys LEF in addition to the tech LEF. Seems like you expect something to be defined in the tech LEF which isn't there in my case.\r\n\r\nAnyway, it works, so I'm happy and I guess the issue can be closed :)\r\n\r\nSlightly offtopic: is there any reference for what is considered \"good\" regarding the `diff_spef` output?"}]} +{"num": 1614, "messages": [{"user": "The request is to add support to query liberty attributes through tcl. \r\n\r\nExample:\r\n```\r\nset liberty_lib_cell [get_lib_cells -of_objects $cells]\r\nset area [get_property $liberty_lib_cell area]\r\n```"}, {"assistant": "Any update on this?"}]} +{"num": 1618, "messages": [{"user": "Hi,\r\nI am trying to install OpenRoad. However after the command \"cmake ..\" I get this error.\r\nDoes anybody have any idea how can I solve this issue?\r\n![image](https://user-images.githubusercontent.com/35424938/152508950-ee507e3a-0890-4d3d-8f5b-913513922e31.png)\r\n"}, {"assistant": "Have you installed the dependencies with ./etc/DependencyInstaller.sh per https://openroad.readthedocs.io/en/latest/main/README.html\r\n\r\nIt looks like you are missing spdlog"}, {"user": "> Have you installed the dependencies with ./etc/DependencyInstaller.sh per https://openroad.readthedocs.io/en/latest/main/README.html\r\n> \r\n> It looks like you are missing spdlog\r\n\r\nI installed the dependencies and but I get the same error. I have even installed spdlog separately and I received the same error."}, {"assistant": "@ashkanr65 \r\nHave you installed both -run and -dev?\r\n ./etc/DependencyInstaller.sh -run # installs dependencies to run a pre-compiled binary\r\n ./etc/DependencyInstaller.sh -dev # installs dependencies to compile the openroad binary\r\n\r\nTry to clone again in new directory and install it\r\n"}, {"assistant": "Stale"}]} +{"num": 1619, "messages": [{"user": "Using OpenLane flow latest tag for this run.\r\nDuring detailed routing flow failed with (Metal spacing and short violations) DRC errors.\r\nsky130_fd_sc_hd__o221a_1 cell creating issues.\r\n[run1_droute_packaged.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8005027/run1_droute_packaged.zip)\r\n\r\n\r\nAttached tar file for reference."}, {"assistant": "@maliberty This is an MPW design. Should this be re-assigned to OpenLane?"}, {"assistant": "If it packaged as a standalone testcase (independent of OL) then it is ok for it to be reported here."}, {"user": "@maliberty @dralabeing \r\nThe above design ran successfully with MPW-4 shuttle without any DRC errors. Used PL_TARGET_DENSITY =0.6.\r\n\r\nReduced PL_TARGET_DENSITY=0.55 run successfully without DRC errors."}, {"assistant": "@Stephanommg please take a look at why this isn't able to route cleanly. It doesn't look especially dense or complex."}, {"assistant": "@maliberty The design seems a heavy one. Very slow since from the beginning and present persisting violations until the end. I ran it with my clipsize increase feature and it worked well, leaving no violation at the end. I still didnt look it in the GUI to see if the problem is really congestion.\r\n"}, {"assistant": "@maliberty By looking with the GUI the problem really seems congestion\r\n![image](https://user-images.githubusercontent.com/1700817/154517085-30ee63a8-38ab-4cbe-8b67-d7a38dd7cee4.png)\r\nI think the clipsize increase is the right solution here. It is working well on general, it is just ispd19_test10 that is having some timeout problems, so I am currently searching for the right iteration window to activate the feature."}, {"assistant": "@Stephanommg ok this can wait on your clipsize work. There is a workaround with lower density."}, {"assistant": "Can this issue be closed?"}]} +{"num": 1620, "messages": [{"user": "Using OpenLane flow latest tag for this run.\r\n\r\nUsed relative die size calculated by yosys. Used full core utilization still flow failing with routing congestion too high error.\r\n\r\nAttached reproducible tar file for reference.\r\n[openroad_issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8005086/openroad_issue_reproducible.zip)\r\nMPW repo: https://github.com/yongatek/caravel_yonga-turbo-encoder.git\r\n\r\nlogs:\r\n```\r\n\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nli1 Vertical 0 0 0.00%\r\nmet1 Horizontal 4250400 1722627 59.47%\r\nmet2 Vertical 3187800 2128898 33.22%\r\nmet3 Horizontal 2125200 1487160 30.02%\r\nmet4 Vertical 1275120 834490 34.56%\r\nmet5 Horizontal 425040 212058 50.11%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0103] Extra Run for hard benchmark.\r\n[INFO GRT-0197] Via related to pin nodes: 305834\r\n[INFO GRT-0198] Via related Steiner nodes: 10805\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 489389\r\n[INFO GRT-0112] Final usage 3D: 2797771\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: groute.tcl, 69 GRT-0118\r\n```"}, {"assistant": "@vijayank88 I was able to run the global route in this design by reducing the global adjustment (`GLB_RT_ADJUSTMENT`) from `0.3` to `0.25`. Do you know if the global placement uses the `routability_driven` flag? Also, what is the placement density of the design? Perhaps we need a slightly better placement to make the global route finishes properly with the current configuration."}, {"user": "@eder-matheus \r\nI've tested with OR commit `OpenROAD 3eafa6da2942ec22d27e2208c99c7a449af34fbf` and faced congestion issue with OpenLane tag: openlane 2022.02.10_02.15.04\r\nin OpenLane `set ::env(PL_ROUTABILITY_DRIVEN) {0}` default set. \r\nWith latest tags OR commits got updated. Let me try again with changes and update you on this.\r\nThanks..."}, {"user": "@eder-matheus \r\nBy reducing the global adjustment (GLB_RT_ADJUSTMENT) from 0.3 to 0.25 resolved the congestion issue.\r\nAlso updated `PL__TARGET_DENSITY` from 0.6 to 0.23\r\n`CORE_UTIL` from 0.5 to 0.2"}]} +{"num": 1634, "messages": [{"user": "In https://github.com/The-OpenROAD-Project/OpenLane/issues/912 the root cause was an off-grid IO pin that lead to a pin access failure (all APs fail with off-grid errors). \r\n\r\nWe should have a pre-check at the start of routing that runs gc on each of the dbPin to give a clearer warning about such a problem."}, {"assistant": "On a related note, maybe it's a good idea to force `place_pin` to snap to the manufacturing grid."}, {"assistant": "@maliberty \r\nI recently came across similar PR related to off-grid check. It's resolved right?"}, {"user": "@osamahammad21 is this covered by your recent change?"}, {"assistant": "No, my previous PR checks on cells only."}, {"assistant": "@refaay Please take care of this. Refer to void io::Parser::checkPins() in io_parser_helper.cpp and apply the same checks to the bterms. Try not to duplicate code."}, {"assistant": "Issue solved in PR #3961."}]} +{"num": 1640, "messages": [{"user": "I've created a floorplan with some Skyway RAM macros placed, and am not getting an error in the placement step:\r\n\r\n[ERROR DPL-0019] detailed placement failed on _102266_\r\nError: resizer.tcl, 76 DPL-0019\r\n\r\nIs there way to get more information about this error?\r\n[openroad.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8058021/openroad.tar.gz)\r\n\r\n"}, {"assistant": "@DarylK78 \r\nThe attached format is not valid. \r\nPlease compress `openroad_issue_reproducible` directly with .zip and attach here. Don't compress again with .gz extension"}, {"user": "I've uploaded the zipped version here.\r\n\r\n[openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8062611/openroad.zip)\r\n"}, {"assistant": "@DarylK78 \r\nIs this `openroad_issue_reproducible` generated by openlane flow or do you made any manual edits for zip?\r\nStill I'm see `.gz` extension. See after unzip\r\n```\r\nArchive: openroad.zip\r\n creating: openroad_issue_reproducible/\r\n creating: openroad_issue_reproducible/tmp/\r\n creating: openroad_issue_reproducible/tmp/synthesis/\r\n inflating: openroad_issue_reproducible/tmp/synthesis/resizer_sky130_fd_sc_hd__tt_100C_1v80.lib.gz \r\n creating: openroad_issue_reproducible/tmp/floorplan/\r\n inflating: openroad_issue_reproducible/tmp/floorplan/20-initial_fp.sdc.gz \r\n creating: openroad_issue_reproducible/tmp/placement/\r\n inflating: openroad_issue_reproducible/tmp/merged_unpadded.lef.gz \r\n creating: openroad_issue_reproducible/openlane/\r\n creating: openroad_issue_reproducible/openlane/scripts/\r\n creating: openroad_issue_reproducible/openlane/scripts/openroad/\r\n extracting: openroad_issue_reproducible/openlane/scripts/openroad/sta.tcl.gz \r\n extracting: openroad_issue_reproducible/openlane/scripts/openroad/resizer.tcl.gz \r\n extracting: openroad_issue_reproducible/openlane/scripts/openroad/set_rc.tcl.gz \r\n creating: openroad_issue_reproducible/results/\r\n creating: openroad_issue_reproducible/results/synthesis/\r\n inflating: openroad_issue_reproducible/results/synthesis/LPI_LPD_Tx_DUT.v.gz \r\n inflating: openroad_issue_reproducible/in.def \r\n\r\n```"}, {"user": "Sorry about that, try this one\r\n\r\n[openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8062785/openroad.zip)\r\n"}, {"assistant": "@DarylK78 \r\n```\r\n>>> source run.tcl\r\nError: resizer.tcl, 23 cannot read file sky130_sram_macros/sky130_sram_1r1w_64x18/sky130_sram_1r1w_64x18_TT_1p8V_25C.lib.\r\n```\r\nmissing sky130_sram_macros directory."}, {"user": "Sorry again, I added those directories and tested the run.sh script to verify the issue is reproduced.\r\n\r\n[openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8072790/openroad.zip)\r\n"}, {"assistant": "You have a fair amount of placement density coming into this step before repair_design is even run:\r\n![image](https://user-images.githubusercontent.com/761514/154206336-63aacade-4379-4b4c-99fe-a2ba9c2adce2.png)\r\nIt fails detailed placement even before repair_design.You also have a lot of violations of max slew & cap that exacerbates the problem. When you design with 60k placeable instances generates:\r\n```\r\n[INFO RSZ-0038] Inserted 1215 buffers in 126 nets.\r\n[INFO RSZ-0039] Resized 52917 instances.\r\n```\r\nI feel the problem lies upstream of this step. Are you using any non-default settings in this flow?\r\n\r\nAlso the macros abutted to the edge are likely going to cause you routing/timing problem later on due the pins that will be hard to reach and lack of places to buffer."}, {"assistant": "@DarylK78 \r\nUpdate your config.tcl with `set ::env(CELL_PAD) 2` and its resolves DPL error at my end.\r\nAlso consider @maliberty response to avoid routing/timing problems."}, {"user": "Thanks for the helpful responses. A few notes:\r\n\r\n1) I am using the default synthesis settings from OpenRoad.\r\n2) When I tried this same design without doing any manual macro placement, it was unable to route due to the macros being placed directly on top of one another. With the pins being along the top and bottom, this was an issue which is why I tried to move them apart a bit.\r\n3) In my experience it is always recommended to place macros close to the edges of designs to give the placer a maximum amount of unobstructed placeable area. Given that the pins are along the top and bottom of the RAMs, this would seem to apply here as well. Is there something I'm missing?"}, {"assistant": "1) Yosys does synthesis not OR. Do you mean default OL settings in general?\r\n2) File an issue if that happens\r\n3) My experience is that a small halo should be left around the macro to leave room for buffering and routing. If it works for you that's fine."}, {"user": "Yes, I did mean the default OL settings for synthesis with yosys."}, {"assistant": "There are many OL flow settings that affect OR and I'm wondering about those more than yosys."}, {"user": "I can submit my synthesis setup if that would be helpful?"}, {"assistant": "I'm not interested in synthesis (yosys); I'm interested in P&R (openroad)."}, {"user": "After placement I am running into routing congestion, can you take a look and give some suggestions?\r\n\r\n"}]} +{"num": 1646, "messages": [{"user": "Running a design with 60K placeable gates, seeing routing congestion issues and not sure how to debug.\r\n\r\nI am unable to attach my operoad.zip as it say \"is not included in the list\""}, {"assistant": "Perhaps it dislikes the extension or you have the issue in https://github.com/github/hub/issues/1479\r\n\r\nTry changing it to .zip1 and see if it works."}, {"user": "Actually seems to be an issue with the filesize, is there a max on how large files to upload can be in the comments?"}, {"assistant": "Probably but idk. You can always put the file in some cloud storage and provide a link (eg google drive, dropbucket)."}, {"assistant": "@DarylK78 \r\nYes there is file size limitation to attach here. If you're facing issue, upload file in your personal repo and share link here. "}, {"user": "I was able to get the archive to a manageable size, this was run with the PL_TARGET_DENSITY at 0.25 and the FP_CORE_UTIL at 25. Still seeing too much congestion\r\n\r\n[openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8106460/openroad.zip)\r\n"}, {"assistant": "@DarylK78 \r\nCore has enough free space. But still I'm seeing high congestion near macro and std cell area as well.\r\n```\r\nset ::env(PL_MACRO_CHANNEL) \"10 10\"\r\nset ::env(PL_MACRO_HALO) \"10 10\"\r\n```\r\nCan you try this setting in your OpenLane config.tcl and re-run the flow.\r\n\r\nPossible try to place macros manullay based on functionality.\r\n"}, {"assistant": "I see this in the congestion map:\r\n\"image\"\r\nPerhaps the placement density is too large? The global router ends with high congestion even when I don't use the resources adjustments."}, {"assistant": "How do you get these heat maps in the GUI?"}, {"assistant": "> How do you get these heat maps in the GUI?\r\n\r\nIn the `Display Control`, go to `Heat Maps` and select what heat map you want to visualize. In the figure below, I'm showing only the routing congestion heat map:\r\n\"Screen\r\n"}, {"assistant": "Thanks."}, {"assistant": "> I see this in the congestion map: \"image\" Perhaps the placement density is too large? The global router ends with high congestion even when I don't use the resources adjustments.\r\n\r\n@mousaq92, @eder-matheus \r\nSorry to interrupt but is this klayout?"}, {"assistant": "@Sya0 its OpenROAD GUI\r\nCommand to use: `openroad -gui`"}, {"user": "I took the advice to a add macro channels, and now it is able to global route but runs into issues with diodes\r\n\r\nSee latest run here:\r\n\r\n[openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8179731/openroad.zip)\r\n."}, {"assistant": "@DarylK78 Could you upload the tarball containing the stage before the global placement?"}, {"user": "This one is the tarball of the placement step I believe\r\n\r\n[openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8217858/openroad.zip)\r\n"}, {"user": "This one runs the init_floorplan\r\n\r\n[floorplan.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8217977/floorplan.zip)\r\n"}, {"assistant": "Thanks very much, @DarylK78!"}, {"assistant": "I examined the congested areas and it is due the dense concentration of combinational cells (4 input nor or ao22/oa22) in the congested area. You should be able to resolve this by using non zero global and detailed placement padding. We will also examine the init_floorplan tarball -- thanks"}, {"assistant": "> I took the advice to a add macro channels, and now it is able to global route but runs into issues with diodes\r\n> \r\n> See latest run here:\r\n> \r\n> [openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8179731/openroad.zip) .\r\n\r\nThe latest version of OpenROAD have a fix for the diodes issue. Can you try that?"}, {"user": "I was able to get it to route by using DIODE_STRATEGY 4 and turning off fill. \r\n\r\nI'm also seeing a lot of slew violations which might be affecting the diode issue (nets are too long). I will go back to placement and try some additional things, and also attempt to use the latest version of openroad as well."}, {"assistant": "Closing as resolved based on user comment."}]} +{"num": 1653, "messages": [{"user": "I would like to find a bus signal net with findNet:\r\n\r\n`[ord::get_db_block] findNet us00.a\\[6\\]`\r\nNULL\r\n`[ord::get_db_block] findNet us00.a[6]`\r\nNULL\r\n\r\nThe get_nets command is working with this command\r\n\r\n`get_nets us00.a\\[6\\]`\r\n_906fffb8b5550000_p_Net\r\n\r\nWhat is the correct command to get the odb__dbNet for the bus signal?\r\nI used the sky130hd/aes design for testing."}, {"assistant": "```\r\n>>> [ord::get_db_block] findNet {us00.a\\[6\\]}\r\n_f021110a00000000_p_odb__dbNet\r\n>>> [ord::get_db_block] findNet \"us00.a\\\\\\[6\\\\\\]\"\r\n_f021110a00000000_p_odb__dbNet\r\n```"}]} +{"num": 1655, "messages": [{"user": "I'm using OpenLane Tag: [2022.02.21_02.33.47](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.02.21_02.33.47)\r\nwb_interconnect is mpw-3 shuttle precheck passed design.\r\n\r\nIf I use same design in current OR App, failed at global routing. Log as follows:\r\n```\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nli1 Vertical 0 0 0.00%\r\nmet1 Horizontal 178080 70200 60.58%\r\nmet2 Vertical 133560 94466 29.27%\r\nmet3 Horizontal 89040 60345 32.23%\r\nmet4 Vertical 53424 33949 36.45%\r\nmet5 Horizontal 17808 7749 56.49%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0103] Extra Run for hard benchmark.\r\n[INFO GRT-0197] Via related to pin nodes: 13726\r\n[INFO GRT-0198] Via related Steiner nodes: 669\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 31730\r\n[INFO GRT-0112] Final usage 3D: 205552\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: groute.tcl, 69 GRT-0118\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/groute.tcl\r\n[ERROR]: Exit code: 1\r\n[ERROR]: Last 10 lines:\r\nchild process exited abnormally\r\n\r\n```\r\nIssue reproducible tar file attached here. \r\n[openroad_issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8106828/openroad_issue_reproducible.zip)\r\n\r\n\r\nExpectation: Tool should complete routing stage"}, {"assistant": "@vijayank88 Similar to https://github.com/The-OpenROAD-Project/OpenROAD/issues/1620, I was able to complete the global routing with no errors by reducing the global adjustment (`GLB_RT_ADJUSTMENT`) from `0.3` to `0.1`. What is the placement density of this design?"}, {"assistant": "Hello,\r\n\r\n(GLB_RT_ADJUSTMENT) \"0.1\" does pass global routing. However, all I see are filler cells in the design. Please correct me if I am mistaken.\r\n\r\n![image](https://user-images.githubusercontent.com/98984518/156473627-a1821f45-ecbc-4dbc-9df1-ac260d062567.png)\r\n"}, {"assistant": "@mousaq92 In the `Display Control`, look at `Instances` and check what is selected to be shown. You probably have to add the \"StdCells\" option. Check the figure below:\r\n\"Screen\r\n"}, {"assistant": "Got it thanks."}, {"user": "@eder-matheus \r\nBy reducing the global adjustment (GLB_RT_ADJUSTMENT) from 0.3 to 0.1 resolved congestion issue. "}]} +{"num": 1659, "messages": [{"user": "Currently openroad python bindings only cover the `odb` module, it would be nice to be able to also access sta which seems to be already distributed as a standalone library:\r\nhttps://github.com/The-OpenROAD-Project/OpenSTA/blob/master/CMakeLists.txt#L436\r\n\r\nWith existing SWIG binding:\r\nhttps://github.com/The-OpenROAD-Project/OpenSTA/blob/master/app/StaApp.i"}, {"assistant": "There is significant code in TCL around those binding (https://github.com/The-OpenROAD-Project/OpenSTA/tree/master/tcl). It isn't as helpful as odb to expose the raw interface. I think this falls under the general heading of dealing with meaningful python bindings."}, {"user": "Is the API surface covered by the SWIG binding a subset of what's exposed thru `libOpenSTA`?\r\nhttps://github.com/The-OpenROAD-Project/OpenSTA/tree/master/include/sta\r\n\r\n"}, {"assistant": "I haven't check but most likely it is"}, {"assistant": "Replaced by #1812 "}]} +{"num": 1677, "messages": [{"user": "I'm seeing the following error during DRT of the attached design. Interestingly the error mentions layer 6 (`met5`), which should be disabled with `-top_routing_layer met4`. I don't see any met5 in the global route guides, so I presume it isn't coming from there.\r\n\r\nTest case: [connectivity-issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8149727/connectivity-issue.tar.gz)\r\n\r\n\r\nJust in case, I added the files required to redo global routing:\r\n\r\n```\r\n# GRT\r\nopenroad groute.tcl\r\n# DRT\r\n./run.sh\r\n```\r\n\r\nNote that this error appeared in the middle of the ECO flow, so there is a chance the buffer fixup code has contributed to this.\r\n\r\n```\r\nError: booth_b52_m48 1 pin not visited #guides = 12\r\nError: checkConnectivity break, net booth_b52_m48\r\nObjs not visited:\r\nfrPathSeg: begin (529690 276250 ) end ( 530150 276250 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (528770 276930 ) end ( 535210 276930 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (530150 276000 ) end ( 530150 276250 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (529690 276000 ) end ( 529690 276250 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (528770 276000 ) end ( 528770 276930 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (534290 263330 ) end ( 535210 263330 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (528770 276000 ) end ( 529690 276000 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (529690 276000 ) end ( 530150 276000 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (535210 263330 ) end ( 535210 276930 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrVia: at ( 535210 276930 )\r\nVIA DEF:\r\nVIA M1M2_PR DEFAULT\r\n RECT -160 -130 160 130\r\n RECT -75 -75 75 75\r\n RECT -130 -160 130 160\r\nfrVia: at ( 534290 263330 )\r\nVIA DEF:\r\nVIA L1M1_PR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nfrVia: at ( 535210 263330 )\r\nVIA DEF:\r\nVIA M1M2_PR DEFAULT\r\n RECT -160 -130 160 130\r\n RECT -75 -75 75 75\r\n RECT -130 -160 130 160\r\nINSTTERM: (INST/CELL/TERM/NET) U$$3665 sky130_fd_sc_hd__xor2_1 X booth_b52_m48\r\n```"}, {"assistant": "Please test with this branch: https://github.com/The-OpenROAD-Project-private/OpenROAD/tree/secure-TR_APsWithShapes\r\n\r\nI made a fix for other connectivity error so perhaps their cause was the same."}, {"assistant": "@Stephanommg he will not have access to the private repo. If you make a PR and label it so sync public that will copy over the branch to the staging repo where he can see it."}, {"assistant": "@antonblanchard you can see it at The-OpenROAD-Project-staging:secure-TR_APsWithShapes"}, {"assistant": "Link: https://github.com/The-OpenROAD-Project-staging/OpenROAD/tree/secure-TR_APsWithShapes"}, {"assistant": "How can I checkout this branch? I can try to check this if that's ok. Do I need to re-install OpenROAD? Thanks."}, {"assistant": "Please check the master branch and report back if its solved."}, {"user": "It's still reproducing as of commit be66da7a5d3c18a69683b72e691c43d55d3e2fe7"}, {"assistant": "FYI, I already am aware of what the problem is and I will be working on solving it in the next week."}, {"assistant": "FYI, the problem is solved, but it is still going through some tests."}, {"assistant": "The feature that brings a solution to this problem is already on the master branch."}]} +{"num": 1679, "messages": [{"user": "I've been trying to reduce clock tree max delay and skew in some sky130hd designs. One thing I have noticed is that the post CTS optimization almost always makes things worse. As an example:\r\n\r\nEnabled:\r\n```\r\nClock user_clock2\r\nLatency CRPR Skew\r\n_135639_/CLK ^\r\n 9.87\r\n_129357_/CLK ^\r\n 7.55 -0.28 2.04\r\n```\r\n\r\nDisabled:\r\n```\r\nClock user_clock2\r\nLatency CRPR Skew\r\n_132397_/CLK ^\r\n 8.27\r\n_130828_/CLK ^\r\n 5.95 -0.36 1.95\r\n```\r\n\r\nThese are post DRT numbers, just to be sure the difference was real. I experimented with making the threshold for fixing larger (currently set at 5x), but that didn't seem to help. Looking at the modification it made to the one of the longest paths, I see a bunch of `clkbuf_16` instances added:\r\n\r\n```\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_0_user_clock2 (.A(clknet_6_29__leaf_user_clock2),\r\n+ .X(clknet_opt_1_0_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_1_user_clock2 (.A(clknet_opt_1_0_user_clock2),\r\n+ .X(clknet_opt_1_1_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_2_user_clock2 (.A(clknet_opt_1_1_user_clock2),\r\n+ .X(clknet_opt_1_2_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_3_user_clock2 (.A(clknet_opt_1_2_user_clock2),\r\n+ .X(clknet_opt_1_3_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_4_user_clock2 (.A(clknet_opt_1_3_user_clock2),\r\n+ .X(clknet_opt_1_4_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_5_user_clock2 (.A(clknet_opt_1_4_user_clock2),\r\n+ .X(clknet_opt_1_5_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_6_user_clock2 (.A(clknet_opt_1_5_user_clock2),\r\n+ .X(clknet_opt_1_6_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_7_user_clock2 (.A(clknet_opt_1_6_user_clock2),\r\n+ .X(clknet_opt_1_7_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_8_user_clock2 (.A(clknet_opt_1_7_user_clock2),\r\n+ .X(clknet_opt_1_8_user_clock2));\r\n+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_9_user_clock2 (.A(clknet_opt_1_8_user_clock2),\r\n+ .X(clknet_opt_1_9_user_clock2));\r\n```\r\n\r\nThat's a lot of buffers, which are all going to have a decent amount of delay. Could this optimization be better suited to more advanced nodes than 130nm where the gate delay vs wire delay trade off is different? Should we just disable it on sky130?\r\n\r\nI can package up this test case if it helps."}, {"assistant": "Its something of a leftover from before we had repair_clock_nets and it is pretty simplistic. If it works better without I would just turn it off."}, {"assistant": "Wouldn't it be better to auto detect a worse result, and just no-op?"}, {"user": "This was removed in 1048d6658a6788b0863bf8d43b58f3e197bb3416"}]} +{"num": 1684, "messages": [{"user": "I'm running openroad through openlane (tag: 2022.02.08_01.48.52). The openroad version seems to be:\r\n\r\n```\r\nOpenLane Container (1860ec4):/openlane$ openroad --version\r\nOpenROAD 3eafa6da2942ec22d27e2208c99c7a449af34fbf\r\n```\r\n\r\nI am passing in an experimental DEF ([test.def.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8189108/test.def.zip)). Openroad fails to run the PDN generation step, failing with this unhelpful error:\r\n\r\n```\r\nOpenROAD 3eafa6da2942ec22d27e2208c99c7a449af34fbf \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /openlane/build_lut/runs/RUN_2022.03.04_22.16.19/tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: /openlane/build_lut/runs/RUN_2022.03.04_22.16.19/tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: /openlane/build_lut/test.def\r\n[INFO ODB-0128] Design: lut\r\n[INFO ODB-0130] Created 9 pins.\r\n[INFO ODB-0131] Created 111 components and 643 component-terminals.\r\n[INFO ODB-0133] Created 89 nets and 257 connections.\r\n[INFO ODB-0134] Finished DEF file: /openlane/build_lut/test.def\r\n[INFO PDN-0016] Power Delivery Network Generator: Generating PDN\r\n config: \r\n[INFO PDN-0008] Design name is lut.\r\n[INFO PDN-0009] Reading technology data.\r\n[INFO PDN-0011] ****** INFO ******\r\nType: stdcell, stdcell_grid\r\n Stdcell Rails\r\n Layer: met1 - width: 0.480 pitch: 5.440 \r\n Straps\r\n Layer: met4 - width: 1.600 pitch: 153.600 offset: 16.320 \r\n Connect: {met1 met4}\r\nType: macro, CORE_macro_grid_1\r\n Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90\r\n Straps\r\n Connect: {met4_PIN_ver met5}\r\n[INFO PDN-0012] **** END INFO ****\r\n[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.\r\ncan't read \"stripe_locs(met4,GROUND)\": no such element in array\r\n```\r\n\r\nI looked at what I think is the [source](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/pdn/src/PdnGen.tcl), but `stripe_locs` is used in several different procs so I'm not sure which is the problem. I'm not sure what I'm doing wrong.\r\n\r\nThe configuration is generated by [this openlane script](https://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/openroad/pdn_cfg.tcl), but it seems to simply determine that the power net is `VPWR` and the ground net is `VGND`."}, {"assistant": "@growly \r\nare you running openlane for MPW?\r\nTry to update latest OpenLane tag: [2022.03.03_02.36.44](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.03.03_02.36.44), if issue repeats attach openorad_issue_reproducible tar file generated by tool."}, {"user": "The problem has gone away with\r\n\r\n1. Using the [2022.03.03_02.36.44](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.03.03_02.36.44) version of OpenLane\r\n2. Setting the `FP_PDN_HPITCH` and `FP_PDN_VPITCH` parameters to something smaller than the size of the design (I got the hint from #1008)"}]} +{"num": 1688, "messages": [{"user": "I noticed a couple errors in CI for the SiliconCompiler project when trying to build the si2 LEF parser out of `src/odb/src/lef` after updating to a recent version of OpenROAD. On macOS, the error is:\r\n\r\n```\r\n /private/var/folders/24/8k48jl6d249_n_qfxwsl6xvm0000gn/T/pip-req-build-84dlzdxd/third_party/tools/openroad/tools/OpenROAD/src/odb/src/lef/lef/lefrReader.cpp:319:14: error: use of undeclared identifier 'lefyyparse'\r\n status = lefyyparse();\r\n```\r\n[source](https://github.com/siliconcompiler/siliconcompiler/runs/5473173740?check_suite_focus=true#step:9:228)\r\n\r\nThis seems to be related to [this](https://github.com/The-OpenROAD-Project/OpenROAD/commit/4016a522e2d50694e912d63828addc7a36bd1ef9#diff-7e4a5edd455cf5d0f7a5ab17c20ec3ee8db2f56e01330b0e3d8da85083342894L62) change, adding back the `extern` lefyyparse declaration fixes the error for me locally on my Macbook. Was there any reason for getting rid of this? \r\n\r\nI figure since the build succeeds on macOS in OpenROAD CI, there's likely some problem in our machine configuration, but any insight you could provide would be appreciated.\r\n\r\nOn Windows we're getting a linker error I have not yet had time to to dig into. I know this isn't a supported platform, but I'd appreciate if you have any insight there as well:\r\n\r\n```\r\n lef.lib(lef_keywords.cpp.obj) : error LNK2019: unresolved external symbol \"union LefDefParser::YYSTYPE LefDefParser::lefyylval\" (?lefyylval@LefDefParser@@3TYYSTYPE@1@A) referenced in function \"int __cdecl LefDefParser::lefamper_lookup(char *)\" (?lefamper_lookup@LefDefParser@@YAHPEAD@Z)\r\n```\r\n[source](https://github.com/siliconcompiler/siliconcompiler/runs/5473173795?check_suite_focus=true#step:9:264)\r\n\r\nThank you!\r\n"}, {"assistant": "What bison/flex are you using? I build using the homebrew versions with \r\n # flex/bison override apple version\r\n export PATH=\"/opt/homebrew/opt/bison/bin:$PATH\"\r\n export PATH=\"/opt/homebrew/opt/flex/bin:$PATH\"\r\n\r\nto ignore the broken ones in /usr/bin installed by xcode."}, {"user": "Thank you! This does the trick. Sorry for not following up!"}]} +{"num": 1690, "messages": [{"user": "While the design passes detailed routing fine, magic later finds an issue with one of the metal1 holes:\r\n\r\n\\[Removed: see https://github.com/The-OpenROAD-Project/OpenROAD/issues/1690#issuecomment-1067179723 \\]\r\n\r\nSee https://github.com/RTimothyEdwards/open_pdks/issues/236 for Tim's image\r\n\r\nThis is a blocker for mpw-5."}, {"assistant": "Relevant to another issue: https://github.com/The-OpenROAD-Project/OpenLane/issues/954\r\n\r\nMetal3 minimum area < 0.24um^2 (met3.6)\r\n"}, {"assistant": "Where in the layout is this hole to be found?"}, {"assistant": "The linked issue says\r\n\r\n`The attached screenshot was taken at position (181.675um, 880.160um), (181.860um, 880.315um) `\r\n\r\nwhich makes no sense as the design isn't that large:\r\n```\r\nUNITS DISTANCE MICRONS 1000 ;\r\nDIEAREA ( 0 0 ) ( 175225 185945 ) ;\r\n```\r\n\r\nThat location is outside the DIEAREA."}, {"assistant": "@RTimothyEdwards would you respond to the above about error location"}, {"user": "That's on me, I uploaded a different layout with the same issue. @maliberty @RTimothyEdwards\r\n\r\nI'll upload a new one in a bit."}, {"assistant": "@donn whichever is smaller is better :)"}, {"user": "Well, here's smaller. I also attached a DRC report generated by magic.\r\n\r\n[reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8247844/reproducible.tar.gz)\r\n"}, {"assistant": "I can reproduce it now and will take a look."}, {"assistant": "There is no MINENCLOSEDAREA rule in the LEF for met1 so there is no reason for the router to think this is illegal. Why does magic think so? @RTimothyEdwards @donn "}, {"assistant": "@Stephanommg this is some ugly routing (even if not illegal per LEF):\r\n![image](https://user-images.githubusercontent.com/761514/158261600-23a8c055-d2dc-4127-9216-92e0ab37c33c.png)\r\n\r\nWould you take a look when you have a chance. It isn't urgent. Be sure to use the reproducible.tar.gz above."}, {"assistant": "@maliberty : (1) There _is_ a MINENCLOSEDAREA rule in the LEF file for metal1 if you are using the technology LEF file from open_pdks (and it, um, hasn't become screwed up by a recent update. . . see below). (2) Regardless of whether or not the LEF file declares MINENCLOSEDAREA, it's still a SkyWater DRC rule, and magic flags it."}, {"assistant": "@Donn: (@maliberty ) : The problem is that with the change to min/max/nom versions of the technology LEF file, the MINENCLOSEDAREA patch to the technology LEF file somehow vanished. The issue is in open_pdks somewhere and I am investigating. Please feel free to close this issue, as it is not an OpenROAD problem."}, {"assistant": "@donn : I'm still not sure why the patch failed, but I'm testing a fix now."}, {"assistant": "I'll leave this open for the routing quality concern but will not worry about the holes issue."}, {"assistant": "This is old, but I will still leave my feedback here. Some time ago I took a look on this one and I could not find the spot with that ugly loop. Anyway, while solving other issues, I noticed that pins in metal2 sometimes have blocked edges where they shouldn't (apparently). This forces the path to take a detour and creates such loops."}, {"assistant": "@maliberty I am also facing this issue with latest openlane tool set with PDK=sky130B and same thing passes with PDK=sky130A\r\nMy Repo: https://github.com/dineshannayya/riscduino_qcore\r\nFailing Macro : make ycr4_iconnect\r\nFailing Magic DRC Signature: \r\n----------------------------------------\r\nMin area of metal1 holes > 0.14um^2 (met1.7)\r\n----------------------------------------\r\n 175.695um 265.440um 175.880um 265.595um\r\n 142.115um 221.920um 142.300um 222.075um\r\n 183.975um 205.600um 184.160um 205.755um\r\n 91.055um 33.605um 91.240um 33.760um\r\n 219.395um 1081.440um 219.580um 1081.595um\r\n 193.635um 1418.720um 193.820um 1418.875um\r\n 207.435um 1364.320um 207.620um 1364.475um\r\n 211.575um 1339.205um 211.760um 1339.360um\r\n 225.835um 1266.400um 226.020um 1266.555um\r\n 207.895um 1817.925um 208.080um 1818.080um\r\n 203.755um 1766.880um 203.940um 1767.035um\r\n----------------------------------------\r\n[INFO]: COUNT: 11\r\n[INFO]: Should be divided by 3 or 4\r\n\r\nOpenlane Tool Version:\r\n\r\n
Kernel: Linux v5.13.0-52-generic\r\nDistribution: ubuntu 20.04\r\nPython: v3.8.10 (OK)\r\nContainer Engine: docker v20.10.17 (OK)\r\nOpenLane Git Version: f9b5781f5ef0bbdf39ab1c2bbd78be8db11b27f2\r\npip: INSTALLED\r\npip:venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\nf9b5781 2022-07-01T16:04:31+02:00 Fix a bug with `-overwrite` (#1171) - Anton Blanchard -  (HEAD -> master, origin/master, origin/HEAD)\r\nabb9d59 2022-07-01T13:46:02+02:00 Reimplement support for multiple power domains (#1175) - Marwan Abbas -  ()\r\n83b6145 2022-06-26T18:19:11+02:00 Fix #1163 (#1164) - Mohamed Gaber -  ()\r\n
"}, {"assistant": "@maliberty this block is same one which you have suggested fix during below issue analysis \r\nhttps://github.com/The-OpenROAD-Project/OpenLane/issues/1106"}, {"assistant": "@RTimothyEdwards last comment \"I'm` still not sure why the patch failed, but I'm testing a fix now.\" - was this actually fixed?\r\n\r\nI believe Stephano did fix a loop case in drt as well.\r\n\r\nIn any case you need to package a proper routing test case and not just point at a repo."}, {"assistant": "@maliberty As this does not fails at routing phase, but fails Magic DRC check phase, i need to see how to extract both stage."}, {"assistant": "@donn was going to deal with this but the standard workaround is to put a call to \"exit -1\" in the relevant script to force a failure.\r\n\r\nBased on the slack discussion this is an open_pdk issue not an OR one."}, {"assistant": "@dineshannayya are you sure this is the same issue, and not: https://github.com/RTimothyEdwards/open_pdks/pull/262 ?"}, {"user": "@maliberty Recent update: https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/using_or_issue.md"}, {"assistant": "@antonblanchard based on a slack discussion this is an open_pdk issue and not an OR one. I don't see any point in keeping this open anymore."}]} +{"num": 1692, "messages": [{"user": "Truthfully, I'm not 100% sure if this is just a config issue but, for some macros, for reasons I cannot discern, pdngen creates vertical straps that covers the macros, ignoring the halo setting.\r\n\r\n![Screenshot from 2022-03-14 11-27-52](https://user-images.githubusercontent.com/12652988/158144218-25054caf-d8b3-4715-87b1-2473aea122bc.png)\r\n\r\n* Reproducible:\r\n[pdngen.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8243514/pdngen.tar.gz)\r\n\r\nCuriously, just a different macro with the same config works fine:\r\n\r\n[working.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8243567/working.tar.gz)\r\n\r\n"}, {"user": "fyi @mattvenn\r\n\r\n"}, {"assistant": "we also saw this issue during mpw4 tapeout\r\n\r\nhttps://skywater-pdk.slack.com/archives/C017HPHCMEY/p1638968541275600\r\n\r\nI could never reproduce it till now"}, {"assistant": "The straps are on met4 & met5 and the LEF for rgb_mixer has no OBS above met3 so why shouldn't there be a straps there? \r\n\r\nThe more interesting question is why aren't the power pins connected. I'll look at the config as I get a chance (just digging out from vacation)."}, {"assistant": "the interesting thing for me is that some designs work and others don't.\r\nI didn't pickup on the OBS - what adds that in the flow/config? \r\n"}, {"assistant": "but this is interesting. A more complex/dense design will use met4, and the met4 obs layer will get generated. \r\n"}, {"assistant": "quite hard to see, but there are some 'via like' things on met4\r\n\r\n![image](https://user-images.githubusercontent.com/634220/158228426-aa7776bf-e4d3-41a4-bcf0-b5b90705e71f.png)\r\n\r\n![image](https://user-images.githubusercontent.com/634220/158228470-b48782ed-6c77-495f-bd3a-746d733ad86b.png)\r\n\r\nthat are on the met5, but they drop down to nothing on the macro.\r\n\r\nanother interesting thing is that the macro definitely has met4 power stripes\r\n\r\n![image](https://user-images.githubusercontent.com/634220/158229512-6727a128-efbd-46f6-b25b-c46f68468189.png)\r\n\r\nbut then the lef doesn't put obs for met4. so maybe it's related to that."}, {"assistant": "The reported problem of \"pdngen creates vertical straps that covers the macros, ignoring the halo setting\" is not an issue. I don't think extra OBS are needed.\r\n\r\nThe real issue is that the macro power pins are dangling."}, {"assistant": "@VidyaChhabria check_power_grid should be reporting an error on this design but doesn't."}, {"assistant": "@donn - concerning the original issue you raised:\r\nStraps are placed over the stdcell rows. For this testcase the stdcell rows are continuous across the entire design, hence the straps are across the entire design. In order to prevent this, the rows should be cut such that they do not overlap with the macro placements.\r\n\r\n@maliberty - the connectivity issue you're seeing needs a little more explanation:\r\n\r\nComments on the testcase data:\r\n- There is no connectivity specified for the vccd1/vssd1 pins on the rgb_mixer in the testcase.\r\n- There are 2 add_global_connect commands. One connects VPWR and VPB pins to vccd1, one connects VGND and VNB pins to vssd1. But since the rgb_mixer block has pins vccd1 and vssd1 for power and ground, these have not been connected.\r\n- Specifying power_pins and ground_pins as part of the macro definition merely serves to identify which pins are power and ground types [pdn now uses signalType information in the db to identify power and ground pins, so this is only necessary if the LEF being used does not have the correct USE definitions]. \r\n- The out.def supplied in the testcase creates a physical connection between the met4 pins of the macro and the met5 strap\r\n\r\nI expect/assume that in the version of openroad used to create out.def of the testcase, pdn connects macro pins specified by power_pins in the macro definition to the POWER net being added by pdn. From a physical connectivity point of view, I believe this is the desired outcome in this case. However, I would argue that this behaviour is incorrect, since it does not take into account the logical connectivity when making this connection. This results in out.def which has inconsistent logical/physical netlist connections.\r\n\r\nThe pdn code has been updated since that snapshot\r\n\r\nThe latest pdn code looks at the database to determine which nets these pins are connected to in order to determine whether a connection needs to be made or not. In this case, the pins are not connected to any net, so no connections are made to the macro. This is consistent in that the physical connectivity matches the logical connectivity\r\n\r\nAfter creating the logical connection between the vccd1 pins on rgb_mixer and the vccd1 net, the physical connection is made by pdn, once again resulting in a consistent DEF file, with matching logical and physical connectivity.\r\n\r\nI was able to verify this by adding the logical connection into in.def\r\n\r\n```\r\nSPECIALNETS 2 ;\r\n - vccd1 ( rgb_mixer vccd1 ) + USE POWER ;\r\n - vssd1 ( rgb_mixer vssd1 ) + USE GROUND ;\r\nEND SPECIALNETS\r\n```\r\n\r\nI could also have used the following TCL commands:\r\n\r\n```\r\nadd_global_connection -net vccd1 -inst_pattern rgb_mixer -pin_pattern vccd1\r\nadd_global_connection -net vssd1 -inst_pattern rgb_mixer -pin_pattern vssd1\r\n```\r\n"}, {"user": "@Colin-Holehouse The working example also has rows across the whole thing. Except the difference is the straps stop just fine there."}, {"assistant": "@donn The macro rgb_mixer instantiated by pdngen.tar.gz has blockages up to met3, whereas the macro wrapped_rgb_mixer instantiated by working.tar.gz has blockages up to met4. \r\nThe fact that there are any blockages on met4 causes the macro halo to be used to cut the met4 stdcell grid lines.\r\nI can modify the code to also check the layers used by pins to determine which layers to cut - is this a change that you would like to see implemented?"}, {"assistant": "> \r\n> but then the lef doesn't put obs for met4. so maybe it's related to that.\r\n\r\nI think the LEF file is created in magic by openlane, using `lef write -hide` \r\nhttps://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/magic/lef.tcl\r\n\r\nFrom magic: `Option -hide generates an abstract view that has all interior detail removed, leaving only pins and obstruction layers covering the entire cell with cut-out areas for the pins`\r\nI think as rgb_mixer only has PINS on met4 it doesn't created the OBS \r\n\r\n\r\n"}, {"user": "> I can modify the code to also check the layers used by pins to determine which layers to cut - is this a change that you would like to see implemented?\r\n\r\nA bit magical for my taste, pun not intended. @mattvenn Thoughts? Could you try to reharden with a met4 obstruction?"}, {"assistant": "I don't see any OR issue to fix here. Is there any reason to keep this open?"}, {"user": "@maliberty @Colin-Holehouse Maybe add a warning for if pins are found? Don't auto-avoid, just add a warning."}, {"assistant": "still hitting this on mpw-6c (edited - used to say mpw-5c)\r\n"}, {"assistant": "maybe it will go away with the new pdn in OR, but for now this is still an issue for certain sized macros "}, {"assistant": "changing size 300x300 to 100x250 made the pdn bug go away"}, {"assistant": "@mattvenn if there is a pdn bug we should fix it. Does the problem still occur with the new pdn (with or without resizing)?"}, {"assistant": "How would I know if I was using the new pdn?\r\nthis is tested on mpw-6c (sorry my comment above was wrong when I said mpw-5c)."}, {"assistant": "> How would I know if I was using the new pdn? this is tested on mpw-6c (sorry my comment above was wrong when I said mpw-5c).\r\n\r\nIt was turned on in commit 0c611a3422f0a9691c10776f951efd32887e85e5. If you see the use of -secondary_power in scripts/openroad/pdn.cfg then you are on the new one."}, {"assistant": "then the answer is no"}, {"assistant": "@mattvenn any problems with the old pdngen should be retried with the new. If the new one has issues then file a bug report."}, {"assistant": "Apart from the non reported error, what is the fix?\n\nOn Mon, 14 Mar 2022, 18:45 Matt Liberty, ***@***.***> wrote:\n\n> @VidyaChhabria check_power_grid should\n> be reporting an error on this design but doesn't.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> Triage notifications on the go with GitHub Mobile for iOS\n> \n> or Android\n> .\n>\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "This issue is quite old. Has anyone tried this with the current OR and the rewritten pdngen?"}, {"assistant": "haven't seen this recently, so probably safe to close."}]} +{"num": 1693, "messages": [{"user": "The document `PlatformBringUp.md` describes how to generate a KLayout technology file `.lyt` . While doing so, it suggests to \"load the previously generated `.lyp` file\" before describing the steps to generate the KLayout property file. I think the order of the two chapters should be swapped. \r\nHaving some screenshots in both the sections that describe how to generate` .lyt` and `.lyp` files would be very helpful."}, {"assistant": "If you feel inclined to improve it and submit a PR that would be great. If not it will go in the work queue."}, {"user": "I think, I could give it a trial. "}, {"assistant": "@gkamendje \r\nAre able to resolve it?"}, {"user": "I think so. I am able to generate a GDS file. I will work on a PR later on. "}]} +{"num": 1702, "messages": [{"user": "In running global placement, the resizer is leaving large max slew violations. I have tried setting the max wire length to 1000 which should cause these nets to be buffered, but they are still being left with large transitions\r\n[openroad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8266833/openroad.zip)\r\n."}, {"assistant": "@DarylK78 \r\nMissing \r\n```\r\nopenroad> source run.tcl\r\ncan't read \"::env(MERGED_LEF_UNPADDED)\": no such variable\r\n```\r\nAlso post log message."}, {"user": "Can you please try run.sh? That is the one I tested before I posted the tarball"}, {"assistant": "@DarylK78 \r\nAre you talking about this slew violations?\r\n```\r\n===========================================================================\r\n report_check_types -max_slew -max_cap -max_fanout -violators\r\n============================================================================\r\nmax slew\r\n\r\nPin Limit Slew Slack\r\n------------------------------------------------------------\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[9] 0.04 0.41 -0.37 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[8] 0.04 0.40 -0.36 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[3] 0.04 0.37 -0.33 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[9] 0.04 0.35 -0.31 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[10] 0.04 0.35 -0.31 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[7] 0.04 0.34 -0.30 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[7] 0.04 0.33 -0.29 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[3] 0.04 0.25 -0.21 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[8] 0.04 0.24 -0.20 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[6] 0.04 0.23 -0.19 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[7] 0.04 0.23 -0.19 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr1[4] 0.04 0.22 -0.18 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr0[4] 0.04 0.22 -0.18 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[0] 0.04 0.22 -0.18 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr1[2] 0.04 0.22 -0.18 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr0[0] 0.04 0.21 -0.17 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr1[3] 0.04 0.21 -0.17 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[8] 0.04 0.21 -0.17 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr0[3] 0.04 0.21 -0.17 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr0[5] 0.04 0.20 -0.16 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[10] 0.04 0.20 -0.16 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[10] 0.04 0.20 -0.16 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr0[1] 0.04 0.20 -0.16 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[6] 0.04 0.19 -0.15 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[3] 0.04 0.19 -0.15 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[9] 0.04 0.19 -0.15 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[6] 0.04 0.18 -0.14 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[5] 0.04 0.18 -0.14 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr1[2] 0.04 0.18 -0.14 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[5] 0.04 0.18 -0.14 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[4] 0.04 0.18 -0.14 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr0[0] 0.04 0.18 -0.14 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[4] 0.04 0.17 -0.13 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[2] 0.04 0.16 -0.12 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[5] 0.04 0.15 -0.11 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[1] 0.04 0.15 -0.11 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr1[0] 0.04 0.15 -0.11 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[7] 0.04 0.15 -0.11 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[4] 0.04 0.15 -0.11 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr0[4] 0.04 0.15 -0.11 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[5] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[1] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr1[4] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[8] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr0[2] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr1[1] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[2] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[2] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr0[5] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr1[0] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr1[5] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[3] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[4] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr0[1] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr0[3] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[2] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[1] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[10] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[6] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr1[9] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr1[5] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_CRC_CONVENC_DUT1/u_HDL_FIFO_I_skyw_ram/addr0[0] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr1[1] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Preamble_DUT_u_GeneratePreambleSpread_u_HDL_FIFO_skw_ram_re/addr0[2] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[1] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr1[0] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_Delimiter_DUT_u_GenerateDelimiterSpread/u_HDL_FIFO_skyw_ram/addr1[3] 0.04 0.14 -0.10 (VIOLATED)\r\nu_generateOutputs_u_SingleRateFEC_Tx_u_GenerateConvEncSpread/u_HDL_FIFO_skw_ram_re/addr0[0] 0.04 0.14 -0.10 (VIOLATED)\r\n\r\n\r\n===========================================================================\r\nmax slew violation count 68\r\nmax fanout violation count 0\r\nmax cap violation count 0\r\n============================================================================\r\n```"}, {"user": "Yes"}, {"assistant": "@DarylK78 \r\nIts based manual macro placement right?\r\n![Screenshot from 2022-03-22 17-20-04](https://user-images.githubusercontent.com/85787913/159476103-b627af77-b22e-48c7-93f0-bc224154b391.png)\r\nPlace the macros close to core edges and based on net connection."}, {"assistant": "If the macros on the left have any pins on their left edge it will be impossible to buffer them as there is no space to do so. I suggest you not place them hard against the edge and see if that helps."}, {"user": "I will try moving the macro to the top edge to see if that helps.\r\n\r\nThe pins for the OpenRAM macros are on the top and bottom so being along the left/right edge shouldn't be an issue.\r\n\r\nIs there a way to get the tool to recognize long wires and buffer them appropriately to fix these issues?"}, {"assistant": "@DarylK78 \r\nYes during repair_design tool try to find long wire length and try to optimize it. For your design its more than 2400um max wire length (Just close numbers). Check your logs"}, {"assistant": "I've started to look at this and I think there is a potential resizer issue here. The RAMs have a very tight input transition constraint but it should be achievable."}, {"user": "I agree, given that there is plenty of room I would expect the re-sizer to add buffers or re-size until transition times are met."}, {"assistant": "The issue isn't about long wires.\r\nThe issue is the max slew, which is unrealistically small in the sram inputs.\r\n\r\nThis is another example of a completely unrealistic ram model from the [fake ram generator](https://github.com/bespoke-silicon-group/bsg_fakeram).\r\nI noticed a lot of problems with the fake ram liberty files used by the openroad nangate45 regression\r\ntests so I spent a lot of time fixing issues in the generator. see https://github.com/jjcherry56/bsg_fakeram and https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/test/Nangate45/fakeram45.cfg\r\n\r\nI'll look at the resizer for issues, but consider the fact that every other cell in the library uses 1.5ns for the max slew and the ram uses .04ns even though every path through the ram has a fixed delay and the output resistances are complete nonsense. It is not electrically commensurate with the rest of the library."}, {"assistant": "@DarylK78 if you try openroad through openlane I believe that have manufacturable realistic rams in their sky130 setup."}, {"assistant": "Please re-open if needed."}, {"assistant": "I did find a number of issues in the resizer relevant to this issue. There are only a few buffers that will meet that tight of a requirement even right next to the ram input. It is not able to fix all the violations but it does a lot better. The PR is winding its way through the testing process."}, {"user": "I looked at the rams from openroad and they have the same 0.04ns transition requirement on their inputs."}, {"assistant": "I have no idea where you were looking to find \"rams from openroad\" but the ones in openroad/test/nangate45/fakeram*.lib and openroad-flow-scripts/flow/designs/nangate45 use this:\r\n default_max_transition : 0.227;\r\nbecause I regenerated them as I described above.\r\nThere are no sky130 rams in either repo that I am aware of."}, {"user": "Sorry, I was referring to the skyw_130 RAMs included in the OpenLane distribution from EFabless, which are \"released\" by them and apparently suitable for production, but as I said they have the same issues outlined here. Those aren't \"openroad\" RAMs, I apologize for the confusion.\r\n\r\nI believe those were created using the OpenRAM memory compiler, and the models that my testcase has were generated by OpenRAM as well. It seems the transition times come from \"analytical\" estimates which are clearly very pessimistic and unrealistic. I will see if I can work with them to address that.\r\n\r\n"}, {"assistant": "As I pointed out above I fixed the problems and posted the repo location. I have not bothered to try and integrate the changes with the bsg ram generator. At the very least the ram should look like a min size buffer, not something from a completely unrelated technology."}]} +{"num": 1703, "messages": [{"user": "\r\n```\r\nINFO PSM-0064] Number of voltage sources = 88. \r\n[WARNING PSM-0038] Unconnected PDN node on net vccd1 at location (367.200um, 1910.580um), layer: 6.\r\n[WARNING PSM-0038] Unconnected PDN node on net vccd1 at location (367.200um, 1910.000um), layer: 5.\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/pdn.tcl\r\n[ERROR]: Exit code: 1 \r\n``` \r\nrepo here: https://github.com/mattvenn/zero_to_asic_mpw5\r\n\r\nrun make user_project_wrapper should fail \r\nmight need to run make install to get caravel/openlane scripts first\r\n\r\n[reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8271990/reproducible.tar.gz)\r\n\r\n![image](https://user-images.githubusercontent.com/634220/158681367-90d3a26f-7584-42fc-b17b-483f0162f3aa.png)\r\n\r\n"}, {"assistant": "Given that there are no rails (FP_PDN_ENABLE_RAILS='0'), then there are no transistors being supplied off these segments of metal. \r\n\r\nIf rails are enabled, there is a connection to these stripes through the stdcell rails - when I set FP_PDN_ENABLE_RAILS='1' I get the following result:\r\n\r\n```\r\n[INFO PSM-0031] Number of PDN nodes on net vccd1 = 378519.\r\n[INFO PSM-0064] Number of voltage sources = 88.\r\n[INFO PSM-0040] All PDN stripes on net vccd1 are connected.\r\n########## IR report #################\r\nWorstcase voltage: 1.80e+00 V\r\nAverage IR drop : 8.66e-15 V\r\nWorstcase IR drop: 8.58e-13 V\r\n######################################\r\n\r\n```\r\nSo, whilst I agree that the report sections of the grid are disjoint, the fact that there are no connections to transistors mean that the power grid fully connects to all the transistors in the deisgn. The presence of this additional metal is fundamentally benign.\r\n"}, {"assistant": "@Colin-Holehouse @maliberty Is this something that check_power_grid should not be reporting as an error? Let me know if it needs a change."}, {"assistant": "@mattvenn \r\nIs that resolved?"}, {"user": "Sorry, way to late for me to confirm / deny. Feel free to close this issue."}]} +{"num": 1708, "messages": [{"user": "https://github.com/The-OpenROAD-Project/OpenLane/issues/960 mentions issues with resizer buffer insertion. Here is a test case, where the output of 1 DFF feeds the input of 24 others.\r\n\r\nThe buffers inserted by the resizer:\r\n\r\n```\r\n sky130_fd_sc_hd__buf_12 repeater9 (.A(_000000_), .X(net9));\r\n sky130_fd_sc_hd__buf_12 repeater10 (.A(_000000_), .X(net10));\r\n\r\n sky130_fd_sc_hd__buf_12 repeater7 (.A(net10), .X(net7));\r\n sky130_fd_sc_hd__buf_12 repeater8 (.A(net10), .X(net8));\r\n\r\n sky130_fd_sc_hd__buf_12 repeater5 (.A(net8), .X(net5));\r\n sky130_fd_sc_hd__buf_12 repeater6 (.A(net8), .X(net6));\r\n\r\n sky130_fd_sc_hd__buf_12 repeater3 (.A(net6), .X(net3));\r\n sky130_fd_sc_hd__buf_12 repeater4 (.A(net6), .X(net4));\r\n\r\n sky130_fd_sc_hd__buf_12 repeater2 (.A(net4), .X(net2));\r\n```\r\n\r\nThe DFFs have between 0 and 5 buffers inserted:\r\n\r\n```\r\n# DFF driving net\r\n sky130_fd_sc_hd__dfxtp_4 _50000_50000_ (.CLK(net1), .Q(_000000_));\r\n\r\n# 0 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _755000_50000_ (.CLK(net1), .D(_000000_));\r\n\r\n# 1 buffer\r\n sky130_fd_sc_hd__dfxtp_4 _1460000_50000_ (.CLK(net1), .D(net9));\r\n sky130_fd_sc_hd__dfxtp_4 _2165000_50000_ (.CLK(net1), .D(net9));\r\n sky130_fd_sc_hd__dfxtp_4 _2870000_50000_ (.CLK(net1), .D(net9));\r\n\r\n# 1 buffer\r\n sky130_fd_sc_hd__dfxtp_4 _50000_905000_ (.CLK(net14), .D(net10));\r\n sky130_fd_sc_hd__dfxtp_4 _755000_905000_ (.CLK(net14), .D(net10));\r\n\r\n# 2 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _1460000_905000_ (.CLK(net14), .D(net7));\r\n sky130_fd_sc_hd__dfxtp_4 _2165000_905000_ (.CLK(net14), .D(net7));\r\n sky130_fd_sc_hd__dfxtp_4 _2870000_905000_ (.CLK(net14), .D(net7));\r\n\r\n# 2 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _50000_1760000_ (.CLK(net13), .D(net8));\r\n sky130_fd_sc_hd__dfxtp_4 _755000_1760000_ (.CLK(net13), .D(net8));\r\n\r\n# 3 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _50000_2615000_ (.CLK(net12), .D(net6));\r\n sky130_fd_sc_hd__dfxtp_4 _755000_2615000_ (.CLK(net12), .D(net6));\r\n\r\n# 3 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _1460000_1760000_ (.CLK(net13), .D(net5));\r\n sky130_fd_sc_hd__dfxtp_4 _2165000_1760000_ (.CLK(net13), .D(net5));\r\n sky130_fd_sc_hd__dfxtp_4 _2870000_1760000_ (.CLK(net13), .D(net5));\r\n\r\n# 4 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _1460000_2615000_ (.CLK(net12), .D(net3));\r\n sky130_fd_sc_hd__dfxtp_4 _2165000_2615000_ (.CLK(net12), .D(net3));\r\n sky130_fd_sc_hd__dfxtp_4 _2870000_2615000_ (.CLK(net12), .D(net3));\r\n\r\n# 4 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _50000_3470000_ (.CLK(net11), .D(net4));\r\n sky130_fd_sc_hd__dfxtp_4 _755000_3470000_ (.CLK(net11), .D(net4));\r\n\r\n# 5 buffers\r\n sky130_fd_sc_hd__dfxtp_4 _1460000_3470000_ (.CLK(net11), .D(net2));\r\n sky130_fd_sc_hd__dfxtp_4 _2165000_3470000_ (.CLK(net11), .D(net2));\r\n sky130_fd_sc_hd__dfxtp_4 _2870000_3470000_ (.CLK(net11), .D(net2));\r\n```\r\n\r\nA 3:3:3 tree of buffers would reduce the max depth by 2.\r\n\r\n[resizer-buffer-insertion.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8307959/resizer-buffer-insertion.tar.gz)\r\n"}, {"assistant": "I see it creating \r\n![image](https://user-images.githubusercontent.com/761514/159629438-ba655e44-d586-4cf5-9f98-3c9450f18972.png)\r\n\r\nHow would your 3:3:3 tree look and would it avoid any max cap/slew/length violations?"}, {"user": "I need to rerun the tests now that we've got to the bottom of https://github.com/The-OpenROAD-Project/OpenROAD/issues/1736"}, {"user": "I took another look at this. This test case:\r\n\r\n[fanout.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9080972/fanout.tar.gz)\r\n\r\ndisables capacitance, slew and wire repair and just focuses on how `repair_design` fixes max fan out violations. There is a net with 8000 FFs on it and we set a max fan out of 20, which we should be able to repair with 3 levels of buffers.\r\n\r\n`test-nofanout.v` is the baseline, where we use the resizer to fix the fan out issues. While we do fix the fan out issues, we've created some very long chains of buffers:\r\n\r\n```\r\nStartpoint: source (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: sink767 (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 ^ source/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 2.47 1.86 1.86 ^ source/Q (sky130_fd_sc_hd__dfxtp_4)\r\n 9 1.35 net (net)\r\n 2.47 0.00 1.86 ^ repeater8482/A (sky130_fd_sc_hd__buf_6)\r\n 0.12 0.30 2.16 ^ repeater8482/X (sky130_fd_sc_hd__buf_6)\r\n 15 0.04 net8482 (net)\r\n 0.12 0.00 2.16 ^ repeater8480/A (sky130_fd_sc_hd__buf_4)\r\n 0.10 0.18 2.34 ^ repeater8480/X (sky130_fd_sc_hd__buf_4)\r\n 13 0.03 net8480 (net)\r\n 0.10 0.00 2.34 ^ repeater8291/A (sky130_fd_sc_hd__clkbuf_4)\r\n 0.14 0.22 2.56 ^ repeater8291/X (sky130_fd_sc_hd__clkbuf_4)\r\n 19 0.04 net8291 (net)\r\n 0.14 0.00 2.56 ^ repeater8290/A (sky130_fd_sc_hd__clkbuf_4)\r\n 0.14 0.24 2.80 ^ repeater8290/X (sky130_fd_sc_hd__clkbuf_4)\r\n 19 0.04 net8290 (net)\r\n 0.14 0.00 2.80 ^ repeater8289/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 3.02 ^ repeater8289/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8289 (net)\r\n 0.14 0.00 3.02 ^ repeater8288/A (sky130_fd_sc_hd__buf_4)\r\n 0.13 0.21 3.23 ^ repeater8288/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8288 (net)\r\n 0.13 0.00 3.23 ^ repeater8287/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 3.44 ^ repeater8287/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8287 (net)\r\n 0.14 0.00 3.44 ^ repeater8286/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 3.66 ^ repeater8286/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8286 (net)\r\n 0.14 0.00 3.66 ^ repeater8285/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 3.89 ^ repeater8285/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8285 (net)\r\n 0.15 0.00 3.89 ^ repeater8284/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.16 4.05 ^ repeater8284/X (sky130_fd_sc_hd__buf_6)\r\n 16 0.04 net8284 (net)\r\n 0.09 0.00 4.05 ^ repeater8282/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.20 4.25 ^ repeater8282/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8282 (net)\r\n 0.14 0.00 4.25 ^ repeater8281/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 4.47 ^ repeater8281/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8281 (net)\r\n 0.15 0.00 4.47 ^ repeater8280/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.17 4.64 ^ repeater8280/X (sky130_fd_sc_hd__buf_6)\r\n 19 0.04 net8280 (net)\r\n 0.09 0.00 4.64 ^ repeater8279/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.20 4.85 ^ repeater8279/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8279 (net)\r\n 0.14 0.00 4.85 ^ repeater8278/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 5.06 ^ repeater8278/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8278 (net)\r\n 0.14 0.00 5.06 ^ repeater8277/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 5.28 ^ repeater8277/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8277 (net)\r\n 0.15 0.00 5.28 ^ repeater8276/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.16 5.45 ^ repeater8276/X (sky130_fd_sc_hd__buf_6)\r\n 14 0.04 net8276 (net)\r\n 0.09 0.00 5.45 ^ repeater8275/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.16 5.60 ^ repeater8275/X (sky130_fd_sc_hd__buf_6)\r\n 19 0.05 net8275 (net)\r\n 0.10 0.00 5.61 ^ repeater8273/A (sky130_fd_sc_hd__clkbuf_4)\r\n 0.14 0.23 5.83 ^ repeater8273/X (sky130_fd_sc_hd__clkbuf_4)\r\n 19 0.05 net8273 (net)\r\n 0.14 0.00 5.83 ^ repeater8272/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 6.06 ^ repeater8272/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8272 (net)\r\n 0.15 0.00 6.06 ^ repeater8271/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.17 6.23 ^ repeater8271/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8271 (net)\r\n 0.10 0.00 6.23 ^ repeater8270/A (sky130_fd_sc_hd__buf_4)\r\n 0.12 0.19 6.42 ^ repeater8270/X (sky130_fd_sc_hd__buf_4)\r\n 16 0.04 net8270 (net)\r\n 0.12 0.00 6.42 ^ repeater8269/A (sky130_fd_sc_hd__buf_4)\r\n 0.12 0.20 6.62 ^ repeater8269/X (sky130_fd_sc_hd__buf_4)\r\n 15 0.04 net8269 (net)\r\n 0.12 0.00 6.62 ^ repeater8268/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.17 6.79 ^ repeater8268/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8268 (net)\r\n 0.10 0.00 6.79 ^ repeater8267/A (sky130_fd_sc_hd__buf_4)\r\n 0.10 0.17 6.96 ^ repeater8267/X (sky130_fd_sc_hd__buf_4)\r\n 14 0.03 net8267 (net)\r\n 0.10 0.00 6.96 ^ repeater8266/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.20 7.16 ^ repeater8266/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8266 (net)\r\n 0.14 0.00 7.16 ^ repeater8265/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 7.38 ^ repeater8265/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8265 (net)\r\n 0.14 0.00 7.38 ^ repeater8264/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 7.59 ^ repeater8264/X (sky130_fd_sc_hd__buf_4)\r\n 17 0.05 net8264 (net)\r\n 0.14 0.00 7.59 ^ repeater8263/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.17 7.76 ^ repeater8263/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8263 (net)\r\n 0.10 0.00 7.76 ^ repeater8262/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.20 7.97 ^ repeater8262/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8262 (net)\r\n 0.14 0.00 7.97 ^ repeater8261/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 8.18 ^ repeater8261/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8261 (net)\r\n 0.14 0.00 8.19 ^ repeater8260/A (sky130_fd_sc_hd__buf_4)\r\n 0.13 0.21 8.40 ^ repeater8260/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8260 (net)\r\n 0.13 0.00 8.40 ^ repeater8259/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 8.61 ^ repeater8259/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8259 (net)\r\n 0.14 0.00 8.61 ^ repeater8258/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.60 0.54 9.15 ^ repeater8258/X (sky130_fd_sc_hd__clkbuf_16)\r\n 17 1.06 net8258 (net)\r\n 5.34 2.67 11.82 ^ repeater8257/A (sky130_fd_sc_hd__buf_4)\r\n 0.21 0.66 12.48 ^ repeater8257/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8257 (net)\r\n 0.21 0.00 12.48 ^ repeater8256/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.24 12.72 ^ repeater8256/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8256 (net)\r\n 0.14 0.00 12.72 ^ repeater8249/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 12.94 ^ repeater8249/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8249 (net)\r\n 0.14 0.00 12.94 ^ repeater8247/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 13.15 ^ repeater8247/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8247 (net)\r\n 0.14 0.00 13.15 ^ repeater8246/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 13.37 ^ repeater8246/X (sky130_fd_sc_hd__buf_4)\r\n 18 0.05 net8246 (net)\r\n 0.14 0.00 13.37 ^ repeater8242/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 13.59 ^ repeater8242/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8242 (net)\r\n 0.14 0.00 13.59 ^ repeater8240/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 13.81 ^ repeater8240/X (sky130_fd_sc_hd__buf_4)\r\n 18 0.05 net8240 (net)\r\n 0.14 0.00 13.81 ^ repeater8239/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.17 13.98 ^ repeater8239/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8239 (net)\r\n 0.10 0.00 13.98 ^ repeater8237/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.21 14.19 ^ repeater8237/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8237 (net)\r\n 0.15 0.00 14.19 ^ repeater8235/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 14.42 ^ repeater8235/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8235 (net)\r\n 0.15 0.00 14.42 ^ repeater8234/A (sky130_fd_sc_hd__buf_4)\r\n 0.16 0.23 14.65 ^ repeater8234/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.06 net8234 (net)\r\n 0.16 0.00 14.65 ^ repeater8233/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.17 14.82 ^ repeater8233/X (sky130_fd_sc_hd__buf_6)\r\n 16 0.04 net8233 (net)\r\n 0.09 0.00 14.82 ^ repeater8229/A (sky130_fd_sc_hd__buf_4)\r\n 0.11 0.18 15.00 ^ repeater8229/X (sky130_fd_sc_hd__buf_4)\r\n 14 0.04 net8229 (net)\r\n 0.11 0.00 15.00 ^ repeater8227/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 15.21 ^ repeater8227/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8227 (net)\r\n 0.14 0.00 15.21 ^ repeater8225/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 15.43 ^ repeater8225/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8225 (net)\r\n 0.15 0.00 15.44 ^ repeater8224/A (sky130_fd_sc_hd__buf_4)\r\n 0.12 0.21 15.64 ^ repeater8224/X (sky130_fd_sc_hd__buf_4)\r\n 16 0.04 net8224 (net)\r\n 0.12 0.00 15.64 ^ repeater8219/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 15.85 ^ repeater8219/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8219 (net)\r\n 0.14 0.00 15.86 ^ repeater8217/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 16.08 ^ repeater8217/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8217 (net)\r\n 0.15 0.00 16.08 ^ repeater8215/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.23 16.31 ^ repeater8215/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8215 (net)\r\n 0.15 0.00 16.31 ^ repeater8214/A (sky130_fd_sc_hd__buf_6)\r\n 0.11 0.18 16.49 ^ repeater8214/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8214 (net)\r\n 0.11 0.00 16.49 ^ repeater8213/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.16 16.65 ^ repeater8213/X (sky130_fd_sc_hd__buf_6)\r\n 16 0.04 net8213 (net)\r\n 0.10 0.00 16.65 ^ repeater8208/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.20 16.85 ^ repeater8208/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8208 (net)\r\n 0.14 0.00 16.85 ^ repeater8207/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 17.07 ^ repeater8207/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8207 (net)\r\n 0.14 0.00 17.07 ^ repeater8206/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 17.29 ^ repeater8206/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8206 (net)\r\n 0.14 0.00 17.29 ^ repeater8205/A (sky130_fd_sc_hd__buf_4)\r\n 0.12 0.20 17.49 ^ repeater8205/X (sky130_fd_sc_hd__buf_4)\r\n 16 0.04 net8205 (net)\r\n 0.12 0.00 17.49 ^ repeater8197/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 17.70 ^ repeater8197/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8197 (net)\r\n 0.14 0.00 17.71 ^ repeater8196/A (sky130_fd_sc_hd__buf_4)\r\n 0.13 0.21 17.92 ^ repeater8196/X (sky130_fd_sc_hd__buf_4)\r\n 16 0.05 net8196 (net)\r\n 0.13 0.00 17.92 ^ repeater8195/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.16 18.08 ^ repeater8195/X (sky130_fd_sc_hd__buf_6)\r\n 16 0.04 net8195 (net)\r\n 0.09 0.00 18.08 ^ repeater8193/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.20 18.29 ^ repeater8193/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8193 (net)\r\n 0.14 0.00 18.29 ^ repeater8191/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 18.51 ^ repeater8191/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8191 (net)\r\n 0.15 0.00 18.51 ^ repeater8187/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 18.73 ^ repeater8187/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8187 (net)\r\n 0.14 0.00 18.73 ^ repeater8184/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 18.95 ^ repeater8184/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8184 (net)\r\n 0.15 0.00 18.95 ^ repeater8180/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.23 19.18 ^ repeater8180/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8180 (net)\r\n 0.15 0.00 19.18 ^ repeater8179/A (sky130_fd_sc_hd__buf_6)\r\n 0.11 0.18 19.36 ^ repeater8179/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8179 (net)\r\n 0.11 0.00 19.36 ^ repeater8178/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.16 19.53 ^ repeater8178/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8178 (net)\r\n 0.10 0.00 19.53 ^ repeater8173/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.21 19.74 ^ repeater8173/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8173 (net)\r\n 0.15 0.00 19.74 ^ repeater8172/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.23 19.97 ^ repeater8172/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8172 (net)\r\n 0.15 0.00 19.97 ^ repeater8168/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 20.19 ^ repeater8168/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8168 (net)\r\n 0.14 0.00 20.19 ^ repeater8167/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.23 20.42 ^ repeater8167/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8167 (net)\r\n 0.15 0.00 20.42 ^ repeater8166/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 20.64 ^ repeater8166/X (sky130_fd_sc_hd__buf_4)\r\n 18 0.05 net8166 (net)\r\n 0.14 0.00 20.64 ^ repeater8165/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.16 20.80 ^ repeater8165/X (sky130_fd_sc_hd__buf_6)\r\n 13 0.04 net8165 (net)\r\n 0.09 0.00 20.81 ^ repeater8164/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.15 20.95 ^ repeater8164/X (sky130_fd_sc_hd__buf_6)\r\n 15 0.04 net8164 (net)\r\n 0.09 0.00 20.95 ^ repeater8160/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.20 21.16 ^ repeater8160/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8160 (net)\r\n 0.14 0.00 21.16 ^ repeater8159/A (sky130_fd_sc_hd__buf_4)\r\n 0.12 0.20 21.36 ^ repeater8159/X (sky130_fd_sc_hd__buf_4)\r\n 15 0.04 net8159 (net)\r\n 0.12 0.00 21.36 ^ repeater8153/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 21.57 ^ repeater8153/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8153 (net)\r\n 0.14 0.00 21.57 ^ repeater8152/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 21.79 ^ repeater8152/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8152 (net)\r\n 0.14 0.00 21.79 ^ repeater8150/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 22.01 ^ repeater8150/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8150 (net)\r\n 0.14 0.00 22.01 ^ repeater8147/A (sky130_fd_sc_hd__buf_4)\r\n 0.13 0.21 22.22 ^ repeater8147/X (sky130_fd_sc_hd__buf_4)\r\n 18 0.05 net8147 (net)\r\n 0.13 0.00 22.23 ^ repeater8146/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.21 22.44 ^ repeater8146/X (sky130_fd_sc_hd__buf_4)\r\n 18 0.05 net8146 (net)\r\n 0.14 0.00 22.44 ^ repeater8143/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 22.67 ^ repeater8143/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8143 (net)\r\n 0.15 0.00 22.67 ^ repeater8139/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.23 22.89 ^ repeater8139/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8139 (net)\r\n 0.15 0.00 22.89 ^ repeater8138/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.18 23.07 ^ repeater8138/X (sky130_fd_sc_hd__buf_6)\r\n 19 0.05 net8138 (net)\r\n 0.10 0.00 23.07 ^ repeater8135/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.21 23.28 ^ repeater8135/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8135 (net)\r\n 0.15 0.00 23.28 ^ repeater8134/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.23 23.51 ^ repeater8134/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8134 (net)\r\n 0.15 0.00 23.51 ^ repeater8132/A (sky130_fd_sc_hd__buf_4)\r\n 0.15 0.22 23.73 ^ repeater8132/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8132 (net)\r\n 0.15 0.00 23.73 ^ repeater8131/A (sky130_fd_sc_hd__buf_6)\r\n 0.10 0.17 23.91 ^ repeater8131/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.05 net8131 (net)\r\n 0.10 0.00 23.91 ^ repeater8126/A (sky130_fd_sc_hd__buf_4)\r\n 0.13 0.20 24.11 ^ repeater8126/X (sky130_fd_sc_hd__buf_4)\r\n 17 0.05 net8126 (net)\r\n 0.13 0.00 24.11 ^ repeater8125/A (sky130_fd_sc_hd__buf_4)\r\n 0.14 0.22 24.32 ^ repeater8125/X (sky130_fd_sc_hd__buf_4)\r\n 19 0.05 net8125 (net)\r\n 0.14 0.00 24.32 ^ sink767/D (sky130_fd_sc_hd__dfxtp_1)\r\n 24.32 data arrival time\r\n\r\n 0.00 5.00 5.00 clock clk (rise edge)\r\n 0.00 5.00 clock network delay (ideal)\r\n 0.00 5.00 clock reconvergence pessimism\r\n 5.00 ^ sink767/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n -0.08 4.92 library setup time\r\n 4.92 data required time\r\n-----------------------------------------------------------------------------\r\n 4.92 data required time\r\n -24.32 data arrival time\r\n-----------------------------------------------------------------------------\r\n -19.41 slack (VIOLATED)\r\n```\r\n\r\nIn `test-nofanout.v` I manually fan out using buffers so that we end up with the expected 3 levels of buffers:\r\n\r\n```\r\nStartpoint: source (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: sink761 (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 ^ source/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 0.35 0.52 0.52 v source/Q (sky130_fd_sc_hd__dfxtp_4)\r\n 20 0.68 net (net)\r\n 2.36 1.16 1.68 v buffer_1_net/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.68 1.24 2.92 v buffer_1_net/X (sky130_fd_sc_hd__clkbuf_16)\r\n 20 2.62 net_1_net (net)\r\n 4.42 2.15 5.07 v buffer_18_net_1_net/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.63 1.85 6.92 v buffer_18_net_1_net/X (sky130_fd_sc_hd__clkbuf_16)\r\n 20 1.65 net_18_net_1_net (net)\r\n 8.15 4.09 11.01 v sink761/D (sky130_fd_sc_hd__dfxtp_1)\r\n 11.01 data arrival time\r\n\r\n 0.00 5.00 5.00 clock clk (rise edge)\r\n 0.00 5.00 clock network delay (ideal)\r\n 0.00 5.00 clock reconvergence pessimism\r\n 5.00 ^ sink761/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n -2.63 2.37 library setup time\r\n 2.37 data required time\r\n-----------------------------------------------------------------------------\r\n 2.37 data required time\r\n -11.01 data arrival time\r\n-----------------------------------------------------------------------------\r\n -8.65 slack (VIOLATED)\r\n```\r\n\r\n@jjcherry56 I can see where we split the net in `RepairDesign::repairNetJunc()`, but I'm wondering if we can do it at more optimal points. I see a lot of places where we split where `fanout_left == 1` and `fanout_right == 19` for example."}, {"assistant": "With commit c525def45 the timing looks like this:\r\n\r\n```\r\nStartpoint: source (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: sink3018 (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n---------------------------------------------------------------------------------\r\n 0.000 0.000 0.000 clock clk (rise edge)\r\n 0.000 0.000 clock network delay (ideal)\r\n 0.000 0.000 0.000 ^ source/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 0.899 0.957 0.957 v source/Q (sky130_fd_sc_hd__dfxtp_4)\r\n 4 1.371 net (net)\r\n 0.899 0.000 0.957 v fanout8254/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.392 0.735 1.692 v fanout8254/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 1.344 net8254 (net)\r\n 0.392 0.007 1.699 v wire8255/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.425 0.594 2.292 v wire8255/X (sky130_fd_sc_hd__clkbuf_16)\r\n 7 1.456 net8255 (net)\r\n 9.869 4.943 7.235 v fanout8126/A (sky130_fd_sc_hd__buf_6)\r\n 0.313 2.592 9.827 v fanout8126/X (sky130_fd_sc_hd__buf_6)\r\n 18 0.081 net8126 (net)\r\n 0.313 0.002 9.829 v fanout8119/A (sky130_fd_sc_hd__buf_2)\r\n 0.089 0.294 10.123 v fanout8119/X (sky130_fd_sc_hd__buf_2)\r\n 10 0.033 net8119 (net)\r\n 0.089 0.001 10.124 v fanout8117/A (sky130_fd_sc_hd__buf_2)\r\n 0.079 0.191 10.315 v fanout8117/X (sky130_fd_sc_hd__buf_2)\r\n 10 0.030 net8117 (net)\r\n 0.079 0.001 10.316 v fanout8116/A (sky130_fd_sc_hd__buf_4)\r\n 0.078 0.205 10.521 v fanout8116/X (sky130_fd_sc_hd__buf_4)\r\n 20 0.054 net8116 (net)\r\n 0.078 0.001 10.522 v sink3018/D (sky130_fd_sc_hd__dfxtp_1)\r\n 10.522 data arrival time\r\n\r\n 0.000 5.000 5.000 clock clk (rise edge)\r\n 0.000 5.000 clock network delay (ideal)\r\n 0.000 5.000 clock reconvergence pessimism\r\n 5.000 ^ sink3018/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n -0.136 4.864 library setup time\r\n 4.864 data required time\r\n---------------------------------------------------------------------------------\r\n 4.864 data required time\r\n -10.522 data arrival time\r\n---------------------------------------------------------------------------------\r\n -5.657 slack (VIOLATED)\r\n```\r\n\r\nThe best part is that repair_timing can improve the timing further if necessary.\r\n\r\n```\r\nStartpoint: source (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: sink6339 (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n---------------------------------------------------------------------------------\r\n 0.000 0.000 0.000 clock clk (rise edge)\r\n 0.000 0.000 clock network delay (ideal)\r\n 0.000 0.000 0.000 ^ source/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 0.079 0.369 0.369 v source/Q (sky130_fd_sc_hd__dfxtp_4)\r\n 4 0.046 net (net)\r\n 0.080 0.003 0.372 v wire8511/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.424 0.456 0.828 v wire8511/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 1.478 net8511 (net)\r\n 10.227 5.122 5.950 v fanout8344/A (sky130_fd_sc_hd__buf_8)\r\n 0.231 1.998 7.948 v fanout8344/X (sky130_fd_sc_hd__buf_8)\r\n 12 0.079 net8344 (net)\r\n 0.231 0.008 7.956 v fanout8333/A (sky130_fd_sc_hd__clkbuf_4)\r\n 0.092 0.276 8.232 v fanout8333/X (sky130_fd_sc_hd__clkbuf_4)\r\n 10 0.041 net8333 (net)\r\n 0.092 0.000 8.232 v fanout8331/A (sky130_fd_sc_hd__buf_4)\r\n 0.078 0.211 8.443 v fanout8331/X (sky130_fd_sc_hd__buf_4)\r\n 20 0.054 net8331 (net)\r\n 0.078 0.001 8.444 v sink6339/D (sky130_fd_sc_hd__dfxtp_1)\r\n 8.444 data arrival time\r\n\r\n 0.000 5.000 5.000 clock clk (rise edge)\r\n 0.000 5.000 clock network delay (ideal)\r\n 0.000 5.000 clock reconvergence pessimism\r\n 5.000 ^ sink6339/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n -0.136 4.864 library setup time\r\n 4.864 data required time\r\n---------------------------------------------------------------------------------\r\n 4.864 data required time\r\n -8.444 data arrival time\r\n---------------------------------------------------------------------------------\r\n -3.580 slack (VIOLATED)\r\n```\r\n\r\n\r\n"}, {"user": "Thanks @jjcherry56, this looks great! I have tested it out on a few designs and these long chains have gone away."}]} +{"num": 1714, "messages": [{"user": "I've been struggling with timing issues when taping out Microwatt on sky130. Part of the issue appears to be CTS which is producing clock trees with large max delay and large skew. An example is attached:\r\n\r\n```\r\nopenroad run.tcl\r\n```\r\n\r\nLooking at the stats for `user_clock2`:\r\n\r\n```\r\nClock user_clock2\r\nLatency CRPR Skew\r\n_138944_/CLK ^\r\n 8.01\r\n_132706_/CLK ^\r\n 6.10 -0.13 1.78\r\n```\r\n\r\n8ns of max delay and 1.8ns of skew. If you look one of the paths through the clock tree, there are a whole lot of buffers:\r\n\r\n```\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock user_clock2 (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.61 0.48 0.48 ^ user_clock2 (in)\r\n 1 0.14 user_clock2 (net)\r\n 0.62 0.00 0.48 ^ repeater12/A (sky130_fd_sc_hd__buf_12)\r\n 0.29 0.36 0.84 ^ repeater12/X (sky130_fd_sc_hd__buf_12)\r\n 1 0.33 net621 (net)\r\n 0.63 0.29 1.13 ^ clkbuf_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.06 0.28 1.40 ^ clkbuf_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 2 0.02 clknet_0_user_clock2 (net)\r\n 0.06 0.00 1.40 ^ clkbuf_1_1_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 1.53 ^ clkbuf_1_1_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_1_1_0_user_clock2 (net)\r\n 0.06 0.00 1.53 ^ clkbuf_1_1_1_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 1.66 ^ clkbuf_1_1_1_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_1_1_1_user_clock2 (net)\r\n 0.06 0.00 1.66 ^ clkbuf_1_1_2_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 1.79 ^ clkbuf_1_1_2_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_1_1_2_user_clock2 (net)\r\n 0.06 0.00 1.79 ^ clkbuf_1_1_3_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 1.92 ^ clkbuf_1_1_3_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_1_1_3_user_clock2 (net)\r\n 0.06 0.00 1.92 ^ clkbuf_1_1_4_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 2.06 ^ clkbuf_1_1_4_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_1_1_4_user_clock2 (net)\r\n 0.06 0.00 2.06 ^ clkbuf_1_1_5_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 2.19 ^ clkbuf_1_1_5_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_1_1_5_user_clock2 (net)\r\n 0.06 0.00 2.19 ^ clkbuf_1_1_6_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 2.32 ^ clkbuf_1_1_6_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_1_1_6_user_clock2 (net)\r\n 0.06 0.00 2.32 ^ clkbuf_1_1_7_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.42 0.38 2.71 ^ clkbuf_1_1_7_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.08 clknet_1_1_7_user_clock2 (net)\r\n 0.43 0.02 2.73 ^ clkbuf_2_3_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.07 0.22 2.94 ^ clkbuf_2_3_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_2_3_0_user_clock2 (net)\r\n 0.07 0.00 2.95 ^ clkbuf_2_3_1_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 3.08 ^ clkbuf_2_3_1_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_2_3_1_user_clock2 (net)\r\n 0.06 0.00 3.08 ^ clkbuf_2_3_2_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 3.21 ^ clkbuf_2_3_2_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_2_3_2_user_clock2 (net)\r\n 0.06 0.00 3.21 ^ clkbuf_2_3_3_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 3.34 ^ clkbuf_2_3_3_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_2_3_3_user_clock2 (net)\r\n 0.06 0.00 3.34 ^ clkbuf_2_3_4_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 3.47 ^ clkbuf_2_3_4_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_2_3_4_user_clock2 (net)\r\n 0.06 0.00 3.47 ^ clkbuf_2_3_5_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 3.60 ^ clkbuf_2_3_5_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_2_3_5_user_clock2 (net)\r\n 0.06 0.00 3.60 ^ clkbuf_2_3_6_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.33 0.32 3.92 ^ clkbuf_2_3_6_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.06 clknet_2_3_6_user_clock2 (net)\r\n 0.33 0.01 3.94 ^ clkbuf_3_7_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.07 0.21 4.14 ^ clkbuf_3_7_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_3_7_0_user_clock2 (net)\r\n 0.07 0.00 4.14 ^ clkbuf_3_7_1_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.07 0.14 4.28 ^ clkbuf_3_7_1_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_3_7_1_user_clock2 (net)\r\n 0.07 0.00 4.28 ^ clkbuf_3_7_2_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 4.41 ^ clkbuf_3_7_2_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_3_7_2_user_clock2 (net)\r\n 0.06 0.00 4.41 ^ clkbuf_3_7_3_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.21 0.24 4.65 ^ clkbuf_3_7_3_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.04 clknet_3_7_3_user_clock2 (net)\r\n 0.21 0.00 4.65 ^ clkbuf_4_14_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.18 4.83 ^ clkbuf_4_14_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_4_14_0_user_clock2 (net)\r\n 0.06 0.00 4.83 ^ clkbuf_4_14_1_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.06 0.13 4.96 ^ clkbuf_4_14_1_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_4_14_1_user_clock2 (net)\r\n 0.06 0.00 4.96 ^ clkbuf_4_14_2_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.04 0.12 5.07 ^ clkbuf_4_14_2_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_4_14_2_user_clock2 (net)\r\n 0.04 0.00 5.07 ^ clkbuf_4_14_3_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.20 0.23 5.30 ^ clkbuf_4_14_3_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.04 clknet_4_14_3_user_clock2 (net)\r\n 0.20 0.00 5.31 ^ clkbuf_5_28_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.07 0.18 5.48 ^ clkbuf_5_28_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_5_28_0_user_clock2 (net)\r\n 0.07 0.00 5.48 ^ clkbuf_5_28_1_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.20 0.23 5.72 ^ clkbuf_5_28_1_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.04 clknet_5_28_1_user_clock2 (net)\r\n 0.20 0.00 5.72 ^ clkbuf_6_57_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.07 0.18 5.90 ^ clkbuf_6_57_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 1 0.01 clknet_6_57_0_user_clock2 (net)\r\n 0.07 0.00 5.90 ^ clkbuf_6_57_1_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.16 0.20 6.10 ^ clkbuf_6_57_1_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.03 clknet_6_57_1_user_clock2 (net)\r\n 0.16 0.00 6.10 ^ clkbuf_7_115_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.17 0.24 6.34 ^ clkbuf_7_115_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.03 clknet_7_115_0_user_clock2 (net)\r\n 0.17 0.00 6.34 ^ clkbuf_8_231_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.64 0.59 6.93 ^ clkbuf_8_231_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 9 0.12 clknet_8_231_0_user_clock2 (net)\r\n 0.64 0.00 6.93 ^ clkbuf_leaf_1036_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.05 0.27 7.20 ^ clkbuf_leaf_1036_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 0.01 clknet_leaf_1036_user_clock2 (net)\r\n 0.05 0.00 7.20 ^ _135620_/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n 0.15 0.40 7.60 ^ _135620_/Q (sky130_fd_sc_hd__dfxtp_1)\r\n```\r\n\r\nIf I change some of the defaults in Openlane, I can improve both the max delay and skew quite a lot:\r\n\r\n```\r\n#set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {50};\r\nset ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {200};\r\n#set ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {0};\r\nset ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {1000};\r\n```\r\n\r\n```\r\nClock user_clock2\r\nLatency CRPR Skew\r\n_132486_/CLK ^\r\n 4.20\r\n_138166_/CLK ^\r\n 3.24 -0.15 0.81\r\n```\r\n\r\n4.2ns of max delay and 0.8ns of skew. What is interesting is we have appear to have reduced a number of the H tree layers quite a lot and yet the skew improved.\r\n\r\n```\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock user_clock2 (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.61 0.47 0.47 ^ user_clock2 (in)\r\n 1 0.13 user_clock2 (net)\r\n 0.62 0.00 0.47 ^ repeater12/A (sky130_fd_sc_hd__buf_12)\r\n 0.28 0.36 0.83 ^ repeater12/X (sky130_fd_sc_hd__buf_12)\r\n 1 0.33 net621 (net)\r\n 0.63 0.29 1.12 ^ clkbuf_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.25 0.43 1.55 ^ clkbuf_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 0.24 clknet_0_user_clock2 (net)\r\n 0.26 0.04 1.59 ^ clkbuf_2_3_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.89 0.74 2.34 ^ clkbuf_2_3_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 4 0.17 clknet_2_3_0_user_clock2 (net)\r\n 0.90 0.08 2.42 ^ clkbuf_4_14_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_2)\r\n 0.48 0.57 3.00 ^ clkbuf_4_14_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_2)\r\n 2 0.09 clknet_4_14_0_user_clock2 (net)\r\n 0.48 0.01 3.00 ^ clkbuf_5_28__f_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.30 0.45 3.45 ^ clkbuf_5_28__f_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 14 0.29 clknet_5_28__leaf_user_clock2 (net)\r\n 0.30 0.00 3.46 ^ clkbuf_leaf_191_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.12 0.28 3.74 ^ clkbuf_leaf_191_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 25 0.10 clknet_leaf_191_user_clock2 (net)\r\n 0.12 0.00 3.74 ^ _131522_/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 0.07 0.43 4.17 v _131522_/Q (sky130_fd_sc_hd__dfxtp_4)\r\n```\r\n\r\n[microwatt-cts.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8328851/microwatt-cts.tar.gz)\r\n\r\n"}, {"assistant": "Note the delays are not the min & max insertion delays, they are the min/max delays associated with the max skew."}, {"assistant": "I can get a still better result by reversing the order of the buffers in\r\n\r\nset ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_2};\r\n\r\nThe largest buffer should be first (I have an open task for a new hire to make this insensitive to ordering). With that I get:\r\n```\r\nClock user_clock2\r\nLatency CRPR Skew\r\n_136997_/CLK ^\r\n 3.34\r\n_135370_/CLK ^\r\n 2.61 -0.15 0.58\r\n```"}, {"assistant": "@sewkim @abk-openroad this is an autotuner opportunity"}, {"assistant": "https://github.com/RTimothyEdwards/open_pdks/issues/242"}, {"assistant": "@antonblanchard what are you hoping happens here with OR? These are OL overrides so I'm not sue what we can do about it in the software. I do think it is interesting to see if we can find better values through autotuning."}, {"user": "@maliberty Thanks for the CTS_CLK_BUFFER_LIST tweak. My aim is to improve CTS via any means, so openlane tuning is good stuff.\r\n\r\nAs I've been removing buffers in the clock tree, I'm a little worried I'll end up with clock tree slew issues. It feels like an input to CTS should be a max slew (as well as max skew and perhaps max delay), but having said that, what is the upper bound on clock slew before bad things start happening (I presume false clock edges etc?).\r\n\r\nI see the liberty files have 1.5ns for max transition on pretty much every pin (input/output of clkbuf, CLK input pin of FFs etc), so am I ok so long as I don't exceed this?\r\n"}, {"assistant": "Some conventional wisdom:\r\n\r\n- maxtran should never be more than 1/8 (ideally, 1/10) of the clock period\r\n\r\n- library maxtran is usually MUCH too large compared to realistic maxtran in real designs (e.g., with a too-large maxtran you lose too much crowbar current / internal power, and have too much vulnerability to crosstalk aggressors and consequent delay shifts)\r\n\r\n- at clock leaves especially, designers want to sharpen the transitions; there are often tighter maxtran constraints on FFs and clock buffers than on datapath instances\r\n- stay in the upper-left quarter of the Liberty table = first rule of thumb from designers\r\n\r\n- of course, too-tight maxtran constraints will result in oversizing / overdesign and harm design closure, so there is a balancing act here. "}, {"assistant": "In ORFS we call repair_clock_nets (https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/552bc27de3105d12b1d93755675cdb1445d08626/flow/scripts/cts.tcl#L44) but I don't see an equivalent in OL (@donn)"}, {"user": "@maliberty thanks for all the info, that helps a lot.\r\n\r\nI see a call to `repair_clock_nets` in `scripts/openroad/cts.tcl`:\r\n```\r\nputs \"\\[INFO]: Repairing long wires on clock nets...\"\r\n# CTS leaves a long wire from the pad to the clock tree root.\r\nrepair_clock_nets -max_wire_length $::env(CTS_CLK_MAX_WIRE_LENGTH)\r\n```"}, {"assistant": "repair_clock_nets is only to deal with the unbuffered wire from the pad/pin to the clock tree root. It doesn't do anything to the tree itself.\r\n\r\nThe skews in your reports are all reasonable so I don't see what you are worried about.\r\n\r\nWhat exactly are you trying to improve? Insertion delay is a necessary evil that you can't remove.\r\nIt can cause hold issues if the input arrivals do not account for it but that is just bad SDC.\r\n\r\nIf you look at your report you will see that most of the clock buffers have fanout 1 or 2, which combined with using a large buffer does not make much sense and will cause a large insertion delay. In your improved version the leaf clock buffer has a fanout 4, which is still not even close to CTS_SINK_CLUSTERING_SIZE.\r\n\r\nIf I use the ORFS sky130hd default values I see this:\r\nset ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_4};\r\nset ::env(CTS_ROOT_BUFFER) {sky130_fd_sc_hd__clkbuf_4};\r\nset ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {100};\r\nset ::env(CTS_SINK_CLUSTERING_SIZE) {30};\r\nset ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {0};\r\nClock user_clock2\r\nLatency CRPR Skew\r\n_140138_/CLK ^\r\n 6.910\r\n_131228_/CLK ^\r\n 5.683 -0.137 1.091\r\n\r\nwhich still has a huge insertion delay. using this as a starting point and playing autotuner on CTS_DISTANCE_BETWEEN_BUFFERS gets\r\nset ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_4};\r\nset ::env(CTS_ROOT_BUFFER) {sky130_fd_sc_hd__clkbuf_4};\r\nset ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {100};\r\nset ::env(CTS_SINK_CLUSTERING_SIZE) {30};\r\nset ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {600};\r\nClock user_clock2\r\nLatency CRPR Skew\r\n_135560_/CLK ^\r\n 4.433\r\n_137299_/CLK ^\r\n 3.282 -0.139 1.012\r\n\r\nwhich is pretty close to your result. I think the biggest issue here is the openlane's defaults."}, {"assistant": "As `CTS_CLK_BUFFER_LIST` been updated in open_pdks master. Hope the issue got fixed. Please re-open, if need further investigation."}]} +{"num": 1718, "messages": [{"user": "Despite the met3 resistance values being specified in the merged lef file being read, pdngen fails with \"met3 resistance not found in DB. Check the LEF or set it using the 'set_layer_rc' command.\".\r\n\r\n* Logs:\r\n```\r\nOpenROAD v2.0-3168-g5b0698f91 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: ./tmp/merged_unpadded.lef\r\n[INFO ODB-0127] Reading DEF file: ./in.def\r\n[INFO ODB-0128] Design: PPU\r\n[INFO ODB-0130] Created 101 pins.\r\n[INFO ODB-0131] Created 22972 components and 113199 component-terminals.\r\n[INFO ODB-0133] Created 12494 nets and 41087 connections.\r\n[INFO ODB-0134] Finished DEF file: ./in.def\r\n[INFO PDN-0016] Power Delivery Network Generator: Generating PDN\r\n config: openlane/scripts/openroad/pdn_cfg.tcl\r\n[INFO PDN-0008] Design name is PPU.\r\n[INFO PDN-0009] Reading technology data.\r\n[INFO PDN-0011] ****** INFO ******\r\nType: stdcell, stdcell_grid\r\n Stdcell Rails\r\n Layer: met1 - width: 0.480 pitch: 5.440 \r\n Straps\r\n Layer: met4 - width: 1.600 pitch: 153.600 offset: 16.320 \r\n Layer: met5 - width: 1.600 pitch: 153.180 offset: 16.650 \r\n Connect: {met4 met5} {met1 met4}\r\nType: macro, CORE_macro_grid_1\r\n Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90\r\n Straps\r\n Connect: {met4_PIN_ver met5}\r\n[INFO PDN-0012] **** END INFO ****\r\n[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.\r\n[INFO PDN-0015] Writing to database.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0019] Voltage on net VPWR is not explicitly set.\r\n[WARNING PSM-0022] Using voltage 1.800V for VDD network.\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (5.520um, 27.290um).\r\n[WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (329.040um, 180.470um).\r\n[WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (636.240um, 333.650um).\r\n[WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (5.520um, 486.830um).\r\n[WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (329.040um, 640.010um).\r\n[INFO PSM-0031] Number of PDN nodes on net VPWR = 26892.\r\n[INFO PSM-0064] Number of voltage sources = 5.\r\n[INFO PSM-0040] All PDN stripes on net VPWR are connected.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0019] Voltage on net VGND is not explicitly set.\r\n[WARNING PSM-0021] Using voltage 0.000V for ground network.\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (5.520um, 103.880um).\r\n[WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (252.240um, 103.880um).\r\n[WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (559.440um, 257.060um).\r\n[WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (5.520um, 410.240um).\r\n[WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (252.240um, 563.420um).\r\n[INFO PSM-0031] Number of PDN nodes on net VGND = 26404.\r\n[INFO PSM-0064] Number of voltage sources = 5.\r\n[INFO PSM-0040] All PDN stripes on net VGND are connected.\r\n[INFO]: You shouldn't be using set_rc\r\n[INFO PSM-0002] Output voltage file is specified as: ./tmp/floorplan/6-pdn.pga.rpt.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0019] Voltage on net VPWR is not explicitly set.\r\n[WARNING PSM-0022] Using voltage 1.800V for VDD network.\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (5.520um, 27.290um).\r\n[WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (329.040um, 180.470um).\r\n[WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (636.240um, 333.650um).\r\n[WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (5.520um, 486.830um).\r\n[WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (329.040um, 640.010um).\r\n[INFO PSM-0031] Number of PDN nodes on net VPWR = 26892.\r\n[ERROR PSM-0035] met3 resistance not found in DB. Check the LEF or set it using the 'set_layer_rc' command.\r\nError: pdn.tcl, 50 PSM-0035\r\n```\r\n\r\n* Reproducible:\r\n[rep.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8351597/rep.tar.gz)\r\n "}, {"user": "cc @marwaneltoukhy"}, {"assistant": "@osamahammad21 if you have time to look at this over the weekend please take a look. I think Matt is our until Monday."}, {"assistant": "I took a quick look and the message is just wrong. It is via3 that is missing resistance and that is true. "}]} +{"num": 1729, "messages": [{"user": "I'm trying to add constraints for a hard macro created by OpenROAD/Openlane and integrated into my design. I need constraints because we don't support liberty file creation yet, so the hard macro has no timing information.\r\n\r\nThis works:\r\n\r\n```\r\nset ram512_delay 5\r\nset_min_delay -to microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0* $ram512_delay\r\n```\r\n\r\nBut that requires you to estimate the clock tree delay to the CLK pin on the macro. I tried to specify a delay referenced to the CLK pin of the macro:\r\n\r\n```\r\nset_ram512_delay 0.5\r\nset_min_delay -from microwatt_0.soc0.bram.bram0.ram_0.memory_0/CLK -to microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0* $ram512_delay\r\n```\r\n\r\nBut that doesn't work. I also tried adding `-ignore_clock_latency`, but that didn't seem to help:\r\n\r\n```\r\nset_ram512_delay 0.5\r\nset_min_delay -ignore_clock_latency -from microwatt_0.soc0.bram.bram0.ram_0.memory_0/CLK -to microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0* $ram512_delay\r\n```\r\n\r\nI'm not sure if I am doing the wrong thing, or if this is an issue. Feel free to close if I'm misusing the API.\r\n\r\nTest case is too large to attach, so I put it here: https://ozlabs.org/~anton/junk/set_min_delay.tar.gz"}, {"assistant": "The problem is that your -to is not a valid exception point. If it is a pin it has to be and endpoint (output port or clocked setup/hold constrained input). Even if the constraints were supported on random pins (which would not be compatible with bigco) it would not do what I think you want it do do. I can't tell what you are trying to do from the descripion, but I think you have a black box (the ram) with no liberty but you want it to act like it has timing paths and timing checks. set_min_delay does not specify a path delay between pins, it specifies a constraint on paths between the start/end points. You should consider writing an sdf file for the rams with IOPATH and SETUP HOLD statements using TCL or python."}, {"user": "Thanks @jjcherry56 that is exactly the issue - OpenROAD has no way to produce timing data for hard macros, so DFFRAMs as well as my gate level multiplier and register file are all black boxes.\r\n\r\nThanks for the suggestion, I'll try constructing SDF files instead.\r\n"}, {"user": "I just realised we are producing SDF files, but we have no way to use them in Openlane. I'll look into that issue."}, {"assistant": "That isn't the SDF you are looking for. It is gate level annotation. You want it at the block level. It is a hack to use sdf because it is not context sensitive (input slew/ouput load dependent). But it does capture goes into goes otta relationships that is better than nothing.\r\n\r\nOnce upon a time OpenSTA had model generation capabilities, but in the general case it is a nightmare to get right (parasitics from routing over the macro, transparent latches inside the block that can't be modeled properly with liberty and barely with ILMs, exceptions to/from internal pins etc). One of these days maybe something will reappear."}, {"user": "@jjcherry56 does OpenSTA support wildcards on port names? I couldn't find anything concrete in the spec itself but the changelog says:\r\n\r\n```\r\n2.1\r\nThe use of the wildcard instance specification restricted to cells at the ASIC\r\nphysical primitive level, no longer allowed in PATH or port_path.\r\n\r\n3.0\r\nThe restriction of the use of the wildcard instance specification to cells at\r\nthe ASIC physical primitive level added in SDF 2.1 was removed.\r\n```\r\n\r\nSo I think wildcards on port names is legal in SDF v3.0. This is my attempt at a block level SDF for a macro with 3 64 bit inputs (a, b, c) and one 64 bit output (o).\r\n\r\n```\r\n(DELAYFILE\r\n (SDFVERSION \"3.0\")\r\n (DESIGN \"multiply_add_64x64\")\r\n (DATE \"Tue Apr 5 23:23:26 2022\")\r\n (VENDOR \"Manual\")\r\n (PROGRAM \"STA\")\r\n (VERSION \"2.3.1\")\r\n (DIVIDER .)\r\n (VOLTAGE 1.800::1.800)\r\n (PROCESS \"1.000::1.000\")\r\n (TEMPERATURE 25.000::25.000)\r\n (TIMESCALE 1ns)\r\n (CELL\r\n (CELLTYPE \"multiply_add_64x64\")\r\n (INSTANCE)\r\n (DELAY\r\n (ABSOLUTE\r\n (IOPATH a* o* (8:8:8) (8:8:8))\r\n (IOPATH b* o* (8:8:8) (8:8:8))\r\n (IOPATH c* o* (8:8:8) (8:8:8))\r\n )\r\n )\r\n\r\n (TIMINGCHECK\r\n (SETUP a* (posedge clk) (-1.9:-1.9:-1.9))\r\n (HOLD a* (posedge clk) (2.1:2.1:2.1))\r\n\r\n (SETUP b* (posedge clk) (-1.9:-1.9:-1.9))\r\n (HOLD b* (posedge clk) (2.1:2.1:2.1))\r\n\r\n (SETUP c* (posedge clk) (-1.9:-1.9:-1.9))\r\n (HOLD c* (posedge clk) (2.1:2.1:2.1))\r\n )\r\n )\r\n)\r\n```\r\n\r\nIt works with a[0] a[1] etc, but not a*"}, {"user": "I wrote some python to create the SDF file and avoid wildcards, but I haven't been able to get STA violations, even if I give extreme values of setup and hold. Wondering if I need to do something to integrate the SDF file. Test at https://ozlabs.org/~anton/junk/sdf-example.tar.gz\r\n\r\n```\r\nread_lef merged_unpadded.lef\r\nread_def user_project_wrapper.def\r\nread_liberty sky130_fd_sc_hd__tt_025C_1v80.lib\r\nread_sdc user_project_wrapper.sdc\r\nset_propagated_clock [all_clocks]\r\nread_sdf RAM512.sdf\r\n\r\nset_cmd_units -time ns -capacitance pF -current mA -voltage V -resistance kOhm -distance um\r\n\r\ncheck_setup\r\n\r\n# report hold violations\r\nreport_checks -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 1000\r\n```\r\n\r\n```\r\n(DELAYFILE\r\n (SDFVERSION \"3.0\")\r\n (DESIGN \"RAM512\")\r\n (DATE \"Tue Apr 5 23:23:26 2022\")\r\n (VENDOR \"Manual\")\r\n (PROGRAM \"STA\")\r\n (VERSION \"2.3.1\")\r\n (DIVIDER .)\r\n (VOLTAGE 1.800::1.800)\r\n (PROCESS \"1.000::1.000\")\r\n (TEMPERATURE 25.000::25.000)\r\n (TIMESCALE 1ns)\r\n (CELL\r\n (CELLTYPE \"RAM512\")\r\n (INSTANCE)\r\n (DELAY\r\n (ABSOLUTE\r\n (IOPATH CLK Do0[0] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[1] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[2] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[3] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[4] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[5] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[6] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[7] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[8] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[9] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[10] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[11] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[12] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[13] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[14] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[15] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[16] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[17] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[18] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[19] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[20] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[21] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[22] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[23] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[24] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[25] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[26] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[27] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[28] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[29] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[30] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[31] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[32] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[33] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[34] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[35] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[36] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[37] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[38] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[39] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[40] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[41] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[42] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[43] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[44] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[45] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[46] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[47] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[48] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[49] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[50] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[51] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[52] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[53] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[54] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[55] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[56] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[57] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[58] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[59] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[60] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[61] (8:8:8) (8:8:8))\r\n (IOPATH CLK Do0[62] (8:8:8) (8:8:8))\r\n )\r\n )\r\n (TIMINGCHECK\r\n (HOLD (posedge A0[0]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[0]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[0]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[0]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[1]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[1]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[1]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[1]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[2]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[2]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[2]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[2]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[3]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[3]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[3]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[3]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[4]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[4]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[4]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[4]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[5]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[5]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[5]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[5]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[6]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[6]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[6]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[6]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[7]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[7]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[7]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[7]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge A0[8]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge A0[8]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge A0[8]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge A0[8]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge EN0) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge EN0) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge EN0) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge EN0) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge WE0) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge WE0) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge WE0) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge WE0) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[0]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[0]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[0]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[0]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[1]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[1]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[1]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[1]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[2]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[2]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[2]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[2]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[3]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[3]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[3]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[3]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[4]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[4]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[4]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[4]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[5]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[5]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[5]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[5]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[6]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[6]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[6]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[6]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[7]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[7]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[7]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[7]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[8]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[8]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[8]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[8]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[9]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[9]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[9]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[9]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[10]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[10]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[10]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[10]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[11]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[11]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[11]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[11]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[12]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[12]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[12]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[12]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[13]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[13]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[13]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[13]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[14]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[14]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[14]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[14]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[15]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[15]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[15]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[15]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[16]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[16]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[16]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[16]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[17]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[17]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[17]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[17]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[18]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[18]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[18]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[18]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[19]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[19]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[19]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[19]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[20]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[20]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[20]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[20]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[21]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[21]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[21]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[21]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[22]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[22]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[22]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[22]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[23]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[23]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[23]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[23]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[24]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[24]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[24]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[24]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[25]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[25]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[25]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[25]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[26]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[26]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[26]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[26]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[27]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[27]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[27]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[27]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[28]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[28]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[28]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[28]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[29]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[29]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[29]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[29]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[30]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[30]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[30]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[30]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[31]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[31]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[31]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[31]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[32]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[32]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[32]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[32]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[33]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[33]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[33]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[33]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[34]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[34]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[34]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[34]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[35]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[35]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[35]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[35]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[36]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[36]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[36]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[36]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[37]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[37]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[37]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[37]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[38]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[38]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[38]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[38]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[39]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[39]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[39]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[39]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[40]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[40]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[40]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[40]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[41]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[41]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[41]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[41]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[42]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[42]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[42]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[42]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[43]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[43]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[43]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[43]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[44]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[44]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[44]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[44]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[45]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[45]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[45]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[45]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[46]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[46]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[46]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[46]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[47]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[47]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[47]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[47]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[48]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[48]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[48]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[48]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[49]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[49]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[49]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[49]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[50]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[50]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[50]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[50]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[51]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[51]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[51]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[51]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[52]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[52]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[52]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[52]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[53]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[53]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[53]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[53]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[54]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[54]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[54]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[54]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[55]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[55]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[55]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[55]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[56]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[56]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[56]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[56]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[57]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[57]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[57]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[57]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[58]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[58]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[58]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[58]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[59]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[59]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[59]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[59]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[60]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[60]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[60]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[60]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[61]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[61]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[61]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[61]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[62]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[62]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[62]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[62]) (posedge CLK) (2.2:2.2:2.2))\r\n (HOLD (posedge Di0[63]) (posedge CLK) (2.0:2.0:2.0))\r\n (HOLD (negedge Di0[63]) (posedge CLK) (2.0:2.0:2.0))\r\n (SETUP (posedge Di0[63]) (posedge CLK) (2.2:2.2:2.2))\r\n (SETUP (negedge Di0[63]) (posedge CLK) (2.2:2.2:2.2))\r\n )\r\n )\r\n)\r\n```"}, {"assistant": "No, wildcards are no allowed for ports (look at the BNF at the end of the spec). Only (INSTANCE *) is allowed.\r\n\r\nI realized after the fact that SDF is not going to help you. It will only ANNOTATE timing arcs in lieu of a delay calculator. You still need liberty for the cells."}, {"user": "I've created a liberty file. It's working (I no longer have hold violations through my macros), but it appears to be over fixing the design by a large amount (2x or more).\r\n\r\nAs an example, here is a timing path to the macro EN0 and CLK pins with 0 `hold_rising` in the liberty file:\r\n\r\n```\r\nStartpoint: _112874_ (rising edge-triggered flip-flop clocked by user_clock2)\r\nEndpoint: microwatt_0.soc0.bram.bram0.ram_0.memory_0\r\n (rising edge-triggered flip-flop clocked by user_clock2)\r\nPath Group: user_clock2\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock user_clock2 (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.52 0.40 0.40 ^ user_clock2 (in)\r\n 1 0.12 user_clock2 (net)\r\n 0.53 0.00 0.40 ^ repeater12/A (sky130_fd_sc_hd__buf_12)\r\n 0.28 0.35 0.75 ^ repeater12/X (sky130_fd_sc_hd__buf_12)\r\n 1 0.33 net754 (net)\r\n 0.63 0.29 1.04 ^ clkbuf_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.26 0.43 1.48 ^ clkbuf_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 0.25 clknet_0_user_clock2 (net)\r\n 0.28 0.05 1.53 ^ clkbuf_2_3_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.25 0.35 1.88 ^ clkbuf_2_3_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 4 0.14 clknet_2_3_0_user_clock2 (net)\r\n 0.27 0.06 1.94 ^ clkbuf_4_12_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.10 0.26 2.20 ^ clkbuf_4_12_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.05 clknet_4_12_0_user_clock2 (net)\r\n 0.10 0.01 2.20 ^ clkbuf_5_25__f_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.15 0.24 2.45 ^ clkbuf_5_25__f_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 6 0.14 clknet_5_25__leaf_user_clock2 (net)\r\n 0.15 0.00 2.45 ^ clkbuf_leaf_166_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.11 0.23 2.68 ^ clkbuf_leaf_166_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 25 0.09 clknet_leaf_166_user_clock2 (net)\r\n 0.11 0.00 2.68 ^ _112874_/CLK (sky130_fd_sc_hd__dfxtp_2)\r\n 0.09 0.41 3.09 v _112874_/Q (sky130_fd_sc_hd__dfxtp_2)\r\n 10 0.03 microwatt_0.soc0._308_ (net)\r\n 0.09 0.00 3.09 v _056814_/A (sky130_fd_sc_hd__or2b_1)\r\n 0.06 0.26 3.36 v _056814_/X (sky130_fd_sc_hd__or2b_1)\r\n 1 0.01 _010257_ (net)\r\n 0.06 0.00 3.36 v _056816_/A2 (sky130_fd_sc_hd__a21o_4)\r\n 0.09 0.29 3.65 v _056816_/X (sky130_fd_sc_hd__a21o_4)\r\n 10 0.05 _010259_ (net)\r\n 0.09 0.00 3.65 v _056819_/B (sky130_fd_sc_hd__and2_4)\r\n 0.08 0.24 3.89 v _056819_/X (sky130_fd_sc_hd__and2_4)\r\n 5 0.05 _010262_ (net)\r\n 0.08 0.00 3.89 v _056823_/S (sky130_fd_sc_hd__mux2_1)\r\n 0.09 0.39 4.28 v _056823_/X (sky130_fd_sc_hd__mux2_1)\r\n 1 0.01 _010265_ (net)\r\n 0.09 0.00 4.28 v _056824_/B1 (sky130_fd_sc_hd__a22o_1)\r\n 0.05 0.22 4.49 v _056824_/X (sky130_fd_sc_hd__a22o_1)\r\n 1 0.01 _010266_ (net)\r\n 0.05 0.00 4.49 v _056825_/A (sky130_fd_sc_hd__buf_4)\r\n 0.08 0.20 4.69 v _056825_/X (sky130_fd_sc_hd__buf_4)\r\n 7 0.05 microwatt_0._22_[27] (net)\r\n 0.08 0.00 4.69 v _058016_/B (sky130_fd_sc_hd__and2b_1)\r\n 0.05 0.20 4.89 v _058016_/X (sky130_fd_sc_hd__and2b_1)\r\n 1 0.01 _011249_ (net)\r\n 0.05 0.00 4.89 v _058017_/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.08 0.20 5.09 v _058017_/X (sky130_fd_sc_hd__clkbuf_8)\r\n 7 0.06 _011250_ (net)\r\n 0.08 0.01 5.09 v _059614_/B (sky130_fd_sc_hd__nor2_2)\r\n 0.26 0.25 5.34 ^ _059614_/Y (sky130_fd_sc_hd__nor2_2)\r\n 3 0.02 _012644_ (net)\r\n 0.26 0.00 5.34 ^ _059615_/A (sky130_fd_sc_hd__buf_8)\r\n 0.15 0.24 5.58 ^ _059615_/X (sky130_fd_sc_hd__buf_8)\r\n 10 0.09 _012645_ (net)\r\n 0.15 0.00 5.58 ^ _059616_/B (sky130_fd_sc_hd__and2_1)\r\n 0.16 0.24 5.81 ^ _059616_/X (sky130_fd_sc_hd__and2_1)\r\n 2 0.02 _012646_ (net)\r\n 0.16 0.00 5.81 ^ _059617_/A (sky130_fd_sc_hd__buf_12)\r\n 0.18 0.23 6.04 ^ _059617_/X (sky130_fd_sc_hd__buf_12)\r\n 10 0.15 microwatt_0.soc0.bram.bram0.ram_0._2_ (net)\r\n 0.20 0.05 6.09 ^ microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0 (RAM512)\r\n 6.09 data arrival time\r\n\r\n 30.00 30.00 clock user_clock2 (rise edge)\r\n 0.00 30.00 clock source latency\r\n 0.52 0.37 30.37 ^ user_clock2 (in)\r\n 1 0.12 user_clock2 (net)\r\n 0.53 0.00 30.37 ^ repeater12/A (sky130_fd_sc_hd__buf_12)\r\n 0.28 0.32 30.68 ^ repeater12/X (sky130_fd_sc_hd__buf_12)\r\n 1 0.33 net754 (net)\r\n 0.63 0.26 30.95 ^ clkbuf_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.26 0.39 31.34 ^ clkbuf_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 0.25 clknet_0_user_clock2 (net)\r\n 0.28 0.05 31.39 ^ clkbuf_2_2_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.33 0.38 31.77 ^ clkbuf_2_2_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 4 0.19 clknet_2_2_0_user_clock2 (net)\r\n 0.34 0.04 31.80 ^ clkbuf_4_10_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.16 0.28 32.09 ^ clkbuf_4_10_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.09 clknet_4_10_0_user_clock2 (net)\r\n 0.17 0.02 32.11 ^ clkbuf_5_21__f_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.45 0.41 32.52 ^ clkbuf_5_21__f_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 12 0.46 clknet_5_21__leaf_user_clock2 (net)\r\n 0.50 0.10 32.62 ^ clkbuf_leaf_104_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.06 0.23 32.85 ^ clkbuf_leaf_104_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 2 0.02 clknet_leaf_104_user_clock2 (net)\r\n 0.06 0.00 32.85 ^ microwatt_0.soc0.bram.bram0.ram_0.memory_0/CLK (RAM512)\r\n -0.25 32.60 clock uncertainty\r\n 0.14 32.75 clock reconvergence pessimism\r\n 0.00 32.75 library setup time\r\n 32.75 data required time\r\n-----------------------------------------------------------------------------\r\n 32.75 data required time\r\n -6.09 data arrival time\r\n-----------------------------------------------------------------------------\r\n 26.65 slack (MET)\r\n```\r\n\r\nThis looks reasonable. EN0 arrives significantly after the CLK, so no hold fixups are required. The liberty stanza looks like:\r\n\r\n```\r\n pin(EN0) {\r\n direction : input;\r\n related_ground_pin : \"VGND\";\r\n related_power_pin : \"VPWR\";\r\n\r\n /* sky130_fd_sc_hd__buf_1 */\r\n capacitance : 0.0021030000;\r\n rise_capacitance : 0.0021910000;\r\n fall_capacitance : 0.0020150000;\r\n max_transition : 1.5;\r\n\r\n timing() {\r\n timing_type : setup_rising;\r\n related_pin : \"CLK\";\r\n rise_constraint(scalar) {\r\n values(\"0.0\");\r\n }\r\n fall_constraint(scalar) {\r\n values(\"0.0\");\r\n }\r\n }\r\n timing() {\r\n timing_type : hold_rising;\r\n related_pin : \"CLK\";\r\n rise_constraint(scalar) {\r\n values(\"0\"); <---- change this to 5\r\n }\r\n fall_constraint(scalar) {\r\n values(\"0\"); <---- change this to 5\r\n }\r\n }\r\n }\r\n```\r\nAnd here is the same path when the EN0 pin in the liberty file has 5ns of `hold_rising`. Since the CLK arrives at 2.85ns, and EN0 changes at 6.09, I was expecting to see ~1.76ns of delay inserted:\r\n\r\n```Startpoint: _112874_ (rising edge-triggered flip-flop clocked by user_clock2)\r\nEndpoint: microwatt_0.soc0.bram.bram0.ram_0.memory_0\r\n (rising edge-triggered flip-flop clocked by user_clock2)\r\nPath Group: user_clock2\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock user_clock2 (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.52 0.40 0.40 ^ user_clock2 (in)\r\n 1 0.12 user_clock2 (net)\r\n 0.53 0.00 0.40 ^ repeater12/A (sky130_fd_sc_hd__buf_12)\r\n 0.28 0.35 0.75 ^ repeater12/X (sky130_fd_sc_hd__buf_12)\r\n 1 0.33 net754 (net)\r\n 0.63 0.29 1.04 ^ clkbuf_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.26 0.43 1.48 ^ clkbuf_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 0.25 clknet_0_user_clock2 (net)\r\n 0.28 0.05 1.53 ^ clkbuf_2_3_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.25 0.35 1.88 ^ clkbuf_2_3_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 4 0.14 clknet_2_3_0_user_clock2 (net)\r\n 0.27 0.06 1.94 ^ clkbuf_4_12_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.10 0.26 2.20 ^ clkbuf_4_12_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.05 clknet_4_12_0_user_clock2 (net)\r\n 0.10 0.01 2.20 ^ clkbuf_5_25__f_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.15 0.24 2.45 ^ clkbuf_5_25__f_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 6 0.14 clknet_5_25__leaf_user_clock2 (net)\r\n 0.15 0.00 2.45 ^ clkbuf_leaf_166_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.11 0.23 2.68 ^ clkbuf_leaf_166_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 25 0.09 clknet_leaf_166_user_clock2 (net)\r\n 0.11 0.00 2.68 ^ _112874_/CLK (sky130_fd_sc_hd__dfxtp_2)\r\n 0.08 0.41 3.09 v _112874_/Q (sky130_fd_sc_hd__dfxtp_2)\r\n 9 0.03 microwatt_0.soc0._308_ (net)\r\n 0.08 0.00 3.09 v _056814_/A (sky130_fd_sc_hd__or2b_1)\r\n 0.06 0.26 3.35 v _056814_/X (sky130_fd_sc_hd__or2b_1)\r\n 1 0.01 _010257_ (net)\r\n 0.06 0.00 3.35 v _056816_/A2 (sky130_fd_sc_hd__a21o_4)\r\n 0.09 0.29 3.64 v _056816_/X (sky130_fd_sc_hd__a21o_4)\r\n 10 0.05 _010259_ (net)\r\n 0.09 0.00 3.64 v _056819_/B (sky130_fd_sc_hd__and2_4)\r\n 0.08 0.24 3.88 v _056819_/X (sky130_fd_sc_hd__and2_4)\r\n 5 0.05 _010262_ (net)\r\n 0.08 0.00 3.88 v _056823_/S (sky130_fd_sc_hd__mux2_1)\r\n 0.09 0.39 4.27 v _056823_/X (sky130_fd_sc_hd__mux2_1)\r\n 1 0.01 _010265_ (net)\r\n 0.09 0.00 4.27 v _056824_/B1 (sky130_fd_sc_hd__a22o_1)\r\n 0.05 0.22 4.49 v _056824_/X (sky130_fd_sc_hd__a22o_1)\r\n 1 0.01 _010266_ (net)\r\n 0.05 0.00 4.49 v _056825_/A (sky130_fd_sc_hd__buf_4)\r\n 0.08 0.20 4.68 v _056825_/X (sky130_fd_sc_hd__buf_4)\r\n 7 0.05 microwatt_0._22_[27] (net)\r\n 0.08 0.00 4.69 v _058016_/B (sky130_fd_sc_hd__and2b_1)\r\n 0.05 0.20 4.88 v _058016_/X (sky130_fd_sc_hd__and2b_1)\r\n 1 0.01 _011249_ (net)\r\n 0.05 0.00 4.88 v _058017_/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.08 0.20 5.08 v _058017_/X (sky130_fd_sc_hd__clkbuf_8)\r\n 7 0.06 _011250_ (net)\r\n 0.08 0.01 5.08 v _059614_/B (sky130_fd_sc_hd__nor2_2)\r\n 0.26 0.25 5.33 ^ _059614_/Y (sky130_fd_sc_hd__nor2_2)\r\n 3 0.02 _012644_ (net)\r\n 0.26 0.00 5.33 ^ _059615_/A (sky130_fd_sc_hd__buf_8)\r\n 0.15 0.24 5.57 ^ _059615_/X (sky130_fd_sc_hd__buf_8)\r\n 10 0.09 _012645_ (net)\r\n 0.15 0.00 5.57 ^ _059616_/B (sky130_fd_sc_hd__and2_1)\r\n 0.16 0.24 5.81 ^ _059616_/X (sky130_fd_sc_hd__and2_1)\r\n 2 0.02 _012646_ (net)\r\n 0.16 0.00 5.81 ^ _059617_/A (sky130_fd_sc_hd__buf_12)\r\n 0.07 0.16 5.97 ^ _059617_/X (sky130_fd_sc_hd__buf_12)\r\n 10 0.05 microwatt_0.soc0.bram.bram0.ram_0._2_ (net)\r\n 0.07 0.00 5.97 ^ hold10/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.65 6.62 ^ hold10/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net766 (net)\r\n 0.15 0.00 6.62 ^ hold11/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.67 7.29 ^ hold11/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net767 (net)\r\n 0.15 0.00 7.29 ^ hold12/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.67 7.97 ^ hold12/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net768 (net)\r\n 0.15 0.00 7.97 ^ hold13/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.67 8.64 ^ hold13/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net769 (net)\r\n 0.15 0.00 8.64 ^ hold14/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.67 9.32 ^ hold14/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net770 (net)\r\n 0.15 0.00 9.32 ^ hold15/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.67 9.99 ^ hold15/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net771 (net)\r\n 0.15 0.00 9.99 ^ hold16/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.67 10.66 ^ hold16/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net772 (net)\r\n 0.15 0.00 10.66 ^ hold17/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.15 0.67 11.34 ^ hold17/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net773 (net)\r\n 0.15 0.00 11.34 ^ hold18/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.26 0.76 12.10 ^ hold18/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.03 net765 (net)\r\n 0.26 0.00 12.10 ^ microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0 (RAM512)\r\n 12.10 data arrival time\r\n\r\n 30.00 30.00 clock user_clock2 (rise edge)\r\n 0.00 30.00 clock source latency\r\n 0.52 0.37 30.37 ^ user_clock2 (in)\r\n 1 0.12 user_clock2 (net)\r\n 0.53 0.00 30.37 ^ repeater12/A (sky130_fd_sc_hd__buf_12)\r\n 0.28 0.32 30.68 ^ repeater12/X (sky130_fd_sc_hd__buf_12)\r\n 1 0.33 net754 (net)\r\n 0.63 0.26 30.95 ^ clkbuf_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.26 0.39 31.34 ^ clkbuf_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 4 0.25 clknet_0_user_clock2 (net)\r\n 0.28 0.05 31.39 ^ clkbuf_2_2_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.33 0.38 31.77 ^ clkbuf_2_2_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 4 0.19 clknet_2_2_0_user_clock2 (net)\r\n 0.34 0.04 31.80 ^ clkbuf_4_10_0_user_clock2/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.16 0.28 32.09 ^ clkbuf_4_10_0_user_clock2/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.09 clknet_4_10_0_user_clock2 (net)\r\n 0.17 0.02 32.11 ^ clkbuf_5_21__f_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.45 0.41 32.52 ^ clkbuf_5_21__f_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 12 0.46 clknet_5_21__leaf_user_clock2 (net)\r\n 0.50 0.10 32.62 ^ clkbuf_leaf_104_user_clock2/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.06 0.23 32.85 ^ clkbuf_leaf_104_user_clock2/X (sky130_fd_sc_hd__clkbuf_16)\r\n 2 0.02 clknet_leaf_104_user_clock2 (net)\r\n 0.06 0.00 32.85 ^ microwatt_0.soc0.bram.bram0.ram_0.memory_0/CLK (RAM512)\r\n -0.25 32.60 clock uncertainty\r\n 0.14 32.75 clock reconvergence pessimism\r\n 0.00 32.75 library setup time\r\n 32.75 data required time\r\n-----------------------------------------------------------------------------\r\n 32.75 data required time\r\n -12.10 data arrival time\r\n-----------------------------------------------------------------------------\r\n 20.64 slack (MET)\r\n```\r\n\r\nWe see quite a bit more, about 6ns of delay. I think the resizer is estimating ~0.55ns for the delay buffer, which is close to what we see in the STA results, so it doesn't appear to be that. I feel like I'm missing something here, but I can't spot it."}, {"user": "Here is the test case (`resizer_timing.tcl` and `resizer_timing-5.tcl`): [liberty-macro-hold-test.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8469429/liberty-macro-hold-test.tar.gz)\r\n"}, {"assistant": "If you want to see hold time checks you have to use report_checks -path_delay min (you are using max).\r\nRemoving all the -from/-to arg that prevent you from seeing the critical path, before resizing it looks like this:\r\n\r\n```\r\nStartpoint: _118386_ (rising edge-triggered flip-flop clocked by user_clock2)\r\nEndpoint: microwatt_0.soc0.bram.bram0.ram_0.memory_0\r\n (rising edge-triggered flip-flop clocked by user_clock2)\r\nPath Group: user_clock2\r\nPath Type: min\r\n\r\n Delay Time Description\r\n-----------------------------------------------------------\r\n 0.000 0.000 clock user_clock2 (rise edge)\r\n 2.427 2.427 clock network delay (propagated)\r\n 0.000 2.427 ^ _118386_/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n 0.338 2.764 v _118386_/Q (sky130_fd_sc_hd__dfxtp_1)\r\n 0.243 3.007 v _058073_/X (sky130_fd_sc_hd__a22o_1)\r\n 0.133 3.140 ^ _058074_/Y (sky130_fd_sc_hd__nand2_2)\r\n 0.101 3.240 v _058075_/Y (sky130_fd_sc_hd__inv_2)\r\n 0.187 3.428 v _059616_/X (sky130_fd_sc_hd__and2_1)\r\n 0.171 3.598 v _059617_/X (sky130_fd_sc_hd__buf_12)\r\n 0.043 3.641 v microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0 (RAM512)\r\n 3.641 data arrival time\r\n\r\n 0.000 0.000 clock user_clock2 (rise edge)\r\n 3.155 3.155 clock network delay (propagated)\r\n 0.250 3.405 clock uncertainty\r\n -0.141 3.264 clock reconvergence pessimism\r\n 3.264 ^ microwatt_0.soc0.bram.bram0.ram_0.memory_0/CLK (RAM512)\r\n 5.000 8.264 library hold time\r\n 8.264 data required time\r\n-----------------------------------------------------------\r\n 8.264 data required time\r\n -3.641 data arrival time\r\n-----------------------------------------------------------\r\n -4.623 slack (VIOLATED)\r\n\r\n```\r\nafter resizing it shows\r\n\r\n```\r\nStartpoint: _112874_ (rising edge-triggered flip-flop clocked by user_clock2)\r\nEndpoint: _112887_ (rising edge-triggered flip-flop clocked by user_clock2)\r\nPath Group: user_clock2\r\nPath Type: min\r\n\r\n Delay Time Description\r\n-----------------------------------------------------------\r\n 0.000 0.000 clock user_clock2 (rise edge)\r\n 2.427 2.427 clock network delay (propagated)\r\n 0.000 2.427 ^ _112874_/CLK (sky130_fd_sc_hd__dfxtp_2)\r\n 0.367 2.794 v _112874_/Q (sky130_fd_sc_hd__dfxtp_2)\r\n 0.134 2.927 ^ _056809_/Y (sky130_fd_sc_hd__nand3_2)\r\n 0.097 3.024 v _058132_/Y (sky130_fd_sc_hd__o21ai_1)\r\n 0.068 3.092 ^ _058133_/Y (sky130_fd_sc_hd__nand2_1)\r\n 0.094 3.186 v _058134_/Y (sky130_fd_sc_hd__a31oi_1)\r\n 0.000 3.186 v _112887_/D (sky130_fd_sc_hd__dfxtp_1)\r\n 3.186 data arrival time\r\n\r\n 0.000 0.000 clock user_clock2 (rise edge)\r\n 3.027 3.027 clock network delay (propagated)\r\n 0.250 3.277 clock uncertainty\r\n -0.141 3.136 clock reconvergence pessimism\r\n 3.136 ^ _112887_/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n -0.050 3.086 library hold time\r\n 3.086 data required time\r\n-----------------------------------------------------------\r\n 3.086 data required time\r\n -3.186 data arrival time\r\n-----------------------------------------------------------\r\n 0.100 slack (MET)\r\n\r\n\r\n```\r\nwhich is exactly the slack margin.\r\nAdding slack to other hold paths is irrelevant, and using headroom in setup paths to fix hold paths is intentional."}, {"user": "@jjcherry56 Of course! I was trying to improve my Fmax and noticed these long paths, but I guess they are unavoidable, because as you say we are just meeting the slack margin."}, {"assistant": "Not entirely unavoidable. If you crank down the cycle time it will look for other places to add the hold buffers and not disturb the setup (when possible)."}, {"user": "I've taken another look at this, and I think the resizer is not picking the best place to fix the hold violation. Here's a test case with a huge hold constraint of 100ns between the RAM macro CLK and EN0 pins, a similarly huge setup constraint of 100ns and a clock period of 250ns, just to exaggerate the effect.\r\n\r\nThe baseline (`base.tcl`) ends up fixing about half the hold violation, at which time we've run out of the 150ns of setup slack and give up.\r\n\r\nIn the modified version (`hacked.tcl/hacked.def`) I manually added ~100ns of hold buffers to the EN0 pin of the macro. I run it through the resizer to fix up any remaining hold issues, and this version not only fixes all of the 100ns hold violation, it does so with ~30ns of spare setup slack.\r\n\r\nSo what is going on? If we look at the path the resizer works on first:\r\n\r\n```\r\n 0.11 0.00 2.43 ^ _118386_/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n 0.07 0.34 2.76 v _118386_/Q (sky130_fd_sc_hd__dfxtp_1)\r\n 2 0.01 microwatt_0.soc0._309_ (net)\r\n 0.07 0.00 2.76 v _058073_/A1 (sky130_fd_sc_hd__a22o_1)\r\n 0.08 0.24 3.01 v _058073_/X (sky130_fd_sc_hd__a22o_1)\r\n 1 0.01 _011293_ (net)\r\n 0.08 0.00 3.01 v _058074_/B (sky130_fd_sc_hd__nand2_2)\r\n 0.12 0.13 3.14 ^ _058074_/Y (sky130_fd_sc_hd__nand2_2)\r\n 2 0.02 _011294_ (net)\r\n 0.12 0.00 3.14 ^ _058075_/A (sky130_fd_sc_hd__inv_2)\r\n 0.08 0.10 3.24 v _058075_/Y (sky130_fd_sc_hd__inv_2)\r\n 4 0.03 _011295_ (net)\r\n 0.08 0.00 3.24 v _059616_/A (sky130_fd_sc_hd__and2_1)\r\n 0.08 0.19 3.43 v _059616_/X (sky130_fd_sc_hd__and2_1)\r\n 2 0.01 _012646_ (net)\r\n 0.08 0.00 3.43 v _059617_/A (sky130_fd_sc_hd__buf_12)\r\n 0.08 0.17 3.60 v _059617_/X (sky130_fd_sc_hd__buf_12)\r\n 10 0.15 microwatt_0.soc0.bram.bram0.ram_0._2_ (net)\r\n 0.12 0.04 3.64 v microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0 (RAM512)\r\n```\r\n\r\nAll the outputs of the instances on that path are the top candidates to fix:\r\n\r\n```\r\nSorted fanins\r\n hold_slack slack_gap level\r\n_118386_/Q -99.623 248.180\r\n_058075_/Y -99.623 246.273\r\n_058074_/Y -99.623 246.273\r\n_058073_/X -99.623 246.273\r\n_059617_/X -99.623 245.730\r\n_059616_/X -99.623 245.730\r\n_058071_/X -99.456 247.511\r\n_112874_/Q -99.456 246.115\r\n```\r\n\r\nHaving said that, `_059617_/X` which feeds ` microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0` is not at the top of the list. Also, we really don't want to fix the output of `_059617_/X`, since it has a fanout of 10. We want instead to fix the input of `microwatt_0.soc0.bram.bram0.ram_0.memory_0/EN0`.\r\n\r\nIs it possible to teach the resizer to create a new net to avoid hurting the other 9 things on this net and operate on it?\r\n\r\nHere's the updated test case: [liberty-macro-hold-test-2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8503517/liberty-macro-hold-test-2.tar.gz)\r\n"}, {"assistant": "Hold fixing actually tries to do the opposite of what you are advocating. Fixing problems at endpoints means they none of the inserted delay is shared when there are multiple failing endpoints. The other fanouts of _059617_/X do not fail if they are delayed so it makes no attempt to avoid them. The clock period says it isn't an issue.\r\n\r\nThis example can be repaired at the endpoint or the startpoint. Here's a command to demonstrate it:\r\n\r\n`set_assigned_delay -net -from _059617_/A -to _059617_/X 106`\r\n\r\nI haven't figured out why this fails to repair the hold, but segregating the other fanouts of _059617_ is not going to solve the problem (as the command above demonstrates)."}, {"user": "That makes sense. Perhaps the issue is the resizer doesn't look for other places in the path to fix the hold violation once it has used up all the available setup slack."}, {"assistant": "I am working on it. I can get your example to \"work\" but of course there is fallout and getting it all to work is taking a while."}, {"user": "Thanks @jjcherry56!"}, {"assistant": "it should work now\r\nf790f0d31 master origin/master Merge pull request #1819 from The-OpenROAD-Project-staging/secure-rsz_hold"}, {"user": "Thanks @jjcherry56, I've tested it and it does resolve the issue. It's made a huge difference to the fmax we can achieve with Microwatt on sky130hd. Nice work!"}]} +{"num": 1730, "messages": [{"user": "The following test case hits a SEGV in the STA code during CTS:\r\n\r\n```\r\n(gdb) backtrace \r\n#0 0x00000000011f2a6a in sta::ConcreteCell::findPort(char const*) const ()\r\n#1 0x0000000001787865 in cts::TechChar::computeTopologyResults(cts::TechChar::SolutionData, sta::Vertex*, float, unsigned int) ()\r\n#2 0x0000000001792aa3 in cts::TechChar::create() ()\r\n#3 0x00000000017590b7 in cts::TritonCTS::runTritonCts() ()\r\n#4 0x0000000001764770 in _wrap_run_triton_cts ()\r\n#5 0x00007ffff7e2db52 in TclNRRunCallbacks () from /lib64/libtcl8.6.so\r\n#6 0x00007ffff7e32bea in ?? () from /lib64/libtcl8.6.so\r\n#7 0x00007ffff7e33417 in Tcl_EvalEx () from /lib64/libtcl8.6.so\r\n#8 0x00007ffff7e3343a in Tcl_Eval () from /lib64/libtcl8.6.so\r\n#9 0x00000000011b679c in sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) ()\r\n#10 0x0000000000fa5614 in ord::tclAppInit(Tcl_Interp*) ()\r\n#11 0x00007ffff7ee5a59 in Tcl_MainEx () from /lib64/libtcl8.6.so\r\n#12 0x0000000000f507e3 in main ()\r\n```\r\n\r\n[cts-segv.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8378470/cts-segv.tar.gz)"}, {"user": "I realise what is going on - I was working on the cell exclude lists for openroad and somehow excluded clock cells. So it's definitely an invalid configuration, but might be nice to error out rather than SEGV."}, {"assistant": "There was a check that each buffer exists in LEF but not one for Liberty. I'm adding that so you'll get an error instead of a crash."}, {"user": "Thanks @maliberty!"}]} +{"num": 1736, "messages": [{"user": "I'm trying to set a max fanout for all pins in a design. My understanding is this should do it:\r\n\r\n```\r\nset_max_fanout 5 [ current_design ]\r\n```\r\n\r\nBut that doesn't appear to work since I end up with nets much higher fanouts (ie the resizer didn't repair them), and STA also doesn't report any max fanout violations.\r\n\r\nWorking with @maliberty and @tspyrou, we tried a number of other possible ways to add a fanout constraint:\r\n\r\n```\r\nset_max_fanout 5 [ get_nets -hier * ]\r\nset_max_fanout 5 [ get_cells -of_object [get_nets -hier * ] ]\r\nset_max_fanout 5 [ get_cells -hier * ]\r\nset_max_fanout 5 [ get_cells ]\r\nset_max_fanout 5 [ get_lib_cells * ]\r\nset_max_fanout 5 [ get_lib_cells -of_objects [ get_cells -hier * ] ]\r\n```\r\n\r\nAll seemed to fail.\r\n\r\nTest case: [set_max_fanout.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8386757/set_max_fanout.tar.gz)\r\n\r\nThis runs STA against a design with some large fan outs, and set_max_fanout set to 5:\r\n\r\n```Startpoint: _621_ (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: _498_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.98 0.72 0.72 ^ clk (in)\r\n 1 0.22 clk (net)\r\n 0.98 0.00 0.72 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.16 0.41 1.13 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__clkbuf_16)\r\n 2 0.14 clknet_0_clk (net)\r\n 0.16 0.00 1.13 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.05 0.17 1.31 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.02 clknet_1_0_0_clk (net)\r\n 0.05 0.00 1.31 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.20 0.25 1.56 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.11 clknet_1_0_1_clk (net)\r\n 0.20 0.00 1.56 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.21 0.32 1.88 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.12 clknet_2_0_0_clk (net)\r\n 0.22 0.01 1.89 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.90 0.81 2.70 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 8 0.54 clknet_3_1_0_clk (net)\r\n 0.90 0.04 2.74 ^ clkbuf_leaf_93_clk/A (sky130_fd_sc_hd__clkbuf_16)\r\n 0.06 0.29 3.03 ^ clkbuf_leaf_93_clk/X (sky130_fd_sc_hd__clkbuf_16)\r\n 2 0.01 clknet_leaf_93_clk (net)\r\n 0.06 0.00 3.03 ^ _621_/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 1.56 1.48 4.51 ^ _621_/Q (sky130_fd_sc_hd__dfxtp_4)\r\n 26 0.57 a_registered[5] (net)\r\n 1.56 0.02 4.53 ^ U$$416/A2 (sky130_fd_sc_hd__a22o_4)\r\n 4.22 3.09 7.63 ^ U$$416/X (sky130_fd_sc_hd__a22o_4)\r\n 65 1.56 sel_1$4647 (net)\r\n 4.35 0.61 8.24 ^ U$$543/B2 (sky130_fd_sc_hd__a22o_1)\r\n 0.18 0.55 8.79 ^ U$$543/X (sky130_fd_sc_hd__a22o_1)\r\n 1 0.01 t$4711 (net)\r\n 0.18 0.00 8.79 ^ U$$544/A (sky130_fd_sc_hd__xor2_2)\r\n 0.22 0.15 8.94 v U$$544/X (sky130_fd_sc_hd__xor2_2)\r\n 1 0.02 booth_b6_m63 (net)\r\n```\r\n\r\nAnd yet:\r\n\r\n```\r\nmax fanout violation count 0\r\n```\r\n"}, {"assistant": "Note the manual says list of ports or cells are the objects supported but\r\nset_max_fanout 5 [get_cells -hier *] gives this error.\r\n[ERROR STA-0467] unsupported object type Instance.\r\nError: base.sdc, 13 STA-0467\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "@jjcherry56, I edited the line reading from an envi variable and hardcoded 5 since that is what @antonblanchard is trying to set.\r\n\r\nThe background is that there are some black boxes with no liberty causing some paths to be unconstrained. The resizer leaves behind fanout violations on the unconstrained paths as expected. He is trying to find a way to fix those. In the efabless flow the final timing analysis will be flat and netlists will fill in the black boxes but when openroad is run the black boxes are there. This flow has to be improved but in the meantime he is trying to make progress.\r\n"}, {"assistant": "The problem is the liberty file. \"fanout\" is not the number of loads, it is defined by the liberty fanout_load attribute on input pins and in this library the default value is zero:\r\n\r\n default_fanout_load : 0.0000000000;\r\n\r\nchange it to 1 and you will see the expected behavior\r\n\r\n default_fanout_load : 1.0;\r\n"}, {"assistant": "@antonblanchard can you file an issue on openlane to fix this liberty? @donn fyi."}, {"user": "Thanks @jjcherry56, I verified that fixing the liberty file fixed the issue.\r\n\r\nI'm not sure if we have modify the liberty files in open_pdks (so we can fix this ourselves), but I submitted a PR against the sky130 repo at https://github.com/google/skywater-pdk-libs-sky130_fd_sc_hd/pull/7"}, {"user": "@tspyrou FYI the fix is now in open_pdks, and I also submitted a PR for ORFS. I see a commit in a PR from @jjcherry56 to take care of the OpenROAD tests."}]} +{"num": 1747, "messages": [{"user": "Can OpenRoad implement a TCL command for routing only specific nets? Looks like FastRoute will route the nets it has been asked to route. So this should be a fairly simple feature to implement.\r\n\r\nThe reason for this is that I wanted to extend OpenLane to route some PDN/Mixed signals. However, it seems that the only reasonable way to do this is by asking FastRoute to route these signals before Place. Any suggestions?"}, {"assistant": "PDN signals are routed by pdngen not fastroute. Would you describe further what you are trying to do. Note that you would also have to enhance the detailed router as well."}, {"user": "I am trying to route mixed signals from PADs to custom GPIOs/DACs/ADCs located inside a Caravan template without any manual work. For this I made a custom NONDEFAULTRULE in LEF and I can observe that these rules are followed by both global and detailed router. The result GDS also follows the NONDEFAULTRULE after updating to latest version.\r\n\r\nHowever, since the timings for these nets are disabled in SDC the observed routes are not the shortest paths, since there signals between PADs and GPIO module which are routed with shortest path, as they have timings enabled.\r\n\r\nSo I am currently trying to implement GPIO routing in the floorplanning stage, before placement (as the placement of GPIO is done manually) and then fixing them, preventing routers from modifying these nets. This would also allow user to see the nets and analyze the optimality before fully completing the flow.\r\n\r\nI could just remove all nets from the DEF, do the routing, then add the routed nets back to DEF, but I thought if the router allowed to select the nets to route or prioritize this would solve this issue.\r\n\r\nMaybe there is better solution for this, but I couldn't figure out a way to do it."}, {"assistant": "@maliberty \r\nAny update on this request?"}, {"assistant": "It's a niche use model so it has a low priority. I'll assign it but I can't guarantee any timeline."}, {"assistant": "Another option would be a user defined high priority set of nets."}]} +{"num": 1753, "messages": [{"user": "cmake ..\r\nindicates that Qt5 can't be found; tho Qt5 and qtcreator are working fine.\r\nThere is no findqt5.cmake but there is a findqt4.cmake from a previous install.\r\nresearch indicates that qt5 no longer uses findxxx.cmake.\r\nIs there a way to force the build to create a gui version, maybe using\r\nthe qtpaths shell command?"}, {"assistant": "What version of cmake do you have? Is your qt 5in the default location?"}, {"user": "The failure of cmake to build a GUI version is 100 % caused by\nfind_packages(Qt5) is return the paths(s).\n\nI used cmake version 3.18.4 on Debian 11 (bullseye).\n\nI will rebuild the entire tree on an Ubuntu 20.04.4 version and\nsee if the problem is resolved.\n\nThanks\nWarren\n"}, {"assistant": "Where is your QT installed? If it is in a non-default location it may be that find_packages can't find it."}, {"assistant": "@WS-Snyder \r\nThere are updates in package installer. Please try with latest commit and re-open an issue, if problem repeats."}]} +{"num": 1756, "messages": [{"user": "It has been mentioned that the global placer is non-ideal. I want to create this meta-issue to track what improvements are needed to improve the quality of the results.\r\n\r\nWhat tasks can we complete to improve the quality?\r\n\r\n# Open Questions/Tasks\r\n- [ ] Does timing or routability driven routing improve QoR?"}, {"assistant": "@ravi-varadarajan would you mention what improvements you have in progress."}, {"assistant": "@QuantamHD I've often wondered if the routability and time driven options help or not. Both are currently disabled by default in Openlane:\r\n\r\n```\r\nconfiguration/placement.tcl:set ::env(PL_ROUTABILITY_DRIVEN) 0\r\nconfiguration/placement.tcl:set ::env(PL_TIME_DRIVEN) 0\r\n```"}, {"user": "Thanks @antonblanchard I'm going to spend the next quarter trying to improve the tools significantly so your feedback is very valuable."}, {"assistant": "Hi @antonblanchard and @QuantamHD - In our runs with ORFS we turn on both ROUTABILITY_DRIVEN and TIMING_DRIVEN as default and have observed overall better QoR with those options turned on. \r\n\r\nHaving said that I am currently tuning both those options in the global placement flow and will be updating master with changes after metrics validation over the next next few weeks and any feedback is appreciated."}, {"user": "@ravi-varadarajan Are there any algorithmic improvement we could make beyond just taking advantage of the parameters?"}, {"assistant": "Support for placement fences is missing. It won't immediately help QOR but is needed for multiple power domain work. It can also help with QOR if users want to guide the placer.\r\n\r\nI did incremental placement in a pretty quick pass. Further improvements would be helpful there. This is needed for restructuring where you have a new blob of elements to place into an existing design you don't want to perturb a lot."}, {"user": "Are these the placement fences you're talking about? \r\n\r\n\"image\"\r\n\r\nMy understanding of the above is we want to tag a sub graph in the netlist, and make sure it sits only in some polygonal region. Is that correct?"}, {"assistant": "Yes though fences can be hard or soft (see FENCE vs GUIDE on REGION in DEF)."}, {"assistant": "yes -- fence, region, guide support is being planned -- We are currently looking at looking at those constraint sin DREAMPlace to see what is currently supported. \r\n\r\nApart from the region constraints, we are also experimenting with doing away with a uniform global GP_PADDING value that is applied to all instances and instead use the structural netlist analysis and also the incremental groute interface to dynamically determine the padding for cells."}, {"assistant": "Another potential idea - I wonder if the placer could do a better job on designs with lots of macros. When we were debugging some issues last year, it seems like global placement places instances over the macros and when complete we just move them to the nearest legal place. "}, {"assistant": "RTL-MP is the replacement to the current macro placer. You can try it out in ORFS, see https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/designs/gf12/coyote/config_hier.mk for an example of the control variables needed. There is work underway to make it the default.\r\n"}, {"assistant": "Though the instances on macros problem is unrelated to that change. RTL-MP is just about better macro placement."}, {"assistant": "@antonblanchard Please contact if you need help in setting up the flow. To use RTL-MP you will need Verilog hierarchy in the input netlist."}, {"assistant": "I'm still currently doing manual macro placement but will test the macro placer at some point.\r\n\r\nThe issue I was thinking of is how global placement interacts with lots of (or large) macros. The fact it places instances over the areas occupied by macros and then fixes it up afterwards makes me think the routability and timing optimisations aren't doing much.\r\n\r\nI could see a case where we have a lot of instances inside a macro that have to be legalized, and they end up all around the macro. This could be a pretty terrible result for timing as wires have to circumnavigate the entire macro."}, {"assistant": "In the default flow, the global placement engine (in the presence of Macros\nand std cells) will create overlaps between macros and stdcells. The\ndefault macro placer in OpenROAD uses this placement as a seed and fixes\nthe macro locations after which a second pass of global placement is done\nduring which there are placement blockages over the fixed hardmacors and\nhence there are no overlaps between macros and std cells.\n\n\n\nOn Fri, Apr 8, 2022 at 5:04 AM Anton Blanchard ***@***.***>\nwrote:\n\n> I'm still currently doing manual macro placement but will test the macro\n> placer at some point.\n>\n> The issue I was thinking of is how global placement interacts with lots of\n> (or large) macros. The fact it places instances over the areas occupied by\n> macros and then fixes it up afterwards makes me think the routability and\n> timing optimisations aren't doing much.\n>\n> I could see a case where we have a lot of instances inside a macro that\n> have to be legalized, and they end up all around the macro. This could be a\n> pretty terrible result for timing as wires have to circumnavigate the\n> entire macro.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@ravi-varadarajan I'm talking about these sort of issues: https://github.com/The-OpenROAD-Project/OpenROAD/commit/16becc678531e7ea034fc18e8f41e2481b899966. Aren't we moving cells more or less randomly, without any thought to routability or timing? "}, {"assistant": "It's not as bad as I thought, but you can see that many of the cells that overlap the macro end up just to the top left or top right of the macro. This is the result of global placement, then detailed placement:\r\n\r\n![global_placement](https://user-images.githubusercontent.com/2029971/162610560-c6df69fc-6a1f-4151-9c15-b862d759dbb0.png)\r\n![detailed_placement](https://user-images.githubusercontent.com/2029971/162610566-bfe13760-1692-4f92-bc94-f6df2a01ebc1.png)\r\n"}, {"assistant": "@ravi-varadarajan gpl doesn't guarantee that it won't leave an instance inside a blockage, it just increases the cost of that location and therefore reduces the likelihood. dpl has code to deal with such cases somewhat crudely."}, {"user": "@maliberty Is another round of gpl run after the illegal instances are moved?\r\n"}, {"assistant": "@QuantamHD No dpl just moves them off and places them as usual. "}, {"user": "Maybe that would be something worth taking a look at? My thoughts are kind of can we try to find a minimizing location for the instances stuck on the macro.\r\n\r\nSo either trying to place it next to it's fan-in/out or putting it somewhere off the macro in a semi intelligent way, and then re-runing gpl? "}, {"assistant": "Is min-displacement semi-intelligent? That's what we do now. I'm not sure this is really a big source of trouble in most cases."}, {"user": "That seems reasonable. It seemed like Anton was suggesting that the instances just got moved to some legal location, which I thought might lead to unreasonably long routes in some cases. If this isn't an issue then no need to attack that area."}, {"assistant": "Another interesting thing. This is the top left corner of the above design when I enabled antenna fixups:\r\n![antenna_diodes](https://user-images.githubusercontent.com/2029971/164568535-dc508291-26ea-4d31-b3cb-2f8f26774cdd.png)\r\n\r\nMost of that is antenna diodes. I do wonder if they are in the best spot. FYI this is Microwatt, which is now in ORFS.\r\n"}, {"assistant": "This might be a byproduct of the macro having a halo on the north edge that extends right out to the edge of the die. At one stage I was having trouble with islands of unpowered met1, but that should only happen on the east and west edges so we could open it up a bit on the north and south side.\r\n\r\nOn this issue - when placing met1 rails could we check to see if the area was unable to be powered (ie it doesn't intersect both power and ground met4 straps?) and leave it empty?"}, {"assistant": "We remove rows that can't be powered so if you have sites you should be able to power them."}, {"assistant": "@antonblanchard which of the many OL antenna fixing strategies are you using? I think it best to take this discussion to a new issue with a test case."}]} +{"num": 1757, "messages": [{"user": "What improvements are needed in the restructuring code to improve the quality of results?\r\n\r\n- [ ] Measure results of the current restructuring code.\r\n- [ ] Allow restructuring to take in timing information after global place"}, {"assistant": "Someone needs to start by analyzing the current results. Last I looked we got surprisingly little timing improvement when restructuring."}, {"assistant": "Longer term we need to measure the benefit of a restructuring by going further into the flow than just abc (e.g up to timing after global placement)."}]} +{"num": 1758, "messages": [{"user": "What are the top five tasks you wish were done today? i.e. 5 most impactful tasks for the project"}, {"user": "@maliberty @tspyrou "}, {"assistant": "My list\r\n- Restructuring (rmp)\r\n- Post-drt final fixing flow which will require incremental detailed routing. This would replace the 'eco' flow in OL.\r\n- Turn on the post-grt fixing flow in ORFS\r\n- Enable RTL-MP and hierarchical synthesis with selective flattening\r\n- Improved CTS (better clustering, GH-tree, improved buffer selection, smart NDRs)\r\n"}, {"user": "@antonblanchard @arlpetergadfort Would love to hear from you as well. Let's try to collect ideas, and then we can prioritize on impact x difficulty"}, {"assistant": "My list:\r\n\r\n- CTS, the tree built something makes fixing setup/hold worse by poorly selecting buffers, improving CTS would help with that I think.\r\n- Resizer setup repair gives up too soon when fixing setup violations, that could be improved with a better stop criteria, etc.\r\n- Detail placement allowing specification of minimum gap (not just padding).\r\n\r\nI'm sure there are more, but these are the ones at the front of my mind at the moment."}, {"assistant": "@arlpetergadfort is the minimum gap to deal with the lack of 1 site filler in some pdks or something else?"}, {"assistant": "> @arlpetergadfort is the minimum gap to deal with the lack of 1 site filler in some pdks or something else?\r\n\r\n@maliberty yes, exactly. Without it increasing density would become extremely difficult."}, {"assistant": "- +1 for \"Post-drt final fixing flow which will require incremental detailed routing.\"\r\n- +1 for \"Improved CTS\"\r\n- Integrated VHDL/GHDL support. This is being worked on and I unfortunately haven't had time to test and offer feedback yet."}]} +{"num": 1759, "messages": [{"user": "What are the top three pain points of OpenROAD for chip design?\r\n\r\n@antonblanchard \r\n@arlpetergadfort \r\n@maliberty\r\n@proppy"}, {"assistant": "@donn "}, {"assistant": "Question is maybe better suited for our chip designers: @kareefardi \r\n\r\nMe personally, I have no complaints, just would like a Python interface, which is a monumental effort."}, {"assistant": "@QuantamHD \r\n1. Parasitic extraction, requiring a different tool to jumpstart the PDK bring up\r\n2. Yosys/ABC synthesis, generates a netlist that requires a lot of additional work to meet constraints.\r\n\r\nI'm sure there are more, but these are the two that stand out the most to me at the moment."}, {"assistant": "I am not sure what is the state of any of these today but mainly my struggles are:\n\n- automatic macro placement\n- signal routing with multiple macros\n- (multiple domain) power routing of multiple macros\n\n"}, {"assistant": "- System Verilog support in Yosys. The generated netlist doesn't work (probably I am doing something wrong but it isn't an easy flow)\r\n- Power planning and routing\r\n- Timing results are really hard to understand (No hockey stick trend yet)"}, {"assistant": "@msaligane would you elaborate on your last point."}, {"assistant": "@hzeller / @kgugala -- See @msaligane's comment about SystemVerilog above."}, {"assistant": "Lack of timing model generator for hierarchical flows. LEF abstract generator improvements would then follow."}, {"assistant": "- +1 for \"Lack of timing model generator for hierarchical flows\". Even a basic (and pessimistic) liberty file generator would be very useful.\r\n- +1 for \"ABC/synthesis\". We don't pass FFs, manually instantiated standard cells or other black boxed things to ABC, so are missing a lot of optimisations including the ability to do register retiming. I've looked into this but tripped up on what looks like ABC issues."}, {"assistant": "> @msaligane would you elaborate on your last point.\r\n\r\n\r\n@maliberty This is what I meant by the hokey stick (or knee). Below is the expected timing on a RISC-V core when I push the clock:\r\n![image](https://user-images.githubusercontent.com/48068702/162547909-0b9f1cb8-86ca-4cb4-80df-04aa3e6daea4.png)\r\n\r\nThis is a reference from [Ketkar, et al ICCAD02] with area instead of power but the two correlates:\r\n![image](https://user-images.githubusercontent.com/48068702/162806347-6d5c5bc1-95c7-4f55-95ff-0b7b559bb0f4.png)\r\n\r\nSo, if I would like to optimize a design, I need to optimize sizing until the knee (sensitivity-based method) and then optimize Vth (out of scope here). I am currently unable to replicate this, but I am not updated on the latest development in yosys/abc.\r\n\r\n \r\n"}, {"assistant": "@msaligane what do you see if you construct a delay/area plot with OR? We do very little with power opt so I don't expect much of an optimal result there."}, {"assistant": "- lack of packaging and limited surface of the Python API (related to #1424 and #1659)\r\n- lack of machine-readable logs/parameters/metrics export (METRICS2.1?) outside of ORFS (related to #1669)\r\n- cross platform packages w/ gui enabled for OSX / Windows (related to https://github.com/hdl/conda-eda/issues/193)"}, {"assistant": "We wrote a paper on this. See sections 5 & 6: https://dl.acm.org/doi/pdf/10.1145/3400302.3415734\r\n\r\nI still believe that most of the QoR gains need to be gained from synthesis (automatic clock gating, physical synthesis - let alone timing-based synthesis).\r\nMost of the usability gains need to be from improved documentation, although more automation would be nice too.\r\nI'll add another one to the list, which is runtime. I'm actively doing research with this, but a lot of tools could benefit from hardware acceleration and/or algorithm improvement."}, {"assistant": "@QuantamHD what is the ultimate goal of this discussion?"}, {"user": "To gather issues from the community, and help me understand where I could allocate resources to improve the toolcahin.\r\n\r\nPeople do a lot with the tool, and this thread was helpful to understand the current areas where the tool struggles."}, {"assistant": "@QuantamHD do you have sufficient input to make that decision and allocate resources?"}]} +{"num": 1764, "messages": [{"user": "There are no failing [regressions](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/test/regression) on latest a1cd122fe9bf2e6cb7b2b05be43c50dc5599d8f2 (2022/04/10) **unless** boundary checks are enabled.\r\n\r\n**Suggestions:**\r\n* for the betterment we could try enable ```-Wp,-D_GLIBCXX_ASSERTIONS``` compile flags and lets start investigate each failure.\r\n\r\n* boundary check enabled environment also help daily development process acting as an immediate guard against odd things.\r\n\r\n* as releng practice, boundary check is **mandatory** & **enforced** by default on **all packages** in distros like RHEL / Fedora.\r\n\r\n**Observations:**\r\n* In contrast with OpenROAD, OpenSTA's [regressions](https://github.com/The-OpenROAD-Project/OpenSTA/blob/master/test/regression.tcl) with boundary checks **are fine** (as for now).\r\n\r\n\r\n---\r\n\r\n(x) Enabling boundary checks reveals these:\r\n```\r\n$ cat openroad-cxx-on.log | grep -e ERROR \r\npd1 *ERROR* \r\ngcd_mem1_01 *ERROR* \r\ngcd_mem1_02 *ERROR* \r\ngcd_mem1_03 *ERROR* \r\ngcd_mem3_01 *ERROR* \r\ngcd_mem3_02 *ERROR* \r\ngcd_mem3_03 *ERROR* \r\ngcd_mem5_01 *ERROR* \r\nlevel3_01 *ERROR* \r\nlevel3_02 *ERROR* \r\neast_west1 *ERROR* \r\neast_west2 *ERROR* \r\nsnap_layer1 *ERROR* \r\nrepair_slew12 *ERROR* \r\nrepair_antennas1 *ERROR* \r\nrepair_antennas2 *ERROR* \r\nrepair_antennas3 *ERROR* \r\nsw130_random *ERROR* \r\nsw130_random_simple *ERROR* \r\ngcd *ERROR* \r\n45_gcd *ERROR* \r\n```\r\n(x) All the above fails due to boundary issues, e.g:\r\n```\r\n$ cat src/rcx/test/results/45_gcd.log | grep Asser\r\n/usr/include/c++/12/bits/stl_vector.h:1122: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>:\r\n:operator[](size_type) [with _Tp = odb::tmg_rc; _Alloc = std::allocator; \r\nreference = odb::tmg_rc&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\n```\r\n\r\n---\r\n\r\nThe [documentation](https://gcc.gnu.org/onlinedocs/libstdc++/manual/using_macros.html) is way too summary, it covers more than enlisted there.\r\n A little sample (e.g. on a generic std::vector) on how boundary checks works:\r\n\r\n```\r\n$ cat test1.cpp \r\n#include \r\n#include \r\n\r\nint main()\r\n{\r\n std::vector v = { 7, 5, 16, 8 };\r\n printf(\"v[-1] = %i\\n\", v[-1]);\r\n}\r\n```\r\n```\r\n$ cat test2.cpp \r\n#include \r\n#include \r\n\r\nint main()\r\n{\r\n std::vector v = { 7, 5, 16, 8 };\r\n printf(\"v[4] = %i\\n\", v[4]);\r\n}\r\n```\r\n\r\n#### It runs, doesn't fail:\r\n\r\n```\r\n$ c++ test1.cpp -o test1; ./test1\r\nv[-1] = 0\r\n\r\n$ c++ test2.cpp -o test2; ./test2\r\nv[4] = 0\r\n```\r\n\r\n#### Now failures are guaranteed:\r\n```\r\n$ c++ test1.cpp -Wp,-D_GLIBCXX_ASSERTIONS -o test1; ./test1\r\n/usr/include/c++/12/bits/stl_vector.h:1122: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>\r\n::operator[](size_type) [with _Tp = int; _Alloc = std::allocator; reference = int&; \r\nsize_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nAborted (core dumped)\r\n```\r\n```\r\n$ c++ test2.cpp -Wp,-D_GLIBCXX_ASSERTIONS -o test2; ./test2\r\n/usr/include/c++/12/bits/stl_vector.h:1122: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>\r\n::operator[](size_type) [with _Tp = int; _Alloc = std::allocator; reference = int&; \r\nsize_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nAborted (core dumped)\r\n```\r\n---\r\nCc @maliberty \r\n\r\nThanks,\r\n~cristian.\r\n\r\n"}, {"assistant": "We should definitely fix the issues this flag catches. However it will have a runtime performance cost. Are you saying every release package has this flag on all the time, not just during development?"}, {"user": "> We should definitely fix the issues this flag catches. \r\n\r\n* I will try involve and PR some of enumerated regression fixes when time permits.\r\n\r\n> However it will have a runtime performance cost. \r\n\r\n* IMHE for ```GLIBCXX_ASSERTIONS``` the cost isn't noticeable, compilers do a great job \"hiding it\".\r\n* There is a thread on it's performance concerns here: https://www.spinics.net/lists/fedora-devel/msg257877.html\r\n\r\n> Are you saying every release package has this flag on all the time, not just during development?\r\n\r\n* **YES** absolutely **all released** production .rpm packages in rhel/fedora land contains it (ever since such flags exists).\r\n\r\nUsing it even in final releases allows catching **new uncovered bugs** from the very users.\r\n\r\n---\r\n\r\n### Some more (offtopic) details:\r\n\r\n* In RHEL land these are the **mandatory** flags (even many more) involved:\r\n```\r\n$ rpm --eval %{optflags}\r\n-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security \r\n-Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 \r\n-fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic\r\n-fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection\r\n```\r\n\r\n* A documentation of them is [here](https://src.fedoraproject.org/rpms/redhat-rpm-config//blob/rawhide/f/buildflags.md) .\r\n* Beyond ```GLIBCXX_ASSERTIONS``` there are: **stack-smash**, **origin-annotations**, **lto** and some more.\r\n* The very ```GLIBCXX_ASSERTIONS``` is a **built-in** type, it's absence cannot be \"negociated\" with ```releng team``` :)\r\n\r\nThanks,\r\n~cristian.\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "I've fixed a bunch of these (https://github.com/The-OpenROAD-Project/OpenROAD/pull/1765). The last all seem to come down the tmg* code which is really bad code in odb. Maybe its time to finally clean it up as fixing anything in there is excruciating."}, {"assistant": "@cbalint13 do you recommend that we also enable this for https://github.com/hdl/conda-eda/tree/master/pnr/openroad? maybe that could be something enable for CMake for all Release build?"}, {"user": "> @cbalint13 do you recommend that we also enable this for https://github.com/hdl/conda-eda/tree/master/pnr/openroad? maybe that could be something enable for CMake for all Release build?\r\n\r\n@proppy ,\r\n\r\nYou can for all else but not for ```openroad``` ,I suggest not yet, until all regression tests pass.\r\n\r\n* For RHEL / Fedora ```opernroad``` [native packages](https://copr.fedorainfracloud.org/coprs/rezso/VLSI) I had to disable it.\r\n* See disabling receipt line 81: https://copr-dist-git.fedorainfracloud.org/cgit/rezso/VLSI/openroad.git/tree/openroad.spec#n81\r\n\r\nWith it, ```openroad``` will fail on simple designs (e.g. the spm form openlane) at antenna-checking step.\r\nIt's enabled everywhere else (in that repo) except the ```openroad``` package.\r\n"}, {"user": "@proppy ,\r\n\r\n* For completeness around OpenLane ```cvc``` also fail with boundary check:\r\nhttps://copr-dist-git.fedorainfracloud.org/cgit/rezso/VLSI/cvc.git/tree/cvc.spec#n42\r\n\r\nDouble checked: all tools are fine, except ```cvc``` and ```openroad``` (```opensta``` + ```abc``` are fine as external).\r\n"}, {"assistant": "All regressions have been fixed but I'm going to run all ORFS designs before closing this."}, {"assistant": "@cbalint13 cvc issues should be filed at https://github.com/d-m-bailey/cvc"}, {"assistant": "All designs pass but some of the ISPD routing benchmarks have issues that need looking into."}, {"user": "> All designs pass but some of the ISPD routing benchmarks have issues that need looking into.\r\n\r\n * Nice ! That was very fast :)\r\n * Maybe offtopic (again), IMHO it's worth watching progress on ```-fanalyser``` in [GCC Land](https://developers.redhat.com/articles/2022/04/12/state-static-analysis-gcc-12-compiler) .\r\n"}, {"assistant": "Fixed with https://github.com/The-OpenROAD-Project/OpenROAD/pull/1777"}]} +{"num": 1766, "messages": [{"user": "I the technology LEF file of my platform, I do see the following layer definition:\r\n```\r\nLAYER NW\r\n TYPE MASTERSLICE ;\r\nEND NW\r\n```\r\n\r\nIn the `pdn.cfg` file I set a global connection to VDD on all VNW pins using:\r\n```\r\nset pdngen::global_connections {\r\n VDD {\r\n {inst_name .* pin_name VPWR}\r\n {inst_name .* pin_name VDD}\r\n {inst_name .* pin_name VNW}\r\n }\r\n VSS {\r\n {inst_name .* pin_name VGND}\r\n {inst_name .* pin_name VSS}\r\n {inst_name .* pin_name VPW}\r\n }\r\n}\r\n```\r\nin the platform `config.mk` file I do have the following \r\n```\r\nexport MIN_ROUTING_LAYER = METAL1\r\nexport MAX_ROUTING_LAYER = METAL5\r\n```\r\nand in `fastroute.tcl` I do have the following line\r\n`set_routing_layers -signal $::env(MIN_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER)`\r\nI am getting the following error during placement:\r\n```\r\n[ERROR GRT-0061] Layer NW is less than the min routing layer (METAL1)\r\nError: fastroute.tcl, 1 GRT-0061.\r\n```\r\nIt looks like layer NW is seen as a routing layer, whereas it should be seen as a power layer. How could I prevent the tool to see NW as a routing layer? All the routing layers (metal1 to metal5) in the LEF file have `TYPE ROUTING;` in their definition. "}, {"assistant": "@gkamendje \r\nCan you please attach reproducible test case?\r\nAre running the project through OpenROAD or OpenLane and share which version OpenROAD do you tried?"}, {"user": "@vijayank88 \r\nI am running the project through OpenRoad. The LEF files I am using are proprietary. Is there a way to share the LEF files without publicly disclosing them? "}, {"assistant": "@gkamendje \r\nFor proprietary files please check with @maliberty. They will help you on fixing this issue."}, {"assistant": "Where in your config is NW mentioned outside the LEF itself? Are you using OpenROAD-flow-scripts or OpenLane or something else to run the tool?"}, {"user": "@maliberty \r\nI am using OpenROAD-flow-scripts. NW is not mentioned anywhere except in my tech LEF file. In the `pdn.cfg`, I did add the line `{inst_name .* pin_name VNW} ` given that the macro LEF file defines the pins `VNW` which are used as power. "}, {"assistant": "That error only comes from the define_layer_range, define_clock_layer_range, or set_global_routing_layer_adjustment commands based on the layer name(s) given. You must be issuing one of those commands with NW as the argument.\r\n\r\nI'm guessing NW is nwell and that is a strange place to have power pins. Doesn't the macro have tapcells internally? Are the VNW pins marked as power pins in the LEF?\r\n\r\nCan you post your config.mk & pdn.cfg ?\r\n"}, {"user": "@maliberty\r\nYes NW is nwell. Yes the VNW are marked as power pins in the LEF. \r\nAs I mentioned previously the technology is closed source and I do not think I can share the config.mk and pdn.cfg publicly without breaking some confidentiality agreement. Is there a way for me to share it with you under some kind of NDA?\r\n"}, {"assistant": "Do you have anything else in your fastroute.tcl ? I don't really think the pdn.cfg relates to this issue.\r\n@tspyrou for thoughts on NDA"}, {"user": "I do not think that is related to pdn.cfg either. \r\nHere is the content of fastroute.tcl. set_routing_layers is commented out as you can see as a workaround the issue. \r\n```\r\nset_global_routing_layer_adjustment $::env(MIN_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER) 0.5\r\n#set_routing_layers -signal $::env(MIN_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER)\r\nif {[info exist env(MACRO_EXTENSION)]} {\r\n set_macro_extension $::env(MACRO_EXTENSION)\r\n}\r\n```"}, {"assistant": "would you add a puts \"$::env(MIN_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER)\" just to make sure the right values are set here and not NW."}, {"user": "Put the suggested debug information at the beginning of fastroute.tcl show that the correct values are passed. I wonder how I could query the value of all layers found by the tool. \r\n```\r\n[INFO ODB-0133] Created 337 nets and 1094 connections.\r\n[INFO ODB-0134] Finished DEF file: ./results/smic018/gcd/base/2_floorplan.def\r\n1-5\r\n[ERROR GRT-0061] Layer NW is less than the min routing layer (METAL1).\r\nError: fastroute.tcl, 2 GRT-0061\r\n\r\n```"}, {"assistant": "@gkamendje if you post a public key I can give you access to an sftp server where you can upload private data. If you need us to sign an nda we can do that. "}, {"assistant": "What version of OR are you using? That command takes layer names not integers for a long time now.\r\n\r\nAlso earlier you said you do:\r\n```\r\nexport MIN_ROUTING_LAYER = METAL1\r\nexport MAX_ROUTING_LAYER = METAL5\r\n``` \r\nwhich doesn't match with the output \"1-5\"."}, {"user": "@maliberty \r\nGood catch! The settings in the design config.mk (with the integers) was overriding what I had in the platform config.mk with strings. \r\nThanks again\r\n"}]} +{"num": 1779, "messages": [{"user": "This issue is for discussing the costs and benefits of a multi-Vt flow.\r\n\r\nAfter some discussion internally at Google it seems like it would be relatively easy to swap in multi-Vt cells during repair design in order to improve PPA on the critical path.\r\n\r\nThe flow would be as follows\r\n\r\n1. Identify the critical path. Replace all cells in critical path with LVT cells.\r\n2. Rerun STA, if there's a new critical path (repeat step 1)\r\n3. Identify the least critical path. Replace all cells with HVT cells. Do not replace cells if it would make the true critical path worse.\r\n4. Rerun STA, if there's a new least critical path (repeat step 3).\r\n\r\nEnd.\r\n\r\n@maliberty @tspyrou Thoughts on such a flow?"}, {"assistant": "This flow should be executed after detailed routing with the VT swapping occurring between cells with identical physical footprints. It can be done earlier in the flow but the critical path may change with the detailed routing so this is best.\r\nIncremental STA will be used. Rather than being path based it would be net based looking for the net(s) with failing slack for speedup and positive slack for slowdown. "}, {"user": "That seems a bit more complicated. Could we start with the simple path based approach, and see what happens? I suspect there will still be a performance improvement albeit a little bit more muted. "}, {"assistant": "The Net based approach is actually simpler. Its a loop.\r\nwhile there is a net with negative slack take the worst slack net and VT swap the net's driver until timing passes or all failing nets are at the fastest VT. No need to look at paths. The slack of all nets on the critical path is the same. This approach naturally fixes the critical path."}, {"assistant": "You'll have to make sure you aren't hurting hold while fixing setup. Both algorithms look to be greedy but maybe that is a good place to start."}, {"user": "Okay sweet. The only other feedback I've gotten is that this is a nice first approach, but in the future it would be good to get power numbers in the optimization hull, and formulate it as an ILP problem, but that's a step for another day...\r\n\r\nIf we do add this optimization we should also make it the default flow for sky130 so all the MPW's benefit from the new flow. "}]} +{"num": 1797, "messages": [{"user": "Everything went fine until the build:\r\n./etc/Build.sh\r\nInstructions used: https://github.com/The-OpenROAD-Project/OpenROAD\r\n\r\nIt hangs on 58%.\r\n\r\nAny advice please.\r\n\r\n"}, {"assistant": "Can you provide the complete log?"}, {"user": "Thanks for prompt response. Unfortunately cant copy all log at the moment, here is the last part:\r\nCan it be from limited available memory ? \r\n\r\n[ 58%] Linking CXX static library liblef.a\r\ncd /home/ubuntu/bin/OpenROAD/build/src/odb/src/lef && /usr/local/bin/cmake -P CMakeFiles/lef.dir/cmake_clean_target.cmake\r\ncd /home/ubuntu/bin/OpenROAD/build/src/odb/src/lef && /usr/local/bin/cmake -E cmake_link_script CMakeFiles/lef.dir/link.txt --verbose=1\r\n/usr/bin/ar qc liblef.a CMakeFiles/lef.dir/lef/crypt.cpp.o CMakeFiles/lef.dir/lef/lefiArray.cpp.o CMakeFiles/lef.dir/lef/lefiCrossTalk.cpp.o CMakeFiles/lef.dir/lef/lefiDebug.cpp.o CMakeFiles/lef.dir/lef/lefiEncryptInt.cpp.o CMakeFiles/lef.dir/lef/lefiLayer.cpp.o CMakeFiles/lef.dir/lef/lefiMacro.cpp.o CMakeFiles/lef.dir/lef/lefiMisc.cpp.o CMakeFiles/lef.dir/lef/lefiNonDefault.cpp.o CMakeFiles/lef.dir/lef/lefiProp.cpp.o CMakeFiles/lef.dir/lef/lefiPropType.cpp.o CMakeFiles/lef.dir/lef/lefiTBExt.cpp.o CMakeFiles/lef.dir/lef/lefiUnits.cpp.o CMakeFiles/lef.dir/lef/lefiVia.cpp.o CMakeFiles/lef.dir/lef/lefiViaRule.cpp.o CMakeFiles/lef.dir/lef/lef_keywords.cpp.o CMakeFiles/lef.dir/lef/lefrCallbacks.cpp.o CMakeFiles/lef.dir/lef/lefrData.cpp.o CMakeFiles/lef.dir/lef/lefrReader.cpp.o CMakeFiles/lef.dir/lef/lefrSettings.cpp.o CMakeFiles/lef.dir/lef/lefwWriterCalls.cpp.o CMakeFiles/lef.dir/lef/lefwWriter.cpp.o CMakeFiles/lef.dir/lef_parser.cpp.o\r\n/usr/bin/ranlib liblef.a\r\nmake[2]: Leaving directory '/home/ubuntu/bin/OpenROAD/build'\r\n[ 58%] Built target lef\r\n/usr/bin/make -f src/odb/src/lefin/CMakeFiles/lefin.dir/build.make src/odb/src/lefin/CMakeFiles/lefin.dir/depend\r\nmake[2]: Entering directory '/home/ubuntu/bin/OpenROAD/build'\r\ncd /home/ubuntu/bin/OpenROAD/build && /usr/local/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/ubuntu/bin/OpenROAD /home/ubuntu/bin/OpenROAD/src/odb/src/lefin /home/ubuntu/bin/OpenROAD/build /home/ubuntu/bin/OpenROAD/build/src/odb/src/lefin /home/ubuntu/bin/OpenROAD/build/src/odb/src/lefin/CMakeFiles/lefin.dir/DependInfo.cmake --color=\r\nScanning dependencies of target lefin\r\nmake[2]: Leaving directory '/home/ubuntu/bin/OpenROAD/build'\r\n/usr/bin/make -f src/odb/src/lefin/CMakeFiles/lefin.dir/build.make src/odb/src/lefin/CMakeFiles/lefin.dir/build\r\nmake[2]: Entering directory '/home/ubuntu/bin/OpenROAD/build'\r\n[ 58%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefin.cpp.o\r\ncd /home/ubuntu/bin/OpenROAD/build/src/odb/src/lefin && /usr/bin/g++ -DBOOST_ALL_NO_LIB -DSPDLOG_COMPILED_LIB -I/home/ubuntu/bin/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/ubuntu/bin/OpenROAD/src/odb/include/odb -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lef -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lefzlib -I/home/ubuntu/bin/OpenROAD/src/utl/include -O3 -DNDEBUG -fPIC -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -o CMakeFiles/lefin.dir/lefin.cpp.o -c /home/ubuntu/bin/OpenROAD/src/odb/src/lefin/lefin.cpp\r\n[ 58%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/reader.cpp.o\r\ncd /home/ubuntu/bin/OpenROAD/build/src/odb/src/lefin && /usr/bin/g++ -DBOOST_ALL_NO_LIB -DSPDLOG_COMPILED_LIB -I/home/ubuntu/bin/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/ubuntu/bin/OpenROAD/src/odb/include/odb -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lef -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lefzlib -I/home/ubuntu/bin/OpenROAD/src/utl/include -O3 -DNDEBUG -fPIC -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -o CMakeFiles/lefin.dir/reader.cpp.o -c /home/ubuntu/bin/OpenROAD/src/odb/src/lefin/reader.cpp\r\n[ 58%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o\r\ncd /home/ubuntu/bin/OpenROAD/build/src/odb/src/lefin && /usr/bin/g++ -DBOOST_ALL_NO_LIB -DSPDLOG_COMPILED_LIB -I/home/ubuntu/bin/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/ubuntu/bin/OpenROAD/src/odb/include/odb -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lef -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lefzlib -I/home/ubuntu/bin/OpenROAD/src/utl/include -O3 -DNDEBUG -fPIC -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -o CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o -c /home/ubuntu/bin/OpenROAD/src/odb/src/lefin/lefTechLayerSpacingEolParser.cpp"}, {"assistant": "The build script runs in parallel, the error will be before the section you pasted. Please re-run as follows:\r\n```\r\n./etc/Build.sh -clean 2>&1 | tee build.log\r\n```\r\nThen upload the build.log file here."}, {"assistant": "@varakely \r\nTry this way, it may helps:\r\nIf you installed `dependencies` with https://github.com/The-OpenROAD-Project/OpenROAD#install-dependencies\r\nfollow `Build by hand` https://github.com/The-OpenROAD-Project/OpenROAD#build-by-hand"}, {"user": "@vijayank88 It still stops on 58%\r\n[ 58%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o\r\ncd /home/ubuntu/bin/OpenROAD/build/src/odb/src/lefin && /usr/bin/c++ -DBOOST_ALL_NO_LIB -DSPDLOG_COMPILED_LIB -I/home/ubuntu/bin/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/ubuntu/bin/OpenROAD/src/odb/include/odb -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lef -I/home/ubuntu/bin/OpenROAD/src/odb/src/lef/lefzlib -I/home/ubuntu/bin/OpenROAD/src/utl/include -O3 -DNDEBUG -fPIC -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -o CMakeFiles/lefin.dir/lefTechLayerSpacingEolParser.cpp.o -c /home/ubuntu/bin/OpenROAD/src/odb/src/lefin/lefTechLayerSpacingEolParser.cpp"}, {"assistant": "> The build script runs in parallel, the error will be before the section you pasted. Please re-run as follows:\r\n> \r\n> ```\r\n> ./etc/Build.sh -clean 2>&1 | tee build.log\r\n> ```\r\n> \r\n> Then upload the build.log file here.\r\n\r\n@varakely please run as instructed and provide the full log file, without it we do not have enough information to understand the problem."}, {"user": "[build_log.docx](https://github.com/The-OpenROAD-Project/OpenROAD/files/8565023/build_log.docx)\r\n@vvbandeira "}, {"assistant": "@varakely are you using Docker, VM or native install of Ubuntu? What are the resources available (HDD, RAM)? This looks like some kind of resources starvation or watchdog."}, {"user": "@vvbandeira I am using AWS EC2 Ubuntu20.04 \r\ngrep MemTotal /proc/meminfo\r\nMemTotal: 992204 kB\r\n\r\ndf -k .\r\nFilesystem 1K-blocks Used Available Use% Mounted on\r\n/dev/root 8065444 6079276 1969784 76% /"}, {"user": "Yes the problem came from small server resources. Successfully built on .large server."}]} +{"num": 1800, "messages": [{"user": "There's been a build fix submitted upstream by @antonblanchard:\r\n\r\nhttps://github.com/berkeley-abc/abc/pull/160\r\n\r\nThis has now been merged. Can we get the openroad fork of ABC updated to include this fix?"}, {"assistant": "@vvbandeira can you take this one?"}, {"assistant": "#1815 should fix this. Just waiting on ORFS and secure CI to move forward."}, {"assistant": "PR merged."}]} +{"num": 1803, "messages": [{"user": "I found this paper that combines spice with NLDM STA that results in a more accurate timing model. Perhaps we could look at this as an alternative to implementing CCS and SI, if our current approach fails.\r\n\r\nhttps://utd-ir.tdl.org/bitstream/handle/10735.1/6333/ETD-5608-013-WANG-8922.39.pdf?sequence=6&isAllowed=y"}, {"user": "Seems like the method is pretty reasonable. Basically use NLDM to find all the questionable critical paths where the impedance is much greater than the resistance, or wherever there's a question around whether or not a path might be a violation. \r\n\r\nThen you take those paths, and extract them into spice netlists. Run them through spice and you have a really accurate critical path.\r\n\r\nWe could do the same thing for SI, but a little bit differently. We would take all the areas where we think timing could be violated by SI issues then bloat the netlist to include all the long parallel wires next to that netlist, extract that into spice and run the worst case scenario. \r\n\r\nIn OpenROAD we can just take ngspice, or one of it components and statically link it in, and then we'd have a relatively transparent SI/CCS solution. Should be more performant compared to full CCS as well\r\n\r\n\r\n"}, {"user": "@tspyrou What do you think?"}, {"assistant": "Simulating critical paths is nothing new. OpenSTA already has write_path_spice. It isn't always simple as you have to form the correct stimulus and initial conditions to actually get spice to work. \r\n\r\nMany designs will have loads of critical paths near zero slack (that the nature of optimization). I think you'll wind up simulating a tremendous amount. It also makes optimization much harder to implement. Glitch noise is a separate analysis for which there is no slack involvement and won't easily be handled this way.\r\n\r\nI view this as a 'better than nothing' approach but not a replacement for CCS. I'm curious to hear what Tom thinks."}, {"user": "It seems like even if we spend an enormous amount of compute power on it, it would be better than nothing. "}, {"assistant": "@QuantamHD this could work for final signoff timing, but would not work in optimization. We need an accurate and fast model for optimization that can be run in the context of incremental timing. This would also be helpful for QA of a current source model when we have it."}, {"user": "Maybe as a hybrid model you could optimize based on a depessimized (0% buffer) NLDM incremental timing, and use the spice model to pick up any errors, and fix as a final step with an incrementally perssimized (increase by buffer by 2%) NLDM on any broken nets.\r\n\r\nFrom what I've been reading it should also be possible to identify which paths would be the most likely candidates for the Miller effect. So we could run spice on those nets in the optimization loop as well."}, {"assistant": "@QuantamHD yes, that is how it was done 20 years ago. Its very slow in runtime but workable when there are only a small number of violations. "}, {"assistant": "We have a lot more cpu compute then 20 years ago! :-)"}, {"assistant": "> We have a lot more cpu compute then 20 years ago! :-)\r\n\r\nWe have a lot bigger chips that we did 20 years ago ... same Moore's law at work."}, {"assistant": "> We have a lot bigger chips that we did 20 years ago ... same Moore's law at work.\r\n\r\nWell, the designs done on 130nm have actually gotten **smaller** as they are mainly microcontrollers rather than high performance CPU hardware (as the high performance CPU has moved to more advanced nodes)."}, {"assistant": "> > We have a lot bigger chips that we did 20 years ago ... same Moore's law at work.\r\n> \r\n> Well, the designs done on 130nm have actually gotten **smaller** as they are mainly microcontrollers rather than high performance CPU hardware (as the high performance CPU has moved to more advanced nodes).\r\n\r\nYou don't need CCS for 130nm, you need it for advanced nodes."}, {"user": "I guess the question is would this be a beneficial thing to work on between now and a ccs implementation, or should we just start figuring out how to make a ccs implementation from scratch?"}, {"assistant": "I would rather make progress towards our desired state than take a side trip that doesn't contribute to the end goal."}]} +{"num": 1805, "messages": [{"user": "The DEF in question likely has issues, but we shouldn't SEGV when trying to load it. (The DEF came out of the caravel project, I need to look at how it was generated.)\r\n\r\nThere is a lot of complaining before the SEGV:\r\n\r\n```\r\n[WARNING ODB-0245] error: Net VGND: Can not determine which direction to continue path,\r\n[INFO ODB-0276] via (via2_280_280) spans above and below the current layer (met2).\r\n...\r\n[WARNING ODB-0110] error: invalid VIA layers, cannot determine exit layer of path\r\n[WARNING ODB-0110] error: invalid VIA layers, cannot determine exit layer of path\r\n```\r\n\r\nThe backtrace of the SEGV:\r\n\r\n```\r\n(gdb) backtrace \r\n#0 0x000000000150d480 in odb::dbTechLayer::getWidth() const ()\r\n#1 0x00000000014bcbe8 in odb::dbWireShapeItr::next(odb::dbShape&) ()\r\n#2 0x000000000149950c in odb::dbWire::getBBox(odb::Rect&) ()\r\n#3 0x00000000013e1520 in odb::dbBlock::ComputeBBox() ()\r\n#4 0x00000000013e168d in odb::dbBlock::getBBox() ()\r\n#5 0x00000000018a6c62 in gui::LayoutViewer::getBounds() const ()\r\n#6 0x00000000018ab591 in gui::LayoutViewer::hasDesign() const ()\r\n#7 0x00000000018ab9f1 in gui::LayoutViewer::updateScaleAndCentering(QSize const&) ()\r\n#8 0x00000000018abcdc in gui::LayoutViewer::setBlock(odb::dbBlock*) ()\r\n#9 0x00007ffff6d38430 in void doActivate(QObject*, int, void**) () at /lib64/libQt5Core.so.5\r\n#10 0x000000000188b962 in gui::Search::newBlock(odb::dbBlock*) ()\r\n#11 0x00007ffff6d38430 in void doActivate(QObject*, int, void**) () at /lib64/libQt5Core.so.5\r\n#12 0x000000000188b91f in gui::MainWindow::designLoaded(odb::dbBlock*) ()\r\n#13 0x0000000000fc781d in ord::OpenRoad::readDef(char const*, bool, bool, bool) ()\r\n```\r\n\r\nTest case: [openroad-gui.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8554408/openroad-gui.tar.gz)"}, {"user": "I'm guessing it was created by magic."}, {"assistant": "It has bad vias, e.g.:\r\n - via2_280_280\r\n + RECT via2 ( -140 -140 ) ( 140 140 )\r\n + RECT via3 ( -140 -140 ) ( 140 140 ) ;\r\n\r\ntwo cuts and no enclosure. Still we need a better error message instead."}]} +{"num": 1809, "messages": [{"user": "I tried enabling antenna repair on the Microwatt design and hit a SEGV. A test case: [antenna-fail.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8558902/antenna-fail.tar.gz)\r\n\r\nBacktrace of the SEGV:\r\n\r\n```\r\n#0 0x00000000017ff47a in grt::GlobalRouter::addLocalConnections(std::map >, grt::cmpById, std::allocator > > > >&) ()\r\n#1 0x00000000018131aa in grt::GlobalRouter::repairAntennas(sta::LibertyPort*, int) ()\r\n#2 0x00000000018249a2 in _wrap_repair_antennas ()\r\n#3 0x00007ffff7e2db52 in TclNRRunCallbacks () from /lib64/libtcl8.6.so\r\n#4 0x00007ffff7e32bea in ?? () from /lib64/libtcl8.6.so\r\n#5 0x00007ffff7e33417 in Tcl_EvalEx () from /lib64/libtcl8.6.so\r\n#6 0x00007ffff7e3343a in Tcl_Eval () from /lib64/libtcl8.6.so\r\n#7 0x00000000011f8a6c in sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) ()\r\n#8 0x0000000000fd7904 in ord::tclAppInit(Tcl_Interp*) ()\r\n#9 0x00007ffff7ee5a59 in Tcl_MainEx () from /lib64/libtcl8.6.so\r\n#10 0x0000000000f82015 in main ()\r\n```"}, {"assistant": "Partial fix in https://github.com/The-OpenROAD-Project/OpenROAD/pull/1811"}, {"assistant": "I did a lot of work on antenna repair lately and it does not error any more.\r\nThe sky130hd LEF has some problems with antenna support.\r\nI updated the OR versions but not ORFS or openlane.\r\nSpecifically, MACRO sky130_ef_sc_hd__fakediode_2 should be\r\n CLASS CORE ANTENNACELL \r\nand the PIN DIODE is missing\r\nANTENNADIFFAREA 0.434700 ;\r\nsky130hs has issues also.\r\n\r\nBut with those changes OR still struggles with repairing the antennas. I haven't looked into exactly why but the diodes don't push the ratios into safety like they should."}, {"user": "@jjcherry56 thank you!\r\n\r\n`sky130_ef_sc_hd__fakediode_2` is a bit weird in that it is used by other (non openroad) antenna fixup strategies in openlane, and is eventually either removed or replaced with a real diode. Having said that, `sky130_fd_sc_hd__diode_2` does need the `CLASS CORE ANTENNACELL` fix."}, {"assistant": "I pasted the wrong diode macro name; I don't pay any attention to the fakediode, the comment applies to the real one."}, {"assistant": "sky130_fd_sc_hd__diode_2 should have class CLASS CORE ANTENNACELL and NOT have ANTENNAGATEAREA."}, {"assistant": "BTW, pull request #2010 fixes a major bug in antenna repair that was causing it to add excessive diodes. it actually works on microwatt now. you will have to move filler placement to after antenna repair though."}]} +{"num": 1812, "messages": [{"user": "Multiple parties have requested a Python API to Openroad. Python is more popular than TCL in general and especially in the machine learning community. A proposal for such an API is [here](https://docs.google.com/document/d/e/2PACX-1vSNVgum66oml5bOw41hNSjWuyuBe4wF7S1AXGXPwXOuloRRgchw9dEjPr_ZfQu39FbC_4EK8e12rA8p/pub). \r\n\r\nThis issue is for community members to give feedback. The spec uses initialize_floorplan as an example. The goal is get feedback on the general structure rather than on initialize_floorplan in particular. Each tool as it is converted will require a more detailed API discussion.\r\n\r\nA related effort will be to make Openroad available as a pip module. That will be tracked separately."}, {"assistant": "I've heard this API is planned to raise exceptions internally?\r\n\r\nAt Google exceptions are really hard to work with, would it be possible pipe them out somehow? Using absl::StatusOr would be great (but I think some parts of OpenROAD are using boost so that might not make sense) but really any other way than an exception would work."}, {"user": "> I've heard this API is planned to raise exceptions internally?\r\n> \r\n> At Google exceptions are really hard to work with, would it be possible pipe them out somehow? Using absl::StatusOr would be great (but I think some parts of OpenROAD are using boost so that might not make sense) but really any other way than an exception would work.\r\n\r\nWhat does 'pipe them out' mean? Given that they are a native part of both [C++](https://isocpp.github.io/CppCoreGuidelines/CppCoreGuidelines#Re-throw) and Python I'm not clear why we should be non-idiomatic. Can't you just catch them and convert them to whatever you wish?"}, {"assistant": "There are more arguments pros & cons but I think the integration in larger systems is the most convincing to me:\r\n\r\n\r\n> For existing code, the introduction of exceptions has implications on all dependent code. If exceptions can be propagated beyond a new project, it also becomes problematic to integrate the new project into existing exception-free code. Because most existing C++ code at Google is not prepared to deal with exceptions, it is comparatively difficult to adopt new code that generates exceptions.\r\n> Our advice against using exceptions is not predicated on philosophical or moral grounds, but practical ones. Because so much code has already been written without expecting exceptions, it would be difficult to integrate new code that generates exceptions without examining and fixing the negative implications throughout our large codebase. Things would probably be different if we had to do it all over again from scratch.\r\n\r\n\r\n`pipe them out` would be: not raising the exception but rather populate a pointer through all the functions calls and let the caller decide what to do (raise the error or do something else).\r\n\r\nIf you decide it's not worth doing, we still have a way around that though:\r\n\r\n> what to do about a third-party library that throws exceptions as part of its interface: write a wrapper library that translates between the third-party library's style and Google style. Compile the wrapper library with -fexceptions, and have it catch all exceptions and translate them into a supported error-reporting mechanism. (e.g. Status.) Library clients invoke the wrapper instead of directly invoking the third-party library."}, {"user": "This is a python API so are you objecting to python exceptions or c++ ones? The c++ exceptions would be converted to python ones by swig. Or are you planning to build directly on the c++ API? Do you not use boost or stl - they both throw exceptions."}, {"assistant": "oh sorry, just the C++.\r\n\r\nI indeed do not boost or stl, I use absl"}, {"user": "> oh sorry, just the C++.\r\n> \r\n> I indeed do not boost or stl, I use absl\r\n\r\nThere is no chance that we will convert away from those. I imagine most packages you integrate will have the same issue as both libraries are quite common. Do you wrap everything you use?\r\n\r\nI think the last line is the most telling \"Things would probably be different if we had to do it all over again from scratch.\". "}, {"user": "https://github.com/abseil/abseil-cpp/blob/1ae9b71c474628d60eb251a3f62967fe64151bb2/absl/base/internal/throw_delegate.cc#L109 is pretty icky - it just calls std::abort rather than throw which allows no handling at all (if ABSL_HAVE_EXCEPTIONS is off)."}, {"assistant": "> Do you wrap everything you use?\r\n\r\nYes. Any exception generating third_party lib that Google pulls in has to be wrapped before it can be used outside of third_party code. \r\n"}, {"user": "> > Do you wrap everything you use?\r\n> \r\n> Yes. Any exception generating third_party lib that Google pulls in has to be wrapped before it can be used outside of third_party code.\r\n\r\nThen I think that is the path Google will have to take here in you are using the C++ api directly. Presumably Python users wouldn't need the wrapper."}, {"assistant": "I agree with you, in a perfect world, we would throw and catch exceptions. But given the complexity of the systems backward compatibility is important too. Most of Google (except 3rd party code) is like that because Google is large enough to do that.\r\n\r\nNo big deal if you don't think it's a good idea/tractable for OpenROAD C++ API, I'll do it on my side, it should not be too bad. Esp since that code should be stable enough since it's going to power some SWIG binding. I just thought about giving a shot at convince you (we talked about that with Ethan) because the most hands off the C++ API is (propagate errors for non exception codebases, Object oriented for multi threading/interactive mode etc...), the easier it is to use/the wider the audience."}, {"assistant": "@maliberty Should we move forward with implementation now?"}, {"user": "Yes. I've started an implementation but keep getting side tracked. There is some complications with the app level callbacks that I think need to be moved into odb callbacks instead."}, {"assistant": "> A related effort will be to make Openroad available as a pip module. That will be tracked separately.\r\n\r\nShould we close #1659 and #1424 in favor of this issue?"}, {"assistant": "@maliberty Could you throw your WIP on a branch so I can take a look? I'd love to throw in a few cycles at it."}, {"user": "@QuantamHD I'll clean it up later today and do that."}, {"user": "@proppy I closed #1659 but I think #1424 should be kept for the pip work which is separate."}, {"user": "> @QuantamHD I'll clean it up later today and do that.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/pull/1849 which should be viewed as just where I am today."}, {"assistant": "what's the plan for `pip install openroad`\r\n\r\nwe would like to use the router in gdsfactory"}, {"user": "It just wants for some one to finish the work. A start is in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2311"}]} +{"num": 1816, "messages": [{"user": "I have version v2.0-880-gd1c7001ad of OpenROAD installed. How do I install the latest version? I can't clone the github on top of my existing version."}, {"assistant": "@jarvis-lorry \r\n\r\nYes you can merge latest updates from master.\r\nFollow below steps from OpenROAD directory:\r\n\r\n```\r\ngit checkout master\r\ngit pull\r\ngit submodule init\r\ngit submodule update\r\ngit submodule sync\r\ngit clean -xdi\r\n./etc/Build.sh\r\n```"}, {"user": "Thank you, @vijayank88 \r\nI've been able to install the update of OpenROAD. Now I have to install the latest version of klayout."}, {"assistant": "@jarvis-lorry \r\nAs update on OpenROAD got fixed, closing this issue"}]} +{"num": 1827, "messages": [{"user": "Getting routing congestion error when trying to get https://gitlab.com/shaktiproject/cores/shakti-soc.git thru OpenROAD:\r\n```\r\n[INFO]: Running Global Routing Resizer Timing Optimizations...\r\n[ERROR]: during executing openroad script /content/OpenLane/scripts/openroad/resizer_routing_timing.tcl\r\n[ERROR]: Exit code: 1\r\n[ERROR]: full log: runs/RUN_2022.04.30_10.58.34/logs/routing/15-resizer.log\r\n[ERROR]: Last 10 lines:\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[WARNING GRT-0227] Reached 20 congestion iterations with less than 15% of reduction between iterations.\r\n[INFO GRT-0197] Via related to pin nodes: 1111460\r\n[INFO GRT-0198] Via related Steiner nodes: 30432\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 10813091\r\n[INFO GRT-0112] Final usage 3D: 119389056\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: resizer_routing_timing.tcl, 68 GRT-0118\r\nchild process exited abnormally\r\n```\r\n\r\nThe issue can be reproduced using this notebook https://colab.research.google.com/gist/proppy/ff8ea5e98e1c90ca35705dbae9c602b9/shakti-playground.ipynb; also attached the reproducible zip ([openroad_issue_reproducible.7z.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8600238/openroad_issue_reproducible.7z.zip)).\r\n\r\nNote this looks similar to https://github.com/The-OpenROAD-Project/OpenROAD/issues/1646, and other GRT issues that others (like @urish) had when hardening designs with MPW-5 tooling (while they didn't have congestion issue for the same design with previous MPW tooling); but opening a new issue seems relevant as I'm interested to explore the specifics of this design.\r\n\r\n"}, {"assistant": "@proppy \r\nCan you please attach file only with `.zip` or `.tar.gz` extension?\r\nUnable to extract the `.7z.zip` contents"}, {"user": "[openroad_issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8602385/openroad_issue_reproducible.tar.gz) (compressed in bz2 to be extracted to `tar xvjf`)\r\n"}, {"assistant": "its hanging more than 2 hours, still not completed:\r\n\r\n```\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nli1 Vertical 0 0 0.00%\r\nmet1 Horizontal 41992020 23773517 43.39%\r\nmet2 Vertical 31494015 20991656 33.35%\r\nmet3 Horizontal 20996010 14692856 30.02%\r\nmet4 Vertical 12597606 8220544 34.75%\r\nmet5 Horizontal 4199202 2096704 50.07%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n\r\n```"}, {"user": "It did fail ultimatly with the following error:\r\n```\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[WARNING GRT-0227] Reached 20 congestion iterations with less than 15% of reduction between iterations.\r\n[INFO GRT-0197] Via related to pin nodes: 1111460\r\n[INFO GRT-0198] Via related Steiner nodes: 30432\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 10813091\r\n[INFO GRT-0112] Final usage 3D: 119389056\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: resizer_routing_timing.tcl, 68 GRT-0118\r\nchild process exited abnormally\r\n```\r\n\r\nSee the following logs: [15-resizer.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/8603091/15-resizer.3.log)\r\n"}, {"assistant": "@maliberty \r\nPlease check this issue. Its more than 12Hrs OpenROAD hangs with `[INFO GRT-0101] Running extra iterations to remove overflow.` at my end."}, {"user": "@antonblanchard mentioned he was also fighting with GRT congestion issues for his MicroWatt 64-bit tapeout for [MPW-5](https://platform.efabless.com/projects/795) and [MPW-6](https://platform.efabless.com/projects/840).\r\n\r\nI suggested the following tweaks to workaround this:\r\n- reducing PL_TARGET_DENSITY \r\n- reducing GLB_RT_ADJUSTMENT (0.3 \u2192 0.2)\r\n- enabling PL_ROUTABILITY_DRIVEN (which could be enabled thanks to https://github.com/The-OpenROAD-Project/OpenLane/pull/1039)"}, {"assistant": "I tried to reduce the GLB_RT_ADJUSTMENT but it still has a lot of congestion. @proppy could you run the design reducing the PL_TARGET_DENSITY and then upload the tarball containing the stage of global route?"}, {"assistant": "@proppy have you tried the last suggestion?"}, {"assistant": "In your notebook I see:\r\n```\r\nset ::env(PL_TARGET_DENSITY) 0.80\r\n```\r\nwhich is very high. I also see\r\n```\r\nset ::env(PL_RANDOM_GLB_PLACEMENT) 1\r\n```\r\nwhich I recommend you never use. That will certainly increase your routing congestion."}, {"assistant": "I tried to run OL in your notebook with slightly different settings (40% util, larger area, non-random placement) but I get:\r\n```\r\n[INFO]: Running Synthesis...\r\n[ERROR]: during executing: \"yosys -c /content/OpenLane/scripts/yosys/synth.tcl -l /content/runs/RUN_2022.07.12_15.54.14/logs/synthesis/1-synthesis.log |& tee /dev/null\"\r\n[ERROR]: Exit code: 1\r\n[ERROR]: Last 10 lines:\r\ncompliance with IEC 62142(E):2005 / IEEE Std. 1364.1(E):2002. Recommending\r\nuse of @* instead of @(...) for better match of synthesis and simulation.\r\nNote: Assuming pure combinatorial block at /content/shakti-soc/asic/e-class-mukut/verilog/module_decoder_func_32.v:448.3-457.6 in\r\ncompliance with IEC 62142(E):2005 / IEEE Std. 1364.1(E):2002. Recommending\r\nuse of @* instead of @(...) for better match of synthesis and simulation.\r\nSuccessfully finished Verilog frontend.\r\n\r\n25. Executing Verilog-2005 frontend: /content/shakti-soc/asic/e-class-mukut/verilog/BRAM1Load.v\r\n/content/shakti-soc/asic/e-class-mukut/verilog/BRAM1Load.v:0: ERROR: Can not open file `` for \\$readmemh.\r\nchild process exited abnormally\r\n```\r\nMy changes have nothing to do with yosys so I am not sure how this notebook is supposed to work."}, {"user": "@maliberty the notebook didn't pin yosys version so it's possible that a different one from the original report got used, let me try to refresh it accordingly."}, {"user": "I get the same errors after updating the dependencies, it looks like the following verilog module needs to be disabled: `BRAM1Load` as we don't really care of pre-initializing BRAM cells in that case (since that those mostly relevant for FPGA)"}, {"assistant": "Please update when it is passing yosys again."}, {"assistant": "@proppy \r\nAny update on this?"}]} +{"num": 1834, "messages": [{"user": "Re-install OpenRoad doesn't help\r\n\r\nOpenROAD v2.0-3517-gc519b10f8 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> gui::show\r\nqt.qpa.xcb: could not connect to display \r\nqt.qpa.plugin: Could not load the Qt platform plugin \"xcb\" in \"\" even though it was found.\r\nThis application failed to start because no Qt platform plugin could be initialized. Reinstalling the application may fix this problem.\r\n\r\nAvailable platform plugins are: eglfs, linuxfb, minimal, minimalegl, offscreen, vnc, xcb.\r\n\r\nStack trace:\r\n 0# 0x000055CF3D6AF0A1 in build/src/openroad\r\n 1# 0x00007FBD7D7290C0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# 0x00007FBD7E201AAD in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 5# QGuiApplicationPrivate::createPlatformIntegration() in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n 6# QGuiApplicationPrivate::createEventDispatcher() in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n 7# QCoreApplicationPrivate::init() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 8# QGuiApplicationPrivate::init() in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n 9# QApplicationPrivate::init() in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n10# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in build/src/openroad\r\n11# gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) in build/src/openroad\r\n12# show(char const*, bool) in build/src/openroad\r\n13# 0x000055CF3E044785 in build/src/openroad\r\n14# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# 0x00007FBD7F466924 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# ord::tclAppInit(Tcl_Interp*) in build/src/openroad\r\n19# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n20# main in build/src/openroad\r\n21# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n22# _start in build/src/openroad\r\n"}, {"assistant": "On #1797 you mentioned you were using AWS cloud, is this still the case? What is your X environment? Are you using VNC?"}, {"user": "@vvbandeira, yes I am running in AWS and ssh from RealVNC session with proper DISPLAY set. Thanks.\r\n"}, {"assistant": "Do you have qtdesigner installed (designer or designer-qt5)? I wonder if it will run or not as a test of your Qt install."}, {"assistant": "I am having the same issue after I built the open road flow with docker, using master updated as of today.\r\n\r\nFor reproducing the error I simply load the docker image and then open openroad enablign the gui.\r\nThe setup_env.tcl has no changes from master. This is the log I get and this is the run_docker.sh:\r\n\r\n```\r\ndocker \\\r\n run \\\r\n --tty \\\r\n --interactive \\\r\n --user $(id -u ${USER}):$(id -g ${USER}) \\\r\n --net=host \\\r\n --ipc=host \\\r\n --env DISPLAY=${DISPLAY} \\\r\n --volume $(pwd)/flow/platforms:/OpenROAD-flow-scripts/flow/platforms:ro \\\r\n --volume $(pwd)/flow/scripts:/OpenROAD-flow-scripts/flow/scripts:ro \\\r\n --volume ${HOME}:/home/${USER} \\\r\n openroad/flow-scripts \\\r\n bash\r\n```\r\n\r\n```\r\nilesser@zenbook:OpenROAD-flow > ./run_docker.sh \r\nbash-4.2$ source setup_env.sh \r\nOPENROAD: /OpenROAD-flow-scripts/tools/OpenROAD\r\nbash-4.2$ openroad -gui\r\nQStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-'\r\nxkbcommon: ERROR: failed to add default include path /usr/share/X11/xkb\r\nQt: Failed to create XKB context!\r\nUse QT_XKB_CONFIG_ROOT environmental variable to provide an additional search path, add ':' as separator to provide several search paths and/or make sure that XKB configuration data directory contains recent enough contents, to update please see http://cgit.freedesktop.org/xkeyboard-config/ .\r\nprocess 10: The last reference on a connection was dropped without closing the connection. This is a bug in an application. See dbus_connection_unref() documentation for details.\r\nMost likely, the application was supposed to call dbus_connection_close(), since this is a private connection.\r\n D-Bus not built with -rdynamic so unable to print a backtrace\r\nStack trace:\r\n 0# 0x0000000001032356 in openroad\r\n 1# 0x00007F445B183400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x00007F44507F5655 in /lib64/libdbus-1.so.3\r\n 5# _dbus_warn_check_failed in /lib64/libdbus-1.so.3\r\n 6# 0x00007F4453AABBFB in /lib64/libQt5DBus.so.5\r\n 7# QObject::event(QEvent*) in /lib64/libQt5Core.so.5\r\n 8# 0x00007F445C4B4A55 in /lib64/libQt5Core.so.5\r\n 9# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib64/libQt5Core.so.5\r\n10# QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) in /lib64/libQt5Core.so.5\r\n11# 0x00007F445C503A03 in /lib64/libQt5Core.so.5\r\n12# g_main_context_dispatch in /lib64/libglib-2.0.so.0\r\n13# 0x00007F445811B478 in /lib64/libglib-2.0.so.0\r\n14# g_main_context_iteration in /lib64/libglib-2.0.so.0\r\n15# QEventDispatcherGlib::processEvents(QFlags) in /lib64/libQt5Core.so.5\r\n16# QEventLoop::exec(QFlags) in /lib64/libQt5Core.so.5\r\n17# QThread::exec() in /lib64/libQt5Core.so.5\r\n18# 0x00007F4453AA93B5 in /lib64/libQt5DBus.so.5\r\n19# 0x00007F445C30AE71 in /lib64/libQt5Core.so.5\r\n20# 0x00007F445DCC8EA5 in /lib64/libpthread.so.0\r\n21# clone in /lib64/libc.so.6\r\nQThread::wait: Thread tried to wait on itself\r\nQMutex: destroying locked mutex\r\nQThread: Destroyed while thread is still running\r\nStack trace:\r\n 0# 0x0000000001032356 in openroad\r\n 1# 0x00007F445B183400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x00007F445C2F7CE1 in /lib64/libQt5Core.so.5\r\n 5# QThread::~QThread() in /lib64/libQt5Core.so.5\r\n 6# 0x00007F4453AA95D9 in /lib64/libQt5DBus.so.5\r\n 7# 0x00007F445B186CE9 in /lib64/libc.so.6\r\n 8# 0x00007F445B186D37 in /lib64/libc.so.6\r\n 9# 0x000000000103237B in openroad\r\n10# 0x00007F445B183400 in /lib64/libc.so.6\r\n11# gsignal in /lib64/libc.so.6\r\n12# abort in /lib64/libc.so.6\r\n13# 0x00007F44507F5655 in /lib64/libdbus-1.so.3\r\n14# _dbus_warn_check_failed in /lib64/libdbus-1.so.3\r\n15# 0x00007F4453AABBFB in /lib64/libQt5DBus.so.5\r\n16# QObject::event(QEvent*) in /lib64/libQt5Core.so.5\r\n17# 0x00007F445C4B4A55 in /lib64/libQt5Core.so.5\r\n18# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib64/libQt5Core.so.5\r\n19# QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) in /lib64/libQt5Core.so.5\r\n20# 0x00007F445C503A03 in /lib64/libQt5Core.so.5\r\n21# g_main_context_dispatch in /lib64/libglib-2.0.so.0\r\n22# 0x00007F445811B478 in /lib64/libglib-2.0.so.0\r\n23# g_main_context_iteration in /lib64/libglib-2.0.so.0\r\n24# QEventDispatcherGlib::processEvents(QFlags) in /lib64/libQt5Core.so.5\r\n25# QEventLoop::exec(QFlags) in /lib64/libQt5Core.so.5\r\n26# QThread::exec() in /lib64/libQt5Core.so.5\r\n27# 0x00007F4453AA93B5 in /lib64/libQt5DBus.so.5\r\n28# 0x00007F445C30AE71 in /lib64/libQt5Core.so.5\r\n29# 0x00007F445DCC8EA5 in /lib64/libpthread.so.0\r\n30# clone in /lib64/libc.so.6\r\n```\r\n\r\n\r\nI checked /usr/share/X11 and the xkb directory is missing.\r\n\r\nCould this be a problem with the docker image generation? Could something be missing from the way the image is generated?"}, {"assistant": "I don't think the error is related to X server because I can succesfully open klayout without issues:\r\n\r\n![image](https://user-images.githubusercontent.com/16288620/169702497-7c53849e-803a-443c-827b-8518aa63b09a.png)\r\n\r\nSo the problem is definetely related to Qt 5"}, {"assistant": "Try install xkb or using the openlane docker image."}, {"assistant": "@maliberty I didn't know what to install so I installed this:\r\n\r\n```\r\nyum install libxkbcommon libxkbcommon-devel libxkbfile libxkbfile-devel xorg-x11-xkb-extras xorg-x11-xkb-utils xorg-x11-xkb-utils-devel xfce4-xkb-plugin.x86_64\r\n```\r\n\r\nAfter that the error is slightly different:\r\n\r\n```\r\n[root@zenbook OpenROAD-flow-scripts]# openroad -gui\r\nQStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-root'\r\nprocess 296: The last reference on a connection was dropped without closing the connection. This is a bug in an application. See dbus_connection_unref() documentation for details.\r\nMost likely, the application was supposed to call dbus_connection_close(), since this is a private connection.\r\n D-Bus not built with -rdynamic so unable to print a backtrace\r\nStack trace:\r\n 0# 0x0000000001032356 in openroad\r\n 1# 0x00007FBA7F31A400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x00007FBA7498C655 in /lib64/libdbus-1.so.3\r\n 5# _dbus_warn_check_failed in /lib64/libdbus-1.so.3\r\n 6# 0x00007FBA77C42BFB in /lib64/libQt5DBus.so.5\r\n 7# QObject::event(QEvent*) in /lib64/libQt5Core.so.5\r\n 8# 0x00007FBA8064BA55 in /lib64/libQt5Core.so.5\r\n 9# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib64/libQt5Core.so.5\r\n10# QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) in /lib64/libQt5Core.so.5\r\n11# 0x00007FBA8069AA03 in /lib64/libQt5Core.so.5\r\n12# g_main_context_dispatch in /lib64/libglib-2.0.so.0\r\n13# 0x00007FBA7C2B2478 in /lib64/libglib-2.0.so.0\r\n14# g_main_context_iteration in /lib64/libglib-2.0.so.0\r\n15# QEventDispatcherGlib::processEvents(QFlags) in /lib64/libQt5Core.so.5\r\n16# QEventLoop::exec(QFlags) in /lib64/libQt5Core.so.5\r\n17# QThread::exec() in /lib64/libQt5Core.so.5\r\n18# 0x00007FBA77C403B5 in /lib64/libQt5DBus.so.5\r\n19# 0x00007FBA804A1E71 in /lib64/libQt5Core.so.5\r\n20# 0x00007FBA81E5FEA5 in /lib64/libpthread.so.0\r\n21# clone in /lib64/libc.so.6\r\nQThread::wait: Thread tried to wait on itself\r\nQMutex: destroying locked mutex\r\nQThread: Destroyed while thread is still running\r\nStack trace:\r\n 0# 0x0000000001032356 in openroad\r\n 1# 0x00007FBA7F31A400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x00007FBA8048ECE1 in /lib64/libQt5Core.so.5\r\n 5# QThread::~QThread() in /lib64/libQt5Core.so.5\r\n 6# 0x00007FBA77C405D9 in /lib64/libQt5DBus.so.5\r\n 7# 0x00007FBA7F31DCE9 in /lib64/libc.so.6\r\n 8# 0x00007FBA7F31DD37 in /lib64/libc.so.6\r\n 9# 0x000000000103237B in openroad\r\n10# 0x00007FBA7F31A400 in /lib64/libc.so.6\r\n11# gsignal in /lib64/libc.so.6\r\n12# abort in /lib64/libc.so.6\r\n13# 0x00007FBA7498C655 in /lib64/libdbus-1.so.3\r\n14# _dbus_warn_check_failed in /lib64/libdbus-1.so.3\r\n15# 0x00007FBA77C42BFB in /lib64/libQt5DBus.so.5\r\n16# QObject::event(QEvent*) in /lib64/libQt5Core.so.5\r\n17# 0x00007FBA8064BA55 in /lib64/libQt5Core.so.5\r\n18# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib64/libQt5Core.so.5\r\n19# QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) in /lib64/libQt5Core.so.5\r\n20# 0x00007FBA8069AA03 in /lib64/libQt5Core.so.5\r\n21# g_main_context_dispatch in /lib64/libglib-2.0.so.0\r\n22# 0x00007FBA7C2B2478 in /lib64/libglib-2.0.so.0\r\n23# g_main_context_iteration in /lib64/libglib-2.0.so.0\r\n24# QEventDispatcherGlib::processEvents(QFlags) in /lib64/libQt5Core.so.5\r\n25# QEventLoop::exec(QFlags) in /lib64/libQt5Core.so.5\r\n26# QThread::exec() in /lib64/libQt5Core.so.5\r\n27# 0x00007FBA77C403B5 in /lib64/libQt5DBus.so.5\r\n28# 0x00007FBA804A1E71 in /lib64/libQt5Core.so.5\r\n29# 0x00007FBA81E5FEA5 in /lib64/libpthread.so.0\r\n30# clone in /lib64/libc.so.6\r\n```\r\n\r\n\r\nI am using a docker image I built using the build_openroad.sh with the lastest master from today.\r\n\r\nIs there a way to download a docker image already built by OpenRoad or by someone else?"}, {"assistant": "You could try to get more of the QT error information by setting `export QT_DEBUG_PLUGINS=1`\r\nThis might help you see if something is missing."}, {"assistant": "Here are my logs obtained with `openroad -gui 2>&1 |tee qt_debug.log`:\r\n\r\n* `qt_debug.without_xkb.log`: Log before running the `yum install` command\r\n* `qt_debug.with_xkb.log`: Log after running the `yum install` command\r\n\r\nThe install command is the same I showed earlier: `yum install libxkbcommon libxkbcommon-devel libxkbfile libxkbfile-devel xorg-x11-xkb-extras xorg-x11-xkb-utils xorg-x11-xkb-utils-devel xfce4-xkb-plugin.x86_64`\r\n\r\nIf I do a diff between both files they are identical except the log before installing xkb has these three extra lines:\r\n\r\n```\r\nxkbcommon: ERROR: failed to add default include path /usr/share/X11/xkb\r\nQt: Failed to create XKB context!\r\nUse QT_XKB_CONFIG_ROOT environmental variable to provide an additional search path, add ':' as separator to provide several search paths and/or make sure that XKB configuration data directory contains recent enough contents, to update please see http://cgit.freedesktop.org/xkeyboard-config/ .\r\n```\r\n\r\n![image](https://user-images.githubusercontent.com/16288620/169704386-8fb76cc8-821e-4b59-9a28-a1fe0df4574e.png)\r\n\r\nThis confirms the xkb will not affect the result."}, {"assistant": "I tried recompiling the docker images from the tag `v2.0` becauser I thought that would be more stable, but there are many errors during the image building so I couldn't do it.\r\n\r\nJust to clarify, this issue is only related to the GUI. The whole flow works well until it wants to use the GUI"}, {"assistant": "After some debugging I see that the crash leaves TCL in [line 139 of src/gui/src/gui.tcl](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/gui/src/gui.tcl#L139):\r\n\r\n```tcl\r\n gui::save_image $path {*}$area $resolution $options\r\n```\r\nAfter that it goes to [line 276 src/gui/src/gui.i](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/gui/src/gui.i#L276):\r\n\r\n```c\r\nvoid save_image(const char* filename, double xlo, double ylo, double xhi, double yhi, double dbu_per_pixel = 0, const std::map& display_settings = {})\r\n{\r\n auto gui = gui::Gui::get();\r\n gui->saveImage(filename, make_rect(xlo, ylo, xhi, yhi), dbu_per_pixel, display_settings);\r\n}\r\n```\r\nAn finally to [line 479 of src/gui/src/gui.cpp](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/gui/src/gui.cpp#L479):\r\n\r\n```c\r\nvoid Gui::saveImage(const std::string& filename, const odb::Rect& region, double dbu_per_pixel, const std::map& display_settings)\r\n{\r\n if (db_ == nullptr) {\r\n logger_->error(utl::GUI, 15, \"No design loaded.\");\r\n }\r\n odb::Rect save_region = region;\r\n const bool use_die_area = region.dx() == 0 || region.dy() == 0;\r\n const bool is_offscreen = main_window->testAttribute(Qt::WA_DontShowOnScreen) /* if not interactive this will be set */ || !enabled();\r\n```\r\n\r\nAnd when it calls the `testAttribute` method it crashes for some reason.\r\n\r\nI am no expert in C++ and I have never worked with Qt, so I don't think I can debug it anymore.\r\n\r\nIs there anyone with Qt experience who could continue debugging this issue?\r\n\r\n### How to reproduce this error\r\n1. Clone the [OpenRoad-flow-scripts](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts) commit 5583993563718c17ce94bc251a666dc433ca3fa2\r\n2. Change directory `cd OpenROAD-flow-scripts`\r\n3. Run `./build_openroad.sh` to build the docker images\r\n4. Run `./run_docker.sh` provided in my previous commit\r\n5. Run `cd flow`\r\n6. Run `make`\r\n\r\n```\r\nilesser@zenbook:OpenROAD-flow-scripts > cat /etc/os-release\r\nNAME=\"Linux Mint\"\r\nVERSION=\"19.1 (Tessa)\"\r\nID=linuxmint\r\nID_LIKE=ubuntu\r\nPRETTY_NAME=\"Linux Mint 19.1\"\r\nVERSION_ID=\"19.1\"\r\nHOME_URL=\"https://www.linuxmint.com/\"\r\nSUPPORT_URL=\"https://forums.ubuntu.com/\"\r\nBUG_REPORT_URL=\"http://linuxmint-troubleshooting-guide.readthedocs.io/en/latest/\"\r\nPRIVACY_POLICY_URL=\"https://www.linuxmint.com/\"\r\nVERSION_CODENAME=tessa\r\nUBUNTU_CODENAME=bionic\r\nilesser@zenbook:OpenROAD-flow-scripts > docker --version\r\nDocker version 18.09.5, build e8ff056\r\n```"}, {"assistant": "There was very recently a bug that turned up in save_images. Please try with the latest code to make sure you have that fix."}, {"assistant": "@maliberty I don't think it has anything to do with save_image since @ilesser said at first this issue arose when calling `openroad -gui` which does not call any of that code referenced.\r\nI believe, but correct me if I'm wrong, kLayout bundles Qt so the system Qt installation would not matter.\r\n\r\nLooking around online there appears to be similar issues (https://forum.qt.io/topic/93247/qt-qpa-plugin-could-not-load-the-qt-platform-plugin-xcb-in-even-though-it-was-found/21) one possible solution would be to ensure you have `sudo apt-get install libxcb-xinerama0`\r\n"}, {"assistant": "@maliberty I am using the latest version of OpenRoad-flow-scripts. I will try later forcing OpenROAD app to the latest version.\r\n\r\n@arlpetergadfort Thanks for the tip, I tried intalling `libxcb-xinerama0` but couldn't find it. Later I will try to add more sources to yum to see if I can install it.\r\n\r\n"}, {"assistant": "@vvbandeira can you build a docker image with build_openroad.sh and try this out?"}, {"assistant": "Yes. I will give it a try @maliberty "}, {"assistant": "@ilesser can you try installing the following packages in the docker image and then running `openroad -gui` again?\r\n```\r\nyum install -y xorg-x11-server-Xorg xorg-x11-xauth mesa-dri-drivers\r\n```"}, {"assistant": "@vvbandeira I tried installing that but I still get a similar error.\r\n\r\nHere is the log I get: \r\n[error.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/8757468/error.log)\r\n"}, {"assistant": "I also tied `yum distribution-synchronization` but it didn't help. I get the same error\r\n"}, {"assistant": "From the log looks like small progress. The xkb error is no longer there. The d-bus issue looks more like a permission issue. Can you try to add the following to the docker run command? If this works we can trim the permissions later.\r\n```\r\n--volume /tmp/.X11-unix:/tmp/.X11-unix \\\r\n--volume ${HOME}/.Xauthority:/.Xauthority \\\r\n--security-opt seccomp=unconfined \\\r\n--privileged\r\n```"}, {"assistant": "@vvbandeira Adding those lines to the docker command work!\r\n\r\nNow I can open the GUI without any errors and I didn't have to install anything, it works with the image I compiled.\r\n\r\n```\r\nilesser@zenbook:OpenROAD-flow-scripts > ./run_docker.sh \r\nnon-network local connections being added to access control list\r\n[root@zenbook OpenROAD-flow-scripts]# source setup_env.sh \r\nOPENROAD: /OpenROAD-flow-scripts/tools/OpenROAD\r\n[root@zenbook OpenROAD-flow-scripts]# openroad -gui\r\nQStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-root'\r\nxkbcommon: ERROR: failed to add default include path /usr/share/X11/xkb\r\nQt: Failed to create XKB context!\r\nUse QT_XKB_CONFIG_ROOT environmental variable to provide an additional search path, add ':' as separator to provide several search paths and/or make sure that XKB configuration data directory contains recent enough contents, to update please see http://cgit.freedesktop.org/xkeyboard-config/ .\r\nlibGL error: unable to load driver: i965_dri.so\r\nlibGL error: driver pointer missing\r\nlibGL error: failed to load driver: i965\r\nlibGL error: unable to load driver: i965_dri.so\r\nlibGL error: driver pointer missing\r\nlibGL error: failed to load driver: i965\r\nlibGL error: unable to load driver: swrast_dri.so\r\nlibGL error: failed to load driver: swrast\r\nOpenROAD GITDIR-NOTFOUND \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n```\r\n\r\nThis is my run_docker.sh:\r\n\r\n```\r\nxhost +local:docker\r\ndocker \\\r\n run \\\r\n --tty \\\r\n --interactive \\\r\n --net=host \\\r\n --ipc=host \\\r\n --env DISPLAY \\\r\n --volume $(pwd)/flow/platforms:/OpenROAD-flow-scripts/flow/platforms:ro \\\r\n --volume $(pwd)/flow/scripts:/OpenROAD-flow-scripts/flow/scripts:ro \\\r\n --volume /tmp/.X11-unix:/tmp/.X11-unix \\\r\n --volume ${HOME}/.Xauthority:/.Xauthority \\\r\n --security-opt seccomp=unconfined \\\r\n --privileged \\\r\n --volume ${HOME}:/home/${USER} \\\r\n openroad/flow-scripts \\\r\n bash\r\n\r\nxhost -local:docker\r\n```"}, {"assistant": "@ilesser that is great. You will probably want to install those packages, in my testing I was not able to use the keyboard before installing them. You can also try to remove one by one of the options I gave to you to see which are really needed. @varakely can you try the proposed solutions here to see if they also apply to you?"}, {"assistant": "That is correct @vvbandeira keyboard was not working at first, but after running `yum install -y xorg-x11-server-Xorg xorg-x11-xauth mesa-dri-drivers` it worked!\r\n\r\nI want to say that I have been using Cadence tools for a decade now and I am pretty impressed by the openROAD flow.\r\nKudos to everyone that contributed! I would like to try to contribute to the development."}, {"assistant": "I'm glad you are up and running. You are most welcome to contribute in whatever fashion interests you."}, {"assistant": "@varakely were you able to use the GUI so we can close the issue?"}, {"user": "@vvbandeira, yes, close please, thanks"}]} +{"num": 1836, "messages": [{"user": "I was looking through warnings during a tape out and saw:\r\n\r\n```\r\n[WARNING IFP-0028] Core area lower left (5.520, 10.880) snapped to (5.520, 10.880).\r\n```\r\n\r\nI printed out the values, and it does look like the size of my macro is not a multiple of site:\r\n\r\n```\r\nclx 5520\r\ncore_lx 5.5199999860633405e-06\r\ncly 10880 \r\ncore_ly 1.0879999972530641e-05\r\n```\r\n\r\nBut it also seems like the comparison will never be true, because we are comparing a value that has been converted to Dbu:\r\n\r\n```\r\n int clx = divCeil(metersToDbu(core_lx), site_dx) * site_dx;\r\n int cly = divCeil(metersToDbu(core_ly), site_dy) * site_dy;\r\n\r\n if (clx != core_lx || cly != core_ly) {\r\n```\r\n\r\nI presume this is harmless, but it would be nice to fix so the warning goes away."}, {"assistant": "I was fixing up ifp for python and I think I've eliminated this problem in the process (both values are dbu now). Would you verify this is ok to close? There is no test case."}, {"user": "Thanks @maliberty, I'm no longer seeing this."}]} +{"num": 1838, "messages": [{"user": "Top Line Performance Numbers:\r\n33% improvement in WNS\r\n\r\nHave we looked at this technique? https://guozz.cn/publication/tdpdac-22/tdpdac-22.pdf"}, {"assistant": "I'll look at the paper but note that dreamplace is not timing driven so WNS is not something they directly target. Replace in OR has a timing driven mode so it may not show the same delta."}, {"assistant": "It relies on elmore delay which is less accurate. It makes no mention of Ceff so I don't know if they just assume it is a second order effect or what. It doesn't look extensible or CCS or SI. With all that said it might be sufficient for global placement where accuracy is less crucial. Dreamplace 4.0 is not public so it is hard to say what they are really comparing against in their table of results. "}, {"assistant": "@maliberty \r\nCan we close this as `not planned`?"}]} +{"num": 1840, "messages": [{"user": "I tried taping out Microwatt/Caravel using Openlane with a recent OpenROAD and https://github.com/The-OpenROAD-Project/OpenLane/pull/1059 applied. I'm seeing a strange problem where all standard cells appear to be flipped, such that they are powered backwards.\r\n\r\nTest case is at: [reverse-dpl.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8643804/reverse-dpl.tar.gz)\r\n"}, {"user": "I started looking through dpl, and noticed a few things:\r\n\r\n- `Opendp::checkPowerLine` doesn't appear to check any height=1 cells at all\r\n- We go to a lot of trouble to work out where the power and ground rails are (eg `Opendp::findRowPower`). Do we need to do that or just look at the orientation out of odb?"}, {"user": "I'm not sure if it matters but there are an odd number of met1 rails in this design"}, {"assistant": "findRowPower is just wrong as it is going to look at the ring not the first row in your test case. Probably it worked before by luck depending on which ring is first."}, {"assistant": "> findRowPower is just wrong as it is going to look at the ring not the first row in your test case. Probably it worked before by luck depending on which ring is first.\r\n\r\nIts more than just that. I agree orientation should be enough."}, {"user": "Thanks @maliberty, I can confirm it fixed the original issue."}]} +{"num": 1848, "messages": [{"user": "root@embedded:/home/embedded/Documents/OpenROAD/third-party# cd abc/\r\nroot@embedded:/home/embedded/Documents/OpenROAD/third-party/abc# ls\r\nroot@embedded:/home/embedded/Documents/OpenROAD/third-party/abc# ls -al\r\ntotal 8\r\ndrwxr-xr-x 2 root root 4096 maj 10 23:33 .\r\ndrwxr-xr-x 3 root root 4096 maj 10 23:33 ..\r\nroot@embedded:/home/embedded/Documents/OpenROAD/third-party/abc# \r\n\r\n\r\nwhen i tried to do git pull for openroad , it was showing empty folder for abc but in git repo there are files .\r\nCan you please tell how i can get abc folder data .\r\n\r\n\r\n"}, {"assistant": "git submodule update --init --recursive"}, {"user": "yes i did it . Now its compiling . thank you .\r\n\r\nIs there any tutorial how to use Openroad for ASIC design ?\r\n"}, {"assistant": "You'll want to take a look at a flow that uses openroad. You could try [OpenROAD-flow-scripts](https://openroad.readthedocs.io/en/latest/user/GettingStarted.html) or [OpenLane](https://openlane.readthedocs.io/en/latest/)"}, {"user": " if i want to integrate other PDK , how i can do that like ASP7 or 45nm pdk?"}, {"assistant": "https://openroad.readthedocs.io/en/latest/contrib/PlatformBringUp.html"}, {"user": "thank you"}]} +{"num": 1853, "messages": [{"user": "Hi,\r\n\r\nI modified ORFS to add fixes (repair_timing) post GR. The attached testcase fails to find a path between pin and source aps. See below. \r\n\r\nTestcase is at: [detail_route_ibex_asap7_base_2022-05-12_13-20](https://drive.google.com/file/d/1W69BFWmWcHfdh9VmiOq2HBymEksctLlv/view?usp=sharing)\r\n\r\n```\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\nFailed to find a path between pin and source aps:\r\n( 299 195 5 ) (Idx) / ( 3780 60624 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (456, 710, 10)\r\nFailed to find a path between pin and source aps:\r\n( 3 398 3 ) (Idx) / ( 12 85548 ) (coords)\r\n( 6 398 3 ) (Idx) / ( 60 85548 ) (coords)\r\n( 25 398 3 ) (Idx) / ( 300 85548 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (456, 710, 10)\r\n[ERROR DRT-0255] Maze Route cannot find path of net clk_i in worker of routeBox ( 0 60480 ) ( 3780 64260 ).\r\n[ERROR DRT-0255] Maze Route cannot find path of net clk_i in worker of routeBox ( 0 83160 ) ( 3780 86940 ).\r\n```\r\n"}, {"user": "@WenjingJiang21 FYI."}, {"assistant": "The problem is solved and the fix is going through some tests before it is merged into the master branch."}, {"assistant": "The fix is on the master branch now."}]} +{"num": 1856, "messages": [{"user": "It seems that resizer can't correctly handle internal combinational paths constrained with set_max_delay. I am attaching OpenLane packaged example containing a line of SKY130 buffers constrained like this:\r\n```\r\nset_max_delay -from [get_pins {genblk1[1].buffer/X}] -to [get_pins {genblk1[6].buffer/A}] 0.1\r\n```\r\nThis leads to a crash during *repair_timing -setup* command with the following stack trace:\r\n```\r\n 0# 0x000055DAC23FF819 in openroad\r\n 1# 0x00007EFD5192C840 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# std::vector >::size() const in openroad\r\n 3# sta::TimingArc::cornerArc(int) in openroad\r\n 4# rsz::Resizer::repairSetup(sta::PathRef&, float) in openroad\r\n 5# rsz::Resizer::repairSetup(float, int) in openroad\r\n 6# rsz::repair_setup(float, int) in openroad\r\n 7# 0x000055DAC26362A2 in openroad\r\n 8# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 9# 0x00007EFD538C33AF in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n13# 0x000055DAC2400138 in openroad\r\n14# ord::tclAppInit(Tcl_Interp*) in openroad\r\n15# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# main in openroad\r\n17# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n18# _start in openroad\r\n```\r\n\r\nCrash comes from NULL dereference in the following line, but I'm not sure where to progress from here:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/8cd8fd14357244ec6b5d53b91a6249accdac2832/src/rsz/src/Resizer.cc#L2562\r\n\r\n[openroad_issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8689911/openroad_issue_reproducible.tar.gz)\r\n\r\n"}, {"assistant": "@vvbandeira hit a similar issue with bp_dual. "}, {"assistant": "Without running it I can see that the set_max_delay has invalid from/to pins (they are not valid start/end points). They would have to use -through to be valid. But that is certainly no excuse to seg fault."}, {"user": "> Without running it I can see that the set_max_delay has invalid from/to pins (they are not valid start/end points). They would have to use -through to be valid. But that is certainly no excuse to seg fault.\r\n\r\nDo you consider only input pins of combinational cells as valid start points and outputs as end points? Commercial EDAs I worked with supported inputs and outputs for both (as well as hierarchical pins in non flat designs). This limitation is not a problem, but there is no way to know about it, may be some kind of warning should be produced then SDC is loaded?"}, {"assistant": "my bad, the start/endpoints are fine; it is mcp and false paths that have restrictions.\r\nfixed in 50ec2e3c5e36b0c9fc0bf55b2a3f8b3a51936b43"}]} +{"num": 1868, "messages": [{"user": "### Problem\r\n\r\nFunction `AntennaRepair::insertDiode` places antenna-fixing diodes and avoids overlapping with existing insts. If a \"legal\" location is found, the inserted diode is placed in \"FIRM\" status ([src/grt/src/AntennaRepair.cpp:299](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/grt/src/AntennaRepair.cpp#L299)) and therefore will not be legalized by detailed placement. However, if the existing insts are hard macros, this function does not check for the blockages around the macros. As a result, the diodes may be inserted next to a hard macro where no power straps are available due to the blockage. Such diodes will fail placement checking later during the antenna fix.\r\n\r\n### Temporary Solution\r\n\r\nComment out src/grt/src/AntennaRepair.cpp:297-300, 302 . All inserted diodes are thus always legalized by the detailed placer. I have a fork that implements this fix, but I assume this is not the ideal solution, so I did not create a PR.\r\n\r\n### Context\r\n\r\nI was working on a MPW-5 project (now carried over to MPW-6) which contains a 2-level hierarchical user design (not counting the caravel wrapper). In my openlane `config.tcl` for the top-level user design, I tried different antenna fixing strategies and none worked well. Strategy 3 using the FastRoute antenna fixer seems the most promising and reasonable strategy, yet it always fails and reports illegal placements during antenna fixing. The temporary solution worked for me, although there are still a few hundreds of violations left at the end of the flow (other strategies either end up with thousands of violations, or insert a ton of diodes so the design is not routable)."}, {"assistant": "Please provide a test case demonstrating the issue."}, {"user": "Hi @maliberty, sure I would be happy to create a reproducable test case. I am unsure what to include though. Could you give me some instructions on how to create a reproducable test case?\r\n\r\nIn addition, our repo is available publicly (https://github.com/getziadz/caravel_mpw5_prga). The problem can be reproduced by running `make prga` at the root of the repo."}, {"assistant": "See https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/using_or_issue.md"}, {"user": "Great! Here is the auto-generated reproducible package. Let me know if you need any other files.\r\n\r\n[openroad_issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8711715/openroad_issue_reproducible.tar.gz)\r\n"}, {"assistant": "The rows are cut around the macro according to the halo so there are no legal placement sites inside the halo. I can't see any instances of an antenna diode inside the halo. Would you provide an example of what you mean in your test case."}, {"assistant": "Diodes in yellow:\r\n![image](https://user-images.githubusercontent.com/761514/169398201-e380cb28-4c5c-4478-a584-f196eb20b756.png)\r\n"}, {"assistant": "The diode must in a row to be marked FIRM:\r\n```\r\n legally_placed = legally_placed && diodeInRow(inst_rect);\r\n...\r\n if (core_area.contains(inst_rect) && !sink_inst->getMaster()->isBlock()\r\n && legally_placed) {\r\n antenna_inst->setPlacementStatus(odb::dbPlacementStatus::FIRM);\r\n```"}, {"user": "Hi @maliberty thanks for looking into this. The error I got was the following:\r\n\r\n```\r\n[INFO GRT-0012] Antenna violations: 2181\r\n[WARNING GRT-0054] Placement of diode ANTENNA_138 will be legalized by detailed placement.\r\n...\r\n[INFO GRT-0015] 2912 diodes inserted.\r\n[WARNING DPL-0004] Placed in rows check failed (2).\r\n[ERROR DPL-0033] detailed placement checks failed.\r\n```\r\n\r\nDue to the error I did not get the def with the inserted diodes, so I cannot verify if the diodes are indeed placed in the halo. Is there any way to get the def with the illegal diodes?\r\n\r\nOne thing to note is that I was using commit `8d53e9b018dec98fa63e907ddeb6c5406f035361` since that is what MPW-6 uses in their docker image."}, {"assistant": "8d53e9b018dec98fa63e907ddeb6c5406f035361 is from Feb 17. When I run with the current OR head I don't see the above errors. Why is MPW-6 so far behind? @donn "}, {"assistant": "I see the mpw-6b tag points at a slightly later version."}, {"assistant": "@angl-dev \r\nPlease test with latest commit, re-open an issue if problem repeats means with reproducible test case."}]} +{"num": 1869, "messages": [{"user": "Using currently: OpenROAD v2.0-3478-g1dcf04bbf along with OpenLane tag : 2022.05.17_01.52.42\r\n\r\nTrying to use @antonblanchard design from https://github.com/antonblanchard/microwatt-mpw5/blob/main/verilog/rtl/multiply_add_64x64.v\r\n\r\nGot failed during global routing, with below error:\r\n\r\n```\r\n[INFO GRT-0001] Minimum degree: 3\r\n[INFO GRT-0002] Maximum degree: 3\r\n[INFO GRT-0003] Macros: 0\r\n[INFO GRT-0004] Blockages: 23326\r\n[INFO GRT-0009] rerouting 2 nets.\r\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nStack trace:\r\n 0# 0x000000000102BD66 in openroad\r\n 1# 0x00007F40900B3400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# sta::Table2::findValue(float, float, float) const in openroad\r\n 5# sta::GateTableModel::gateDelay(sta::LibertyCell const*, sta::Pvt const*, float, float, float, bool, float&, float&) const in openroad\r\n 6# sta::LumpedCapDelayCalc::gateDelay(sta::LibertyCell const*, sta::TimingArc*, float const&, float, sta::Parasitic*, float, sta::Pvt const*, sta::DcalcAnalysisPt const*, float&, float&) in openroad\r\n 7# sta::DmpCeffDelayCalc::gateDelay(sta::LibertyCell const*, sta::TimingArc*, float const&, float, sta::Parasitic*, float, sta::Pvt const*, sta::DcalcAnalysisPt const*, float&, float&) in openroad\r\n 8# rsz::Resizer::gateDelays(sta::LibertyPort*, float, sta::DcalcAnalysisPt const*, float*, float*) in openroad\r\n 9# rsz::Resizer::bufferDelay(sta::LibertyCell*, sta::RiseFall const*, float, sta::DcalcAnalysisPt const*) in openroad\r\n10# rsz::Resizer::addWireAndBuffer(sta::Vector, rsz::SteinerTree*, int, int, int, double) in openroad\r\n11# rsz::Resizer::rebufferBottomUp(rsz::SteinerTree*, int, int, int, double) in openroad\r\n12# rsz::Resizer::rebufferBottomUp(rsz::SteinerTree*, int, int, int, double) in openroad\r\n13# rsz::Resizer::rebuffer(sta::Pin const*) in openroad\r\n14# rsz::Resizer::repairSetup(sta::PathRef&, float) in openroad\r\n15# rsz::Resizer::repairSetup(float, int) in openroad\r\n16# 0x000000000116C060 in openroad\r\n17# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n18# 0x00007F409308B36C in /lib64/libtcl8.5.so\r\n19# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n20# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n21# 0x00007F409308B36C in /lib64/libtcl8.5.so\r\n22# Tcl_ExprObj in /lib64/libtcl8.5.so\r\n23# Tcl_ExprBooleanObj in /lib64/libtcl8.5.so\r\n24# 0x00007F4093051F40 in /lib64/libtcl8.5.so\r\n25# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n26# 0x00007F409308B36C in /lib64/libtcl8.5.so\r\n27# 0x00007F4093093647 in /lib64/libtcl8.5.so\r\n28# TclEvalObjEx in /lib64/libtcl8.5.so\r\n29# 0x00007F40930CE27F in /lib64/libtcl8.5.so\r\n30# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n31# 0x00007F409308B36C in /lib64/libtcl8.5.so\r\n32# 0x00007F4093093647 in /lib64/libtcl8.5.so\r\n33# TclEvalObjEx in /lib64/libtcl8.5.so\r\n34# 0x00007F409304E1D0 in /lib64/libtcl8.5.so\r\n35# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n36# 0x00007F409308B36C in /lib64/libtcl8.5.so\r\n37# 0x00007F4093093647 in /lib64/libtcl8.5.so\r\n38# TclEvalObjEx in /lib64/libtcl8.5.so\r\n39# 0x00007F4093051F00 in /lib64/libtcl8.5.so\r\n40# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n41# 0x00007F409308B36C in /lib64/libtcl8.5.so\r\n42# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n43# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n44# 0x00007F409308B36C in /lib64/libtcl8.5.so\r\n45# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n46# 0x00007F4093046EB2 in /lib64/libtcl8.5.so\r\n47# 0x00007F4093047F1E in /lib64/libtcl8.5.so\r\n48# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n49# Tcl_Eval in /lib64/libtcl8.5.so\r\n50# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n51# ord::tclAppInit(Tcl_Interp*) in openroad\r\n52# Tcl_Main in /lib64/libtcl8.5.so\r\n53# main in openroad\r\n54# __libc_start_main in /lib64/libc.so.6\r\n55# 0x0000000001028727 in openroad\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/resizer_routing_timing.tcl\r\n[ERROR]: Exit code: 1\r\n[ERROR]: full log: designs/multiply_add_64x64/runs/RUN_2022.05.17_09.26.43/logs/routing/15-resizer.log\r\n[ERROR]: Last 10 lines:\r\nchild process exited abnormally\r\n\r\n```\r\n\r\nAttached test case: \r\n[openroad_issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8707363/openroad_issue_reproducible.zip)\r\n"}, {"assistant": "Note the seg fault is not from global routing but from repair_timing -setup"}, {"assistant": "fixed by 1250124454c8741c67973cf5c96c75e73fbfe8c9"}]} +{"num": 1872, "messages": [{"user": "### Discussed in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/1871\r\n\r\n
\r\n\r\nOriginally posted by **GuzTech** May 17, 2022\r\nHello everyone!\r\n\r\nI'm in the process of bringing up a new platform which is going well. I am running into a problem with a local interconnect layer which is specified as a `MASTERSLICE` with `LEF58_TYPE` = `MEOL`, so it is not specified as a `ROUTING` layer as is the case with the sky130 PDK. OpenDB complains that there is a parse mismatch for this `lil` layer.\r\n\r\nIf I add this `lil` layer as a routing layer to `make_tracks.tcl` then OpenDB complains that it is not a routing layer. If I don't add `lil` as a routing layer, then TritonRoute gives an error that it cannot find layer `lil` for viarule that connects `lil` to the first metal layer.\r\n\r\nDid anyone else run into a similar problem? If so, how did you solve it?
"}, {"user": "@osamahammad21 we are missing a few LEF58_TYPE values including MEOL in lefin/lefTechLayerTypeParser.cpp. Please guide Mohamed for adding all the missing values to odb. (You can reassign this to him once he accepts the project invite). "}, {"assistant": "Just wanted to let you know that I added `MEOL` to the enum `LEF58_TYPE` in `db.h` and the following to `lefin/lefTechLayerTypeParser.cpp:74`:\r\n\r\n```\r\n | lit(\"MEOL\")[boost::bind(&odb::dbTechLayer::setLef58Type,\r\n \t\t\t\t\t\t\t layer,\r\n \t\t\t\t\t\t\t odb::dbTechLayer::LEF58_TYPE::MEOL)])\r\n```\r\n\r\nThis did remove the warning but TritonRoute still cannot find the `lil` layer but I do not know if that if that is related to this issue."}, {"assistant": "That's a different issue. we should update TritonRoute to consider MEOL masterslice layers as well. for now, TritonRoute supports only one hard-coded masterslice layer with the name \"FR_MASTERSLICE\" I am fixing that now in parallel with supporting more LEF58_TYPE values."}, {"assistant": "I just pulled the latest version of OpenROAD and I can confirm that at least OpenDB does not complain about the MEOL layer anymore.\r\n\r\nHowever, does the issue with TritonRoute not fall under this same GitHub issue?"}, {"assistant": "Thanks for updated version! :+1: I again pulled in the latest version of OpenROAD, but I still have the same problem. I'll quote from the discussion that lead to this issue:\r\n```\r\n> The section in the LEF file looks like this:\r\n> \r\n> ```\r\n> LAYER lil\r\n> \tTYPE MASTERSLICE;\r\n> \tPROPERTY LEF58_TYPE \"TYPE MEOL;\";\r\n> END lil\r\n> ```\r\n> \r\n> But then TritonRoute gives me an error:\r\n> \r\n> ```\r\n> [ERROR DRT-0129] Unknown layer lil for viarule lil_m1.\r\n> ```\r\n> \r\n> The part in the LEF file:\r\n> \r\n> ```\r\n> VIARULE lil_m1 GENERATE \r\n> \tLAYER lil;\r\n> \t\tXXX\r\n> \tLAYER m1;\r\n> \t\tXXX\r\n> \tLAYER ctc;\r\n> \t\tXXX\r\n> END lil_m1 \r\n> ```\r\n> \r\n> where the `ctc` layer is of type `CUT`.\r\n\r\n```\r\n\r\nIs this related to this issue, or is it something else and should I create a different issue for it?"}, {"assistant": "Interestingly, after #1928 I now get a different error:\r\n```\r\n[ERROR DRT-0129] Unknown layer act for viarule Nact_m1.\r\n```\r\nwhere the relevant parts in the LEF file are:\r\n```\r\nLAYER act\r\n TYPE MASTERSLICE;\r\n PROPERTY LEF58_TYPE \"TYPE DIFFUSION;\";\r\n PROPERTY LEF58_SPACING \"SPACING XXX;\";\r\nEND act\r\n\r\nVIARULE Nact_m1\r\n LAYER act;\r\n XXX;\r\n LAYER m1;\r\n XXX;\r\n LAYER ctc;\r\n XXX;\r\nEND Nact_m1\r\n```\r\n\r\nSince I saw that you removed the comparison with `LEF58_TYPE::MEOL` in the function `addMasterSliceLayer()`, I actually removed the comparison with NWELL, PWELL and DIFFUSION as well since I have master slices that are of these types too. But that also gives the same error."}, {"assistant": "@GuzTech as I told you before we only support one masterSlice layer per tech in tritonroute. So in the end you would have the layers stacked as following:\nMasterslice layer\nCut layer\nRouting layer\nCut layer\n...\nNo other masterslice layer in between layers."}, {"assistant": "@osamahammad21 Ah ok, I misunderstood when you first explained it then. That means that for now we cannot use our PDK with OpenROAD for P&R.\r\n\r\nThanks for the hard work! :+1: "}, {"assistant": "@GuzTech Is your APK public?\r\nIf yes could you share it please?\r\nIf not, could you give me an idea on how the layers are stacked so that I could understand what needs to be supported?"}, {"assistant": "@osamahammad21 Thank you for wanting to look into it! It's a commercial PDK so unfortunately I cannot share it, but I can give an overview of how layers are stacked. There are two types of via rules that are like this:\r\n\r\n```\r\nRouting (metal layer number X)\r\nRouting (metal layer number Y)\r\nCut (via layer number Z)\r\n```\r\nand\r\n```\r\nMasterslice (DIFFUSION / PWELL / NWELL / MEOL / POLY (typeless))\r\nRouting (metal layer number 1)\r\nCut (metal contact layer)\r\n```\r\n\r\nDoes that help? Just let me know if you need any more information :+1: \r\n\r\nEDIT: I have just checked the Sky130 LEF file and from what I can see is that they also have multiple master slices, but none are referenced in vias and viarules (actually anywhere in the file).\r\n\r\nIn our LEF file, via rules have at most one masterslice. When you said that TritonRoute support one masterslice per tech, did you mean that only one masterslice layer can be used in the LEF file? If that's the case, then I understand why it doesn't work with our LEF file since we have via rules that reference master slices which are DIFFUSION, PWELL, NWELL and poly."}, {"user": "Are you actually routing signals outside the stdcells on the MEOL (or POLY) layers or is it just a matter of successfully ignoring them?"}, {"assistant": "@maliberty We just give it a Verilog design and call flow.tcl. The standard cells use a contact, metal #1, and nwell layer, but also have several statements that reference the lil layer which is the MEOL layer:\r\n\r\n- ANTENNAPARTIALMETALAREA\r\n- ANTENNADIFFAREA\r\n- ANTENNAMAXAREACAR\r\n- etc.\r\n\r\nAgain, thanks for taking the time. Much appreciated! If you need any more info, I'll be happy to help."}, {"user": "I'm trying to understand if we can just ignore that layer and associated vias or not. \r\n\r\nWhat layer are the std cell pins on in LEF? What are the immediately above cut & routing layers. Where is you MEOL layer in relation to those?"}, {"assistant": "The standard cell i/o pins are on the metal 1 and contact layers, and power pins are on the NWELL / PWELL layers. The lil layer (MEOL) is only referenced in the statements I mentioned before. In the stack, the MEOL layer apparently is between the highest two metal layers."}, {"assistant": "@GuzTech we do have a mechanism to share data under NDA via Precision Innovations consulting. You can email info@precisioninno.com for more information."}, {"assistant": "@tspyrou I am not in a position to make the decision to share information, but I will ask the PDK partner for permission. I will let you know as soon as I have more information :+1: "}]} +{"num": 1877, "messages": [{"user": "I build the OpenROAD app successfully, but when I ran mp_test1.tcl under ./src/mpl2/test/, I met errors. The log info is as follows:\r\n$ openroad < mp_test1.tcl\r\nOpenROAD v2.0-3615-gf4a99dadf\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./Nangate45/Nangate45_tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: ./Nangate45/Nangate45_tech.lef\r\n[INFO ODB-0222] Reading LEF file: ./Nangate45/Nangate45.lef\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-1) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-2) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-3) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-4) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-1) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-2) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-3) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-4) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via3Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via3Array-1) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via3Array-2) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via4Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via5Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via6Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via7Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via8Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via9Array-0) ignoring...\r\n[INFO ODB-0225] Created 134 library cells\r\n[INFO ODB-0226] Finished LEF file: ./Nangate45/Nangate45.lef\r\n[INFO ODB-0222] Reading LEF file: ./Nangate45/fake_macros.lef\r\n[INFO ODB-0225] Created 2 library cells\r\n[INFO ODB-0226] Finished LEF file: ./Nangate45/fake_macros.lef\r\n[WARNING STA-0160] ./Nangate45/fake_macros.lib line 32, default_max_transition is 0.0.\r\n[INFO ODB-0127] Reading DEF file: ./testcases/mp_test1_fp.def\r\n[INFO ODB-0128] Design: mp_test1\r\n[INFO ODB-0252] Updated 8 pins.\r\n[INFO ODB-0253] Updated 10 components.\r\n[INFO ODB-0134] Finished DEF file: ./testcases/mp_test1_fp.def\r\nRunning Partition Design...\r\n[INFO PAR-0402] Traversed logical hierarchy\r\n Number of std cell instances: 0\r\n Total area: 160000\r\n Number of hard macros: 10\r\n[INFO PAR-0490] Number of hops: 0\r\n[INFO PAR-0490] Number of hops: 1\r\n[INFO PAR-0490] Number of hops: 2\r\n[INFO PAR-0490] Number of hops: 3\r\n[INFO PAR-0403] Number of Clusters created: 17\r\nStart RTL-MP\r\n[INFO MPL-0009] RTL-MP param: min_aspect_ratio: 0.5.\r\n[INFO MPL-0009] RTL-MP param: dead_space: 0.1.\r\n[INFO MPL-0009] RTL-MP param: learning_rate: 0.\r\n[INFO MPL-0009] RTL-MP param: shrink_factor: 0.997.\r\n[INFO MPL-0009] RTL-MP param: shrink_freq: 0.1.\r\n[INFO MPL-0009] RTL-MP param: halo_width: 0.\r\n[INFO MPL-0009] RTL-MP param: region_file: macro_blockage.txt.\r\n[INFO MPL-0009] RTL-MP param: location_file: location.txt.\r\n[INFO MPL-0009] RTL-MP param: num_thread: 10.\r\n[INFO MPL-0009] RTL-MP param: num_run: 5.\r\n[INFO MPL-0009] RTL-MP param: heat_rate: 0.1.\r\n[INFO MPL-0009] RTL-MP param: num_level: 3.\r\n[INFO MPL-0009] RTL-MP param: num_worker: 10.\r\n[INFO MPL-0009] RTL-MP param: alpha: 6.\r\n[INFO MPL-0009] RTL-MP param: beta: 40.\r\n[INFO MPL-0009] RTL-MP param: gamma: 100.\r\n[INFO MPL-0009] RTL-MP param: boundary_weight: 2.\r\n[INFO MPL-0009] RTL-MP param: macro_blockage_weight: 1.\r\n[INFO MPL-0009] RTL-MP param: location_weight: 100.\r\n[INFO MPL-0009] RTL-MP param: notch_weight: 212.\r\n[INFO MPL-0009] RTL-MP param: resize_prob: 0.3.\r\n[INFO MPL-0009] RTL-MP param: pos_swap_prob: 0.3.\r\n[INFO MPL-0009] RTL-MP param: neg_swap_prob: 0.3.\r\n[INFO MPL-0009] RTL-MP param: double_swap_prob: 0.1.\r\n[INFO MPL-0009] RTL-MP param: init_prob: 0.95.\r\n[INFO MPL-0009] RTL-MP param: rej_ratio: 0.95.\r\n[INFO MPL-0009] RTL-MP param: k: 5000000.\r\n[INFO MPL-0009] RTL-MP param: c: 1000.\r\n[INFO MPL-0009] RTL-MP param: snap_layer: 4.\r\n[INFO MPL-0009] RTL-MP param: max_num_step: 500.\r\n[INFO MPL-0009] RTL-MP param: perturb_per_step: 60.\r\n[INFO MPL-0009] RTL-MP param: seed: 0.\r\n/tools/cluster-software/gcc/gcc-9.3.0/include/c++/9.3.0/bits/stl_vector.h:1042: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = std::__cxx11::basic_string; _Alloc = std::allocator >; std::vector<_Tp, _Alloc>::reference = std::__cxx11::basic_string&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nStack trace:\r\n 0# 0x0000559D06982B87 in openroad\r\n 1# 0x00007F9E96813400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# std::char_traits::assign(char&, char const&) in openroad\r\n 5# std::vector, std::allocator >, std::allocator, std::allocator > > >::operator[](unsigned long) in openroad\r\n 6# shape_engine::ParseBlockFile(std::vector >&, std::__cxx11::basic_string, std::allocator > const&, float&, float&, float&, float&, utl::Logger*, float, float) in openroad\r\n 7# shape_engine::ShapeEngine(float&, float&, float&, float&, float, float, float, utl::Logger*, std::__cxx11::basic_string, std::allocator > const&, std::__cxx11::basic_string, std::allocator > const&, int, int, unsigned int) in openroad\r\n 8# mpl::rtl_macro_placer(char const*, utl::Logger*, odb::dbDatabase*, char const*, float, float, float, float, float, float, float, float, char const*, char const*, char const*) in openroad\r\n 9# mpl::MacroPlacer2::place(char const*, char const*, float, float, float, float, float, float, float, float, char const*, char const*, char const*) in openroad\r\n10# mpl2::rtl_macro_placer_cmd(char const*, char const*, float, float, float, float, float, float, float, float, char const*, char const*, char const*) in openroad\r\n11# 0x0000559D07DE522F in openroad\r\n12# 0x00007F9E98C22AD4 in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n13# 0x00007F9E98C6998F in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n14# TclObjInterpProcCore in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n15# 0x00007F9E98C22AD4 in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n16# 0x00007F9E98C6998F in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n17# 0x00007F9E98C6FA69 in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n18# TclEvalObjEx in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n19# Tcl_RecordAndEvalObj in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n20# Tcl_Main in /workspace/S/lvzhengyang/_install/lib/libtcl8.5.so\r\n21# main in openroad\r\n22# __libc_start_main in /lib64/libc.so.6\r\n23# 0x0000559D06964262 in openroad\r\n\r\nAppreciate for any help!"}, {"user": "I also tried to built the project via gcc-8.5.0, but the same error appeared. Below are the versions of my dependencies:\r\ntcltk-8.5\r\nboost-1.76.0\r\nspdlog-1.10.0"}, {"user": "Another question, is mpl2 providing the same function as mpl?"}, {"assistant": "mpl2 is intended to replace mpl once it is fully qualified. Can you provide a test case for the crash?\r\n\r\nI doubt those dependencies have any relation to the crash."}, {"user": "the test case is in the master branch of OpenROAD project, ./src/mpl2/test/mp_test1.tcl, \r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/mpl2/test/mp_test1.tcl\r\nand I built the project successfully with the dependencise mentioned above.\r\nI got this crush when I run the following command under OpenROAD/src/mpl2/test: \r\nopenroad < mp_test1.tcl\r\n\r\nI found that in.the file OpenROAD/test/regression, line 46, the test of mpl2 is commented. (https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/test/regression)\r\nI compiled the project with LOAD_PARTITIONERS OFF, maybe it's the reason of the crush.\r\nBut with LOAD_PARTITIONERS ON, cmake will report error for needing extra modules; Chaco, Metis.\r\nI downloaded these packages and I don't know how to embed them into mpl2."}, {"user": "I located the bug. The bug is that the TCL command: `rtl_macro_placer -report_file` cannot correctly set user-defined value to var `report_file` in C++ code, and `report_file` remains its default value which is wrong in the test case.\r\nI configured the corresponding code in OpenROAD/src/mpl2/src/mpl.tcl, line 70 manually, and re-compile the whole project, and finally I ran mpl2 without error.\r\nI'm not sure if it is due to my compile progress causing such error..."}, {"assistant": "LOAD_PARTITIONERS is obsolete and can be ignored. These tests should always be on now but this is what happens when they are off. \r\n\r\nI'm pushing a fix for -report_file. However the unit test still fails as the placement has changed (for the worse). @ravi-varadarajan will address the unit test and can then enable mpl2 in the /test/regression script."}, {"assistant": "#1887 fixes -report_file"}, {"user": "Another **ERROR**.\r\nWhen running the test case from OpenROAD/test/aes_nangate45.tcl with mpl2 utilized, errors occured. The log attached below:\r\n[logs.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/8735632/logs.txt)\r\n\r\nAnd here is the test case\r\n[partition.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8735642/partition.zip)\r\n\r\nunzip the test case file and enter the \"partition\" folder, run `openroad < aes_nangate45_2.tcl`\r\n\r\nI guess the error locates in OpenROAD/src/mpl2/src/block_placement.cpp, line 1746. When runing thread of FastSA, error occurs.\r\n\r\n"}, {"assistant": "I'll take a look but not that aes has no macros so mpl2 is kinda pointless."}, {"assistant": "I fixed one issue in the db that was causing the crash but another remains in mpl2 itself in the abscene of any macros to place."}]} +{"num": 1879, "messages": [{"user": "If one set_max_delay constraint terminates (-to) on combinational cell where another one starts (-from) one or both of these constraints are lost. I'm attaching a simple example. Two first SDC lines should create two timing paths:\r\n```\r\nset_max_delay -from [get_pins {_1_/A}] -to [get_ports out0] 0.01000\r\nset_max_delay -from [get_ports in0] -to [get_pins {_1_/X}] 0.02000\r\n#set_max_delay -from [get_ports in0] -to [get_pins {_1_/A}] 0.02000\r\n```\r\n\r\nBut none are reported:\r\n```\r\nopenroad -exit sdc_ignored.tcl\r\nOpenROAD v2.0-3265-gc1c315118 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: sky130_fd_sc_hd__nom.tlef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: sky130_fd_sc_hd__nom.tlef\r\n[INFO ODB-0222] Reading LEF file: sky130_fd_sc_hd.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2008): NOWIREEXTENSIONATPIN statement is obsolete in version 5.6 or later.\r\nThe NOWIREEXTENSIONATPIN statement will be ignored. See file sky130_fd_sc_hd.lef at line 2.\r\n\r\n[INFO ODB-0225] Created 441 library cells\r\n[INFO ODB-0226] Finished LEF file: sky130_fd_sc_hd.lef\r\nNo paths found.\r\n```\r\n\r\nIf we comment out any one of these two first SDC lines the remaining path will be reported as normal:\r\n```\r\nopenroad -exit sdc_ignored.tcl\r\nOpenROAD v2.0-3265-gc1c315118 \r\n...\r\n[INFO ODB-0226] Finished LEF file: sky130_fd_sc_hd.lef\r\nStartpoint: in0 (input port)\r\nEndpoint: _1_/X (internal path endpoint)\r\nPath Group: **default**\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 v input external delay\r\n 0.00 0.00 v in0 (in)\r\n 0.07 0.07 v _1_/X (sky130_fd_sc_hd__buf_1)\r\n 0.07 data arrival time\r\n\r\n 0.02 0.02 max_delay\r\n 0.00 0.02 output external delay\r\n 0.02 data required time\r\n---------------------------------------------------------\r\n 0.02 data required time\r\n -0.07 data arrival time\r\n---------------------------------------------------------\r\n -0.05 slack (VIOLATED)\r\n\r\n\r\nStartpoint: in0 (input port)\r\nEndpoint: _1_/X (internal path endpoint)\r\nPath Group: **default**\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 ^ input external delay\r\n 0.00 0.00 ^ in0 (in)\r\n 0.07 0.07 ^ _1_/X (sky130_fd_sc_hd__buf_1)\r\n 0.07 data arrival time\r\n\r\n 0.02 0.02 max_delay\r\n 0.00 0.02 output external delay\r\n 0.02 data required time\r\n---------------------------------------------------------\r\n 0.02 data required time\r\n -0.07 data arrival time\r\n---------------------------------------------------------\r\n -0.05 slack (VIOLATED)\r\n```\r\n\r\nInterestingly if we comment out the second SDC line and uncomment initially commented third, which terminates on another pin of the same cell, then only the third path will be reported:\r\n```\r\nopenroad -exit sdc_ignored.tcl\r\nOpenROAD v2.0-3265-gc1c315118 \r\n...\r\n[INFO ODB-0226] Finished LEF file: sky130_fd_sc_hd.lef\r\nStartpoint: in0 (input port)\r\nEndpoint: _1_/A (internal path endpoint)\r\nPath Group: **default**\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 ^ input external delay\r\n 0.00 0.00 ^ in0 (in)\r\n 0.00 0.00 ^ _1_/A (sky130_fd_sc_hd__buf_1)\r\n 0.00 data arrival time\r\n\r\n 0.02 0.02 max_delay\r\n 0.00 0.02 output external delay\r\n 0.02 data required time\r\n---------------------------------------------------------\r\n 0.02 data required time\r\n -0.00 data arrival time\r\n---------------------------------------------------------\r\n 0.02 slack (MET)\r\n\r\n\r\nStartpoint: in0 (input port)\r\nEndpoint: _1_/A (internal path endpoint)\r\nPath Group: **default**\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 v input external delay\r\n 0.00 0.00 v in0 (in)\r\n 0.00 0.00 v _1_/A (sky130_fd_sc_hd__buf_1)\r\n 0.00 data arrival time\r\n\r\n 0.02 0.02 max_delay\r\n 0.00 0.02 output external delay\r\n 0.02 data required time\r\n---------------------------------------------------------\r\n 0.02 data required time\r\n -0.00 data arrival time\r\n---------------------------------------------------------\r\n 0.02 slack (MET)\r\n```\r\n\r\n[sdc_ignored.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8720244/sdc_ignored.tar.gz)\r\n."}, {"assistant": "This is actually an OpenSTA issue.\r\nIt is fixed by commit c7debe5 but not integrated into openroad (yet)"}]} +{"num": 1884, "messages": [{"user": "`Description`\r\nThis is MPW-5 passed design. WIth latest openroad its failed to complete global routing.\r\n\r\nMPW-5 repo: https://github.com/ISL-ECE-CEG-AU/GPS_Baseband/blob/main/openlane/user_project_wrapper/config.tcl\r\n\r\n`Logs`\r\n\r\n```\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0197] Via related to pin nodes: 2128\r\n[INFO GRT-0198] Via related Steiner nodes: 2\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 3510\r\n[INFO GRT-0112] Final usage 3D: 98518\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: groute.tcl, 55 GRT-0118\r\n\r\n```\r\n\r\nAttaching Test case here: \r\n[openroad_issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8727975/openroad_issue_reproducible.zip)\r\n"}, {"assistant": "Macros should bring their pins to the outer edge and block their core (especially analog macros), not:\r\n![image](https://user-images.githubusercontent.com/761514/169374733-988d4b0f-e47e-40e2-8c27-9362dd075c62.png)\r\n"}, {"assistant": "Is there any further update here or should this be closed?"}, {"user": "Is that design consider as mixed signals? Openroad can handle such design\nfor routing?\n\nOn Tue, Jul 5, 2022, 10:19 PM Matt Liberty ***@***.***> wrote:\n\n> Is there any further update here or should this be closed?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you authored the thread.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "Are you asking me? I didn't design the block"}, {"user": "@maliberty \r\nIts a question. Whether Tritonroute will handle analog and digital blocks together to route.?\r\nMPW-5 repo: https://github.com/ISL-ECE-CEG-AU/GPS_Baseband/blob/main/openlane/user_project_wrapper/config.tcl\r\nAbove the user repo and used openlane flow for routing the design. But now facing congestion issue.\r\nI am not able to understand your statement: `Macros should bring their pins to the outer edge and block their core (especially analog macros), not:`\r\n"}, {"assistant": "No TR is not an analog router. Analog blocks should have a proper LEF"}, {"user": "Thanks @maliberty . Then closing this issue."}]} +{"num": 1885, "messages": [{"user": "`Description`\r\nThis is MPW-5 passed design. WIth latest openroad its failed to complete global routing.\r\n\r\nMPW-5 repo: https://github.com/htfab/asicle/blob/main/openlane/user_project/config.tcl\r\n\r\n`Logs`\r\n\r\n```\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[WARNING GRT-0227] Reached 20 congestion iterations with less than 15% of reduction between iterations.\r\n[INFO GRT-0197] Via related to pin nodes: 294161\r\n[INFO GRT-0198] Via related Steiner nodes: 37900\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 552784\r\n[INFO GRT-0112] Final usage 3D: 3626431\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: resizer_routing_timing.tcl, 53 GRT-0118\r\n\r\n```\r\n\r\nReproducible test case: \r\n[openroad_issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8728060/openroad_issue_reproducible.zip)\r\n"}, {"assistant": "We had this discussion elsewhere - this is not a helpful description of the problem. In MPW-5 you may have generated different input to grt and the problem is elsewhere. You need to identify where in the flow the divergence starts and file an issue about that. I need a case where the old results and the new results for the same input differ substantially.\r\n\r\nYou have an area of very high placement density that looks suspect:\r\n![image](https://user-images.githubusercontent.com/761514/169376175-800a015f-3bf9-4b3a-a15b-fc59634c9094.png)\r\n"}, {"user": "@maliberty \r\nI tried with different density, still its failing.\r\nCheck CI for `asicle` in https://jenkins.openroad.tools/blue/organizations/jenkins/OpenLane-MPW-CI-Public%2Funstable-small-1/detail/PR-51/1/pipeline/287"}, {"assistant": "Same comment as before, please isolate the issue"}, {"user": "@maliberty \r\nFor testing purpose I've increased the DIE_AREA but placement not spreading cells as expected. Increased CORE_UTIL\r\nAttached latest test case \r\n[issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9159569/issue_reproducible.zip)\r\n\r\n![Screenshot from 2022-07-21 18-33-00](https://user-images.githubusercontent.com/85787913/180221447-81f97af7-12d3-4fda-b836-5710bef28bae.png)\r\n\r\n"}, {"assistant": "Increasing the die area doesn't reduce placement density. PLACE_DENSITY controls the placement density."}, {"user": "PL_TARGET_DENSITY is `0.30`"}, {"assistant": "@vijayank88 you test case is packaged at global routing and therefore isn't useful for debugging a global placement issue."}, {"assistant": "Since OL uses decap cells as fillers the congestion will always be unrealistic in the heatmap once they have been inserted (since decaps are not recognized as fill cells). If I remove them I see:\r\n\r\n![image](https://user-images.githubusercontent.com/761514/180280209-f42810be-e7c7-4ed0-a65c-c91e55445484.png)\r\n\r\nstill above 30% but more accurate."}, {"user": "Yes. I used 0.30 as target density\n\nOn Thu, Jul 21, 2022, 7:45 PM Matt Liberty ***@***.***> wrote:\n\n> Increasing the die area doesn't reduce placement density. PLACE_DENSITY\n> controls the placement density.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you authored the thread.Message ID:\n> ***@***.***>\n>\n"}]} +{"num": 1888, "messages": [{"user": "OpenRoad fails to route ispd19_test6 with a macro pin corner spacing violation.\r\nThere is plenty of space to solve this pin access as can be seen on all the other pins of all the other macros.\r\n\r\nget input lef/def from https://www.ispd.cc/contests/19/\r\n\r\nOpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -threads 8 -log log -gui ispd19_test6.or\r\n\r\nispd19_test6.or:\r\n\r\nread_lef ispd19_test6.input.lef.gz\r\nread_def ispd19_test6.input.def.gz\r\n#set_thread_count 8\r\nglobal_route -verbose\r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\nwrite_db route.db\r\nexit\r\n\r\nOpenROAD v2.0-3644-gc852a71d0 \r\n....\r\n[INFO DRT-0195] Start 64th optimization iteration.\r\n[INFO DRT-0199] Number of violations = 1.\r\n[INFO DRT-0267] cpu time = 02:30:36, elapsed time = 00:20:51, memory = 8211.41 (MB), peak = 8287.22 (MB)\r\n\r\n5_route_drc.rpt:\r\n\r\n violation type: CornerSpc\r\n srcs: net:net49701 inst:inst8396 \r\n bbox = ( 1207.35, 483.185 ) - ( 1207.63, 483.265 ) on Layer Metal6\r\n"}, {"assistant": "We run this design nightly and it ends with 0 DRVs. See https://jenkins.openroad.tools/job/OpenROAD-Nightly-Public/654/execution/node/478/log/\r\n\r\nI'm not sure why you see a violation."}, {"assistant": "The tests are run with https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/drt/test/run-ispd.py"}, {"user": "I'm running on a M1 with 16GB and logs using 4,6,8 cores look nearly identical.\r\n\r\nBut comparing the 32-thread nightly log to my M1 logs, these are the differences I can spot:\r\n\r\na) OpenROAD v2.0-3644-gc852a71d0 vs OpenROAD v2.0-3674-g6bcd930ce\r\nb) global_route was run without -verbose vs I ran with\r\nc) Number of guides: 4718916 vs Number of guides: 1906703\r\nd) [INFO DRT-0176] GCELLGRID X -1 DO 905 STEP 3000 ; vs [INFO DRT-0176] GCELLGRID X -100 DO 905 STEP 3000 ;\r\n[INFO DRT-0177] GCELLGRID Y -1 DO 883 STEP 3000 ; vs [INFO DRT-0177] GCELLGRID Y 0 DO 883 STEP 3000 ;\r\ne) \"no mention of this\" vs [INFO DRT-0185] Post process initialize RPin region query. \r\nf) [INFO DRT-0184] Done with 1360212 vertical wires in 19 frboxes and 949165 vs 1286915 vertical wires in 19 frboxes and 852326 \r\nDone with 145885 vertical wires in 19 frboxes and 122642 vs 174782 vertical wires in 19 frboxes and 175988\r\n\r\nI get completely different guides/offset from groute and the \"Post process initialize RPin region query\" message is new. \r\n"}, {"assistant": "The ispd benchmark comes with guides so you shouldn't be running global route at all."}, {"user": "Why not ?\r\nStarting point is a legal placement that is known to route.\r\nAnd it\u2019s not a congestion problem, just pin access to one of the macro pins.\r\nThe pin is even wide enough to be covered by multiple tracks, so no offgrid pin access necessary."}, {"assistant": "That contest was for detailed routing so the global routing was fixed. We run it as it was run in the contest. We could try to do what you are doing but that would be a separate effort and isn't currently a priority (more of a grt test at that point)."}, {"user": "loading the guide still leaves me with this one macro pin access violation, even with --latest OpenROAD v2.0-3684-ga120f938e\r\n\r\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\ndetailed_route -guide ispd19_test6/ispd19_test6.guide -output_drc 5_route_drc.rpt -verbose 1\r\nexit\r\n\r\ncomparing the 32-thread linux regression log file against the 8-thread M1 Mac log shows that the first discrepancies are in the \"guide region query size\" messages.\r\n\r\nPS: It would be very helpful if the log file would contain [CMD] lines showing which commands were run and the runtime of these that can be easily grep'ed for. Let me know whether I should make that a feature request.\r\n\r\n[log25.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8748196/log25.gz)\r\n\r\n[INFO DRT-0036] FR_MASTERSLICE guide region query size = 0. [INFO DRT-0036] FR_MASTERSLICE guide region query size = 0.\r\n[INFO DRT-0036] FR_VIA guide region query size = 0. [INFO DRT-0036] FR_VIA guide region query size = 0.\r\n[INFO DRT-0036] Metal1 guide region query size = 763939. | [INFO DRT-0036] Metal1 guide region query size = 764122.\r\n[INFO DRT-0036] Via1 guide region query size = 0. [INFO DRT-0036] Via1 guide region query size = 0.\r\n[INFO DRT-0036] Metal2 guide region query size = 774176. | [INFO DRT-0036] Metal2 guide region query size = 774169.\r\n[INFO DRT-0036] Via2 guide region query size = 0. [INFO DRT-0036] Via2 guide region query size = 0.\r\n[INFO DRT-0036] Metal3 guide region query size = 509727. | [INFO DRT-0036] Metal3 guide region query size = 509715.\r\n[INFO DRT-0036] Via3 guide region query size = 0. [INFO DRT-0036] Via3 guide region query size = 0.\r\n[INFO DRT-0036] Metal4 guide region query size = 71887. | [INFO DRT-0036] Metal4 guide region query size = 71901.\r\n[INFO DRT-0036] Via4 guide region query size = 0. [INFO DRT-0036] Via4 guide region query size = 0.\r\n[INFO DRT-0036] Metal5 guide region query size = 9673. [INFO DRT-0036] Metal5 guide region query size = 9673.\r\n[INFO DRT-0036] Via5 guide region query size = 0. [INFO DRT-0036] Via5 guide region query size = 0.\r\n[INFO DRT-0036] Metal6 guide region query size = 4591. [INFO DRT-0036] Metal6 guide region query size = 4591.\r\n[INFO DRT-0036] Via6 guide region query size = 0. [INFO DRT-0036] Via6 guide region query size = 0.\r\n[INFO DRT-0036] Metal7 guide region query size = 3429. | [INFO DRT-0036] Metal7 guide region query size = 3431.\r\n[INFO DRT-0036] Via7 guide region query size = 0. [INFO DRT-0036] Via7 guide region query size = 0.\r\n[INFO DRT-0036] Metal8 guide region query size = 1672. | [INFO DRT-0036] Metal8 guide region query size = 1671.\r\n[INFO DRT-0036] Via8 guide region query size = 0. [INFO DRT-0036] Via8 guide region query size = 0.\r\n[INFO DRT-0036] Metal9 guide region query size = 147. [INFO DRT-0036] Metal9 guide region query size = 147.\r\n[INFO DRT-0179] Init gr pin query. [INFO DRT-0179] Init gr pin query.\r\n"}, {"assistant": "You can always file an issue if you think something needs improving."}, {"assistant": "Please re-open if you're facing an issue with test case again."}, {"user": "I kept this bug open because OR failed to fix 1 violation both with and without guides.\r\nIt shouldn't just be closed because it's \"old\", but thanks for reminding me to revisit this.\r\nDetail route doesn't have the option to load guides any more, so I just reran with groute/detail-route.\r\n\r\nWith a June 18 OR executable detailed routing finishes with 0 violations after the 8th iteration.\r\nGreat !!\r\n\r\nBut .... the runtime increased from 20min to 2h40min !!\r\n8x the runtime to fix 1 violation ...\r\n\r\nI'm curious whether you would consider that a regression or just a side effect of the router checking more rules.\r\nIs ispd16_test6 still part of the nightly regression and if so is this runtime to be expected ?\r\nThis seems excessive for 170k instances and a very routable design (according to the GRT congestion report).\r\n```\r\nMay 22 2022:\r\n[INFO DRT-0195] Start 64th optimization iteration.\r\n[INFO DRT-0199] Number of violations = 1.\r\n[INFO DRT-0267] cpu time = 02:30:36, elapsed time = 00:20:51, memory = 8211.41 (MB), peak = 8287.22 (MB)\r\n\r\nJune 19 20223:\r\n[INFO DRT-0195] Start 6th optimization iteration.\r\n Completing 10% with 21 violations. ....\r\n[INFO DRT-0195] Start 7th optimization iteration\r\n Completing 10% with 1 violations. ....\r\n[INFO DRT-0195] Start 8th optimization iteration.\r\n[INFO DRT-0199] Number of violations = 0.\r\n[INFO DRT-0267] cpu time = 16:41:41, elapsed time = 02:40:50, memory = 7391.95 (MB), peak = 9197.98 (MB)\r\n\r\n[INFO GRT-0096] Final congestion report:\r\nLayer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n---------------------------------------------------------------------------------------\r\nMetal1 4325352 361063 8.35% 0 / 0 / 0\r\nMetal2 6412381 1743220 27.19% 0 / 0 / 0\r\nMetal3 7418829 1524345 20.55% 0 / 0 / 0\r\nMetal4 7423116 496914 6.69% 0 / 0 / 0\r\nMetal5 4807805 120909 2.51% 0 / 0 / 0\r\nMetal6 3316595 50165 1.51% 0 / 0 / 0\r\nMetal7 5444456 60756 1.12% 0 / 0 / 0\r\nMetal8 5578624 4261 0.08% 0 / 0 / 0\r\nMetal9 799092 84 0.01% 0 / 0 / 0\r\n---------------------------------------------------------------------------------------\r\nTotal 45526250 4361717 9.58% 0 / 0 / 0\r\n```"}, {"assistant": "There is a read_guides command. I think the runtime is worth looking at."}, {"user": "1) Using read_guides the runtime of 50min is much better then the 2h40min with groute.\r\nBut drt is still 2.5x slower then in May 2022.\r\n\r\n2) This is also a groute test case, the M1-M2 wire length is a lot larger than with the read_guides.\r\n\r\ngroute\r\n```\r\n1402 Total wire length on LAYER Metal1 = 96338 um.\r\n1403 Total wire length on LAYER Metal2 = 2552239 um.\r\n1404 Total wire length on LAYER Metal3 = 2780384 um.\r\n```\r\nread_guide\r\n```\r\n338 Total wire length on LAYER Metal1 = 3895 um.\r\n339 Total wire length on LAYER Metal2 = 1566612 um.\r\n340 Total wire length on LAYER Metal3 = 2240879 um.\r\n```\r\n\r\n3) can somebody please explain the DRC violation number display for \"completing xx%\" to me ?\r\n\r\n```\r\n1370 [INFO DRT-0195] Start 0th optimization iteration.\r\n1371 Completing 10% with 54891 violations.\r\n...\r\n1389 Completing 100% with 495540 violations. <======\r\n1390 elapsed time = 01:06:44, memory = 4796.30 (MB).\r\n1391 [INFO DRT-0199] Number of violations = 1080579. <======\r\n...\r\n1428 [INFO DRT-0195] Start 1st optimization iteration.\r\n1429 Completing 10% with 986059 violations.\r\n...\r\n1447 Completing 100% with 64751 violations. <===========\r\n1448 elapsed time = 00:34:46, memory = 6473.91 (MB).\r\n1449 [INFO DRT-0199] Number of violations = 452590. <=========\r\n```\r\ngroute\r\n\r\n```\r\n1363 [INFO DRT-0184] Done with 982157 vertical wires in 19 frboxes and 631114 horizontal wires in 18 frboxes.\r\n1364 [INFO DRT-0186] Done with 338675 vertical wires in 19 frboxes and 268880 horizontal wires in 18 frboxes.\r\n1365 [INFO DRT-0182] Complete track assignment.\r\n1366 [INFO DRT-0267] cpu time = 00:04:25, elapsed time = 00:00:56, memory = 3772.45 (MB), peak = 6942.59 (MB)\r\n1367 [INFO DRT-0187] Start routing data preparation.\r\n1368 [INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 4431.84 (MB), peak = 6942.59 (MB)\r\n1369 [INFO DRT-0194] Start detail routing.\r\n1370 [INFO DRT-0195] Start 0th optimization iteration.\r\n1371 Completing 10% with 54891 violations.\r\n1372 elapsed time = 00:08:11, memory = 5830.45 (MB).\r\n1373 Completing 20% with 154296 violations.\r\n1374 elapsed time = 00:14:11, memory = 4220.42 (MB).\r\n1375 Completing 30% with 154320 violations.\r\n1376 elapsed time = 00:18:59, memory = 5508.48 (MB).\r\n1377 Completing 40% with 249650 violations.\r\n1378 elapsed time = 00:26:17, memory = 4764.81 (MB).\r\n1379 Completing 50% with 282048 violations.\r\n1380 elapsed time = 00:29:40, memory = 5145.11 (MB).\r\n1381 Completing 60% with 327995 violations.\r\n1382 elapsed time = 00:39:49, memory = 6646.06 (MB).\r\n1383 Completing 70% with 404091 violations.\r\n1384 elapsed time = 00:46:52, memory = 4552.16 (MB).\r\n1385 Completing 80% with 404102 violations.\r\n1386 elapsed time = 00:52:55, memory = 6016.72 (MB).\r\n1387 Completing 90% with 474020 violations.\r\n1388 elapsed time = 01:02:26, memory = 4899.11 (MB).\r\n1389 Completing 100% with 495540 violations.\r\n1390 elapsed time = 01:06:44, memory = 4796.30 (MB).\r\n1391 [INFO DRT-0199] Number of violations = 1080579.\r\n1392 Viol/Layer Metal1 Via1 Metal2 Via2 Metal3 Via3 Metal4 Via4 Metal5 Via5 Metal6 Via6 Metal7 Metal8\r\n1393 Corner Spacing 0 0 2597 0 199 0 21 0 3 0 2 0 0 0\r\n1394 Cut Spacing 0 10303 0 14171 0 1729 0 22 0 0 0 0 0 0\r\n1395 EOL Spacing 17325 0 48249 0 8801 0 111 0 11 0 0 0 0 0\r\n1396 Metal Spacing 77336 0 25436 0 732 0 36 0 18 0 2 0 4 0\r\n1397 NS Metal 494 0 263 0 1 0 0 0 0 0 0 0 0 0\r\n1398 Recheck 79527 0 138785 0 358106 0 6611 0 681 0 991 0 337 1\r\n1399 Short 88536 6016 169403 2639 19792 690 362 0 232 1 2 1 0 0\r\n1400 [INFO DRT-0267] cpu time = 07:53:03, elapsed time = 01:06:51, memory = 7035.84 (MB), peak = 7750.91 (MB)\r\n1401 Total wire length = 6723003 um.\r\n1402 Total wire length on LAYER Metal1 = 96338 um.\r\n1403 Total wire length on LAYER Metal2 = 2552239 um.\r\n1404 Total wire length on LAYER Metal3 = 2780384 um.\r\n1405 Total wire length on LAYER Metal4 = 928553 um.\r\n1406 Total wire length on LAYER Metal5 = 188664 um.\r\n1407 Total wire length on LAYER Metal6 = 75501 um.\r\n1408 Total wire length on LAYER Metal7 = 91879 um.\r\n1409 Total wire length on LAYER Metal8 = 9316 um.\r\n1410 Total wire length on LAYER Metal9 = 125 um.\r\n1411 Total number of vias = 2276784.\r\n1412 Up-via summary (total 2276784):.\r\n1413 \r\n1414 --------------------------\r\n1415 FR_MASTERSLICE 0\r\n1416 Metal1 917934\r\n1417 Metal2 1169308\r\n1418 Metal3 175064\r\n1419 Metal4 8932\r\n1420 Metal5 2423\r\n1421 Metal6 2280\r\n1422 Metal7 840\r\n1423 Metal8 3\r\n1424 --------------------------\r\n1425 2276784\r\n1426 \r\n1427 \r\n1428 [INFO DRT-0195] Start 1st optimization iteration.\r\n1429 Completing 10% with 986059 violations.\r\n1430 elapsed time = 00:05:14, memory = 5334.22 (MB).\r\n1431 Completing 20% with 807463 violations.\r\n1432 elapsed time = 00:08:51, memory = 4251.91 (MB).\r\n1433 Completing 30% with 807222 violations.\r\n1434 elapsed time = 00:11:19, memory = 6930.03 (MB).\r\n1435 Completing 40% with 601871 violations.\r\n1436 elapsed time = 00:16:40, memory = 5714.06 (MB).\r\n1437 Completing 50% with 530863 violations.\r\n1438 elapsed time = 00:18:51, memory = 6955.28 (MB).\r\n1439 Completing 60% with 444600 violations.\r\n1440 elapsed time = 00:23:05, memory = 8731.17 (MB).\r\n1441 Completing 70% with 304975 violations.\r\n1442 elapsed time = 00:26:26, memory = 6753.44 (MB).\r\n1443 Completing 80% with 304808 violations.\r\n1444 elapsed time = 00:28:37, memory = 7899.47 (MB).\r\n1445 Completing 90% with 122028 violations.\r\n1446 elapsed time = 00:32:47, memory = 6162.27 (MB).\r\n1447 Completing 100% with 64751 violations.\r\n1448 elapsed time = 00:34:46, memory = 6473.91 (MB).\r\n1449 [INFO DRT-0199] Number of violations = 452590.\r\n...\r\n1818 [INFO DRT-0195] Start 8th optimization iteration.\r\n1819 Completing 10% with 1 violations.\r\n...\r\n1839 [INFO DRT-0199] Number of violations = 0.\r\n...\r\n1896 [INFO DRT-0267] cpu time = 16:41:41, elapsed time = 02:40:50, memory = 7391.95 (MB), peak = 9197.98 (MB)\r\n```\r\n\r\nread_guides ...\r\n\r\n```\r\n299 [INFO DRT-0184] Done with 1305496 vertical wires in 19 frboxes and 999199 horizontal wires in 18 frboxes.\r\n300 [INFO DRT-0186] Done with 177976 vertical wires in 19 frboxes and 225561 horizontal wires in 18 frboxes.\r\n301 [INFO DRT-0182] Complete track assignment.\r\n302 [INFO DRT-0267] cpu time = 00:03:52, elapsed time = 00:00:49, memory = 4423.42 (MB), peak = 7574.72 (MB)\r\n303 [INFO DRT-0187] Start routing data preparation.\r\n304 [INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 5165.14 (MB), peak = 7574.72 (MB)\r\n305 [INFO DRT-0194] Start detail routing.\r\n306 [INFO DRT-0195] Start 0th optimization iteration.\r\n307 Completing 10% with 14536 violations.\r\n308 elapsed time = 00:03:18, memory = 7266.25 (MB).\r\n309 Completing 20% with 33851 violations.\r\n310 elapsed time = 00:05:12, memory = 4856.77 (MB).\r\n311 Completing 30% with 34055 violations.\r\n312 elapsed time = 00:07:18, memory = 6298.84 (MB).\r\n313 Completing 40% with 61202 violations.\r\n314 elapsed time = 00:10:38, memory = 4895.59 (MB).\r\n315 Completing 50% with 69197 violations.\r\n316 elapsed time = 00:11:53, memory = 4337.89 (MB).\r\n317 Completing 60% with 83373 violations.\r\n318 elapsed time = 00:16:26, memory = 4011.81 (MB).\r\n319 Completing 70% with 97451 violations.\r\n320 elapsed time = 00:19:06, memory = 3839.62 (MB).\r\n321 Completing 80% with 97633 violations.\r\n322 elapsed time = 00:21:32, memory = 5952.70 (MB).\r\n323 Completing 90% with 117724 violations.\r\n324 elapsed time = 00:24:56, memory = 5307.31 (MB).\r\n325 Completing 100% with 122778 violations.\r\n326 elapsed time = 00:26:12, memory = 5687.27 (MB).\r\n327 [INFO DRT-0199] Number of violations = 593751.\r\n328 Viol/Layer Metal1 Via1 Metal2 Via2 Metal3 Via3 Metal4 Via4 Metal5 Via5 Metal6 Via6 Metal7 Via7 Metal8 Via8 Metal9\r\n329 Corner Spacing 0 0 704 0 439 0 74 0 93 0 2 0 0 0 0 0 0\r\n330 Cut Spacing 0 319 0 9608 0 7461 0 7148 0 28 0 108 0 2 0 9 0\r\n331 EOL Spacing 804 0 11292 0 13199 0 887 0 944 0 67 0 645 0 45 0 0\r\n332 Metal Spacing 1619 0 3989 0 747 0 290 0 251 0 234 0 988 0 9 0 0\r\n333 NS Metal 0 0 8 0 0 0 2 0 1 0 2 0 0 0 0 0 0\r\n334 Recheck 1683 0 145081 0 277783 0 24378 0 9254 0 4112 0 7543 0 882 0 257\r\n335 Short 14 26 21072 737 24586 593 1975 95 6559 150 391 378 3942 91 117 0 34\r\n336 [INFO DRT-0267] cpu time = 03:02:33, elapsed time = 00:26:18, memory = 7199.42 (MB), peak = 8340.83 (MB)\r\n337 Total wire length = 6769430 um.\r\n338 Total wire length on LAYER Metal1 = 3895 um.\r\n339 Total wire length on LAYER Metal2 = 1566612 um.\r\n340 Total wire length on LAYER Metal3 = 2240879 um.\r\n341 Total wire length on LAYER Metal4 = 1537409 um.\r\n342 Total wire length on LAYER Metal5 = 517076 um.\r\n343 Total wire length on LAYER Metal6 = 215464 um.\r\n344 Total wire length on LAYER Metal7 = 350481 um.\r\n345 Total wire length on LAYER Metal8 = 330634 um.\r\n346 Total wire length on LAYER Metal9 = 6976 um.\r\n347 Total number of vias = 2255510.\r\n348 Up-via summary (total 2255510):.\r\n349 \r\n350 --------------------------\r\n351 FR_MASTERSLICE 0\r\n352 Metal1 806101\r\n353 Metal2 1073206\r\n354 Metal3 257350\r\n355 Metal4 87876\r\n356 Metal5 7131\r\n357 Metal6 16824\r\n358 Metal7 6695\r\n359 Metal8 327\r\n360 --------------------------\r\n361 2255510\r\n362 \r\n363 \r\n364 [INFO DRT-0195] Start 1st optimization iteration.\r\n365 Completing 10% with 547571 violations.\r\n366 elapsed time = 00:01:54, memory = 6716.70 (MB).\r\n367 Completing 20% with 471035 violations.\r\n368 elapsed time = 00:03:08, memory = 5254.16 (MB).\r\n369 Completing 30% with 469728 violations.\r\n370 elapsed time = 00:04:17, memory = 6347.81 (MB).\r\n371 Completing 40% with 387890 violations.\r\n372 elapsed time = 00:05:59, memory = 5570.33 (MB).\r\n373 Completing 50% with 362815 violations.\r\n374 elapsed time = 00:06:45, memory = 5455.14 (MB).\r\n375 Completing 60% with 285777 violations.\r\n376 elapsed time = 00:08:39, memory = 7027.81 (MB).\r\n377 Completing 70% with 181594 violations.\r\n378 elapsed time = 00:09:54, memory = 5361.25 (MB).\r\n379 Completing 80% with 180133 violations.\r\n380 elapsed time = 00:11:09, memory = 6346.08 (MB).\r\n381 Completing 90% with 51215 violations.\r\n382 elapsed time = 00:12:55, memory = 5671.92 (MB).\r\n383 Completing 100% with 15418 violations.\r\n384 elapsed time = 00:13:45, memory = 5138.62 (MB).\r\n385 [INFO DRT-0199] Number of violations = 556441.\r\n386 Viol/Layer Metal1 Via1 Metal2 Via2 Metal3 Via3 Metal4 Via4 Metal5 Metal6 Via6 Metal7 Metal8 Via8 Metal9\r\n387 Corner Spacing 0 0 88 0 141 0 43 0 17 1 0 1 0 0 0\r\n388 Cut Spacing 0 60 0 732 0 1113 0 505 0 0 1 0 0 12 0\r\n389 EOL Spacing 20 0 1913 0 752 0 83 0 191 2 0 130 1 0 0\r\n390 Metal Spacing 50 0 358 0 223 0 57 0 23 8 0 177 1 0 0\r\n391 NS Metal 0 0 0 0 0 0 0 0 4 0 0 0 0 0 0\r\n392 Recheck 620 0 212244 0 187358 0 130379 0 8139 2282 0 98 0 0 0\r\n393 Short 4 0 2525 4 4615 4 397 4 598 17 0 432 7 0 7\r\n394 [INFO DRT-0267] cpu time = 01:39:33, elapsed time = 00:13:50, memory = 6786.81 (MB), peak = 8340.83 (MB)\r\n395 Total wire length = 6630154 um.\r\n396 Total wire length on LAYER Metal1 = 2548 um.\r\n397 Total wire length on LAYER Metal2 = 1521220 um.\r\n398 Total wire length on LAYER Metal3 = 2213698 um.\r\n399 Total wire length on LAYER Metal4 = 1478750 um.\r\n400 Total wire length on LAYER Metal5 = 515545 um.\r\n401 Total wire length on LAYER Metal6 = 211677 um.\r\n402 Total wire length on LAYER Metal7 = 349754 um.\r\n403 Total wire length on LAYER Metal8 = 330158 um.\r\n404 Total wire length on LAYER Metal9 = 6800 um.\r\n405 Total number of vias = 2061844.\r\n406 Up-via summary (total 2061844):.\r\n407 \r\n408 --------------------------\r\n409 FR_MASTERSLICE 0\r\n410 Metal1 801343\r\n411 Metal2 1005747\r\n412 Metal3 192145\r\n413 Metal4 38181\r\n414 Metal5 5515\r\n415 Metal6 12760\r\n416 Metal7 5566\r\n417 Metal8 587\r\n418 --------------------------\r\n419 2061844\r\n420 \r\n421 \r\n422 [INFO DRT-0195] Start 2nd optimization iteration.\r\n423 Completing 10% with 498486 violations.\r\n424 elapsed time = 00:01:12, memory = 7186.56 (MB).\r\n425 Completing 20% with 412476 violations.\r\n426 elapsed time = 00:02:00, memory = 5913.89 (MB).\r\n427 Completing 30% with 412435 violations.\r\n428 elapsed time = 00:02:41, memory = 7132.69 (MB).\r\n429 Completing 40% with 287938 violations.\r\n...\r\n592 [INFO DRT-0195] Start 5th optimization iteration.\r\n593 Completing 10% with 189 violations.\r\n594 elapsed time = 00:00:00, memory = 7384.34 (MB).\r\n595 Completing 20% with 8 violations.\r\n596 elapsed time = 00:00:00, memory = 7384.48 (MB).\r\n597 Completing 30% with 8 violations.\r\n598 elapsed time = 00:00:00, memory = 7384.48 (MB).\r\n599 Completing 40% with 7 violations.\r\n600 elapsed time = 00:00:00, memory = 7384.52 (MB).\r\n601 Completing 50% with 6 violations.\r\n602 elapsed time = 00:00:00, memory = 7384.59 (MB).\r\n603 Completing 60% with 6 violations.\r\n604 elapsed time = 00:00:00, memory = 7384.59 (MB).\r\n605 Completing 70% with 3 violations.\r\n606 elapsed time = 00:00:00, memory = 7384.64 (MB).\r\n607 Completing 80% with 3 violations.\r\n608 elapsed time = 00:00:00, memory = 7384.64 (MB).\r\n609 Completing 90% with 1 violations.\r\n610 elapsed time = 00:00:00, memory = 7384.67 (MB).\r\n611 Completing 100% with 0 violations.\r\n612 elapsed time = 00:00:00, memory = 7384.67 (MB).\r\n613 [INFO DRT-0199] Number of violations = 0.\r\n614 [INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 7384.67 (MB), peak = 8466.27 (MB)\r\n....\r\n670 [INFO DRT-0267] cpu time = 05:53:41, elapsed time = 00:50:15, memory = 7384.67 (MB), peak = 8466.27 (MB)\r\n```"}, {"assistant": "If you don't use the guides then you should use the global router in OR and not rely on the one in drt without guides. It is more of an academic legacy."}, {"user": "I was using global_route.\r\nGiven that the std cells are completely blocked in M1 for either PINS or OBS, it shouldn't be using any M1 routing ...\r\n\r\nBoth M1 and M2 use horizontal POWER, but M1 routing direction is vertical.\r\nI've seen M1/M2 both being horizontal for std cells that use M2 power pins.\r\n\r\n```\r\n 1 OpenROAD v2.0-8762-g8d56294a5\r\n 2 This program is licensed under the BSD-3 license. See the LICENSE file for details.\r\n 3 Components of this program may be licensed under more restrictive licenses which must be honored.\r\n 4 [INFO ODB-0222] Reading LEF file: ispd19_test6/ispd19_test6.input.lef.gz\r\n 5 [INFO ODB-0223] Created 18 technology layers\r\n 6 [INFO ODB-0224] Created 67 technology vias\r\n 7 [INFO ODB-0225] Created 63 library cells\r\n 8 [INFO ODB-0226] Finished LEF file: ispd19_test6/ispd19_test6.input.lef.gz\r\n 9 [INFO ODB-0127] Reading DEF file: ispd19_test6/ispd19_test6.input.def.gz\r\n 10 [INFO ODB-0128] Design: ispd19_test6\r\n 11 [INFO ODB-0094] Created 100000 Insts\r\n 12 [INFO ODB-0097] Created 100000 Nets\r\n 13 [INFO ODB-0130] Created 1211 pins.\r\n 14 [INFO ODB-0131] Created 179881 components and 1152181 component-terminals.\r\n 15 [INFO ODB-0132] Created 2 special nets and 359762 connections.\r\n 16 [INFO ODB-0133] Created 179863 nets and 792078 connections.\r\n 17 [INFO ODB-0134] Finished DEF file: ispd19_test6/ispd19_test6.input.def.gz\r\n 18 [INFO ORD-0030] Using 8 thread(s).\r\n 19 [INFO GRT-0020] Min routing layer: Metal1\r\n 20 [INFO GRT-0021] Max routing layer: Metal9\r\n 21 [INFO GRT-0022] Global adjustment: 0%\r\n 22 [INFO GRT-0023] Grid origin: (0, 0)\r\n 23 [INFO GRT-0043] No OR_DEFAULT vias defined.\r\n 24 [INFO GRT-0088] Layer Metal1 Track-Pitch = 0.1000 line-2-Via Pitch: 0.1000\r\n 25 [INFO GRT-0088] Layer Metal2 Track-Pitch = 0.1000 line-2-Via Pitch: 0.1000\r\n 26 [INFO GRT-0088] Layer Metal3 Track-Pitch = 0.1000 line-2-Via Pitch: 0.1000\r\n 27 [INFO GRT-0088] Layer Metal4 Track-Pitch = 0.1000 line-2-Via Pitch: 0.1000\r\n 28 [INFO GRT-0088] Layer Metal5 Track-Pitch = 0.1500 line-2-Via Pitch: 0.1100\r\n 29 [INFO GRT-0088] Layer Metal6 Track-Pitch = 0.2000 line-2-Via Pitch: 0.1500\r\n 30 [INFO GRT-0088] Layer Metal7 Track-Pitch = 0.2000 line-2-Via Pitch: 0.1650\r\n 31 [INFO GRT-0088] Layer Metal8 Track-Pitch = 0.2000 line-2-Via Pitch: 0.2000\r\n 32 [INFO GRT-0088] Layer Metal9 Track-Pitch = 1.0000 line-2-Via Pitch: 0.2000\r\n 33 [INFO GRT-0019] Found 0 clock nets.\r\n 34 [INFO GRT-0001] Minimum degree: 2\r\n 35 [INFO GRT-0002] Maximum degree: 1314\r\n 36 [INFO GRT-0003] Macros: 16\r\n 37 [INFO GRT-0004] Blockages: 6806721\r\n 38 \r\n 39 [INFO GRT-0053] Routing resources analysis:\r\n 40 Routing Original Derated Resource\r\n 41 Layer Direction Resources Resources Reduction (%)\r\n 42 ---------------------------------------------------------------\r\n 43 Metal1 Vertical 11986725 4325352 63.92%\r\n 44 Metal2 Horizontal 11986725 6412381 46.50%\r\n 45 Metal3 Vertical 11986725 7418829 38.11%\r\n 46 Metal4 Horizontal 11986725 7423116 38.07%\r\n 47 Metal5 Vertical 7991150 4807805 39.84%\r\n 48 Metal6 Horizontal 5593805 3316595 40.71%\r\n 49 Metal7 Vertical 5593805 5444456 2.67%\r\n 50 Metal8 Horizontal 5593805 5578624 0.27%\r\n 51 Metal9 Vertical 799115 799092 0.00%\r\n 52 ---------------------------------------------------------------\r\n 53 \r\n 54 [INFO GRT-0101] Running extra iterations to remove overflow.\r\n 55 [INFO GRT-0197] Via related to pin nodes: 806171\r\n 56 [INFO GRT-0198] Via related Steiner nodes: 113999\r\n 57 [INFO GRT-0199] Via filling finished.\r\n 58 [INFO GRT-0111] Final number of vias: 1224637\r\n 59 [INFO GRT-0112] Final usage 3D: 8035628\r\n 60 \r\n 61 [INFO GRT-0096] Final congestion report:\r\n 62 Layer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n 63 ---------------------------------------------------------------------------------------\r\n 64 Metal1 4325352 361063 8.35% 0 / 0 / 0\r\n 65 Metal2 6412381 1743220 27.19% 0 / 0 / 0\r\n 66 Metal3 7418829 1524345 20.55% 0 / 0 / 0\r\n 67 Metal4 7423116 496914 6.69% 0 / 0 / 0\r\n 68 Metal5 4807805 120909 2.51% 0 / 0 / 0\r\n 69 Metal6 3316595 50165 1.51% 0 / 0 / 0\r\n 70 Metal7 5444456 60756 1.12% 0 / 0 / 0\r\n 71 Metal8 5578624 4261 0.08% 0 / 0 / 0\r\n 72 Metal9 799092 84 0.01% 0 / 0 / 0\r\n 73 ---------------------------------------------------------------------------------------\r\n 74 Total 45526250 4361717 9.58% 0 / 0 / 0\r\n 75 \r\n 76 [INFO GRT-0018] Total wirelength: 8034669 um\r\n 77 [INFO GRT-0014] Routed nets: 179703\r\n 78 [INFO DRT-0149] Reading tech and libs.\r\n\r\n```"}, {"assistant": "When you ran grt what did you set for the min routing layer?"}, {"user": "I didn't set a min routing layer. I was (wrongly ?) assuming that global route would take M1 pins/obs of std cells into account when computing routing resources, but when I do set Metal2 as min layer I get a coredump ...\r\n```\r\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\nset_thread_count 8\r\nset_routing_layers -signal Metal2-Metal9 -clock Metal2-Metal9\r\nglobal_route -verbose\r\n#read_guides ispd19_test6/ispd19_test6.guide \r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\nwrite_db route.db\r\n```\r\n```\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\n Completing 10% with 29018 violations.\r\n elapsed time = 00:03:48, memory = 6417.78 (MB).\r\n Completing 20% with 80829 violations.\r\n elapsed time = 00:06:52, memory = 4275.94 (MB).\r\n Completing 30% with 80846 violations.\r\n elapsed time = 00:08:46, memory = 6192.88 (MB).\r\n Completing 40% with 131632 violations.\r\n elapsed time = 00:12:26, memory = 4434.80 (MB).\r\n Completing 50% with 150025 violations.\r\n elapsed time = 00:14:18, memory = 4577.17 (MB).\r\nSignal 11 received\r\nThread 17 Crashed:\r\n0 libunwind.dylib \t 0x19d6f12e0 libunwind::UnwindCursor::step() + 672\r\n1 libunwind.dylib \t 0x19d6f3f00 _Unwind_Backtrace + 348\r\n2 openroad \t 0x1000eb16c boost::stacktrace::detail::this_thread_frames::collect(void const**, unsigned long, unsigned long) + 56\r\n3 openroad \t 0x1000eafbc boost::stacktrace::basic_stacktrace>::init(unsigned long, unsigned long) + 84\r\n4 openroad \t 0x1000e9fac handler(int) + 144\r\n5 libsystem_platform.dylib \t 0x191dcaa24 _sigtramp + 56\r\n6 ??? \t0xffff8001007bd5dc ???\r\n7 openroad \t 0x1007b83b4 fr::FlexDRWorker::routeNet(fr::drNet*) + 452\r\n8 openroad \t 0x1007b69c0 fr::FlexDRWorker::route_queue_main(std::__1::queue>>&) + 648\r\n9 openroad \t 0x1007b6274 fr::FlexDRWorker::route_queue() + 456\r\n10 openroad \t 0x100776c64 fr::FlexDRWorker::main(fr::frDesign*) + 2668\r\n```"}, {"assistant": "I just ran with your script and no crash using aed70e329e5b7c072efcc92a370c68dfe5b5a54d"}, {"user": "OpenROAD v2.0-8799-gfb20dbe66 (Jun 21 2023) finishes.\r\nMerge pull request https://github.com/The-OpenROAD-Project/OpenROAD/pull/3487 from The-OpenROAD-Project-staging/gui-race\r\nseems to have done the trick for OR on Apple Silicon too.\r\n\r\nSo I this ticket can be closed, since OR routes ispd16_test6, but should there be new issues opened ?\r\nPlease comment.\r\n\r\nA) the 2.5x detailed router runtime regression (compared to May 2022)\r\nB) the 8x (7x blocking M1) router regression compared to \"other tool route guides\" \r\n\r\n1) OR May 2022, \"other tools\" route guide: 20min, 1 Violation (lets take this a baseline)\r\n2) OR June 2023, \"other tools\" route guide: 50min, 0 Violations (2.5x slowdown)\r\n3) OR June 2023, groute: 2h40, 0 Violations (8x slowdown)\r\n4) OR June 2023, groute M2-M9: 2h15, 0 Violations (7x slowdown)\r\n\r\na) the detailed router slowed down by 2.5x from May 2022 to June 2023, finishes without the 1 violation.\r\nb) the global router doesn't seem to take the M1/M2 std cell PIN/OBS into account,\r\nso the detail router starts with a lot more routes/violations on these layers then by loading \"other tools\" route guides.\r\nc) not using M1 at all helps, but speeds up the overall runtime from 2:40 to 2:15.\r\n\r\nWhile looking at the logs I was slightly confused by the # of violations being reported:\r\nIt seems to me that the \"Recheck\" (violations ?) get added to the # of violations counting down.\r\n```\r\n391 Completing 100% with 275348 violations. \r\n...\r\n393 [INFO DRT-0199] Number of violations = 711042. \r\n...\r\n400 Recheck 2864 0 108070 0 315882 0 6426 0 751 0 1182 0 516 3\r\n...\r\n430 [INFO DRT-0195] Start 1st optimization iteration.\r\n431 Completing 10% with 649708 violations.\r\n```\r\nd) What are Recheck violations ? Why are they added to the # of violations for the next iteration ?\r\n\r\n```\r\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\nset_thread_count 8\r\nset_routing_layers -signal Metal2-Metal9 -clock Metal2-Metal9\r\nglobal_route -verbose\r\n#read_guides ispd19_test6/ispd19_test6.guide \r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\nwrite_db route.db\r\n```\r\n```\r\n 61 [INFO GRT-0096] Final congestion report:\r\n 62 Layer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n 63 ---------------------------------------------------------------------------------------\r\n 64 Metal1 0 0 0.00% 0 / 0 / 0\r\n 65 Metal2 6412381 1755855 27.38% 0 / 0 / 0\r\n 66 Metal3 7418829 1834935 24.73% 0 / 0 / 0\r\n 67 Metal4 7423116 480628 6.47% 0 / 0 / 0\r\n 68 Metal5 4807805 150773 3.14% 0 / 0 / 0\r\n 69 Metal6 3316595 51309 1.55% 0 / 0 / 0\r\n 70 Metal7 5444456 77481 1.42% 0 / 0 / 0\r\n 71 Metal8 5578624 6766 0.12% 0 / 0 / 0\r\n 72 Metal9 799092 826 0.10% 0 / 0 / 0\r\n 73 ---------------------------------------------------------------------------------------\r\n 74 Total 41200898 4358573 10.58% 0 / 0 / 0\r\n 75 \r\n 76 [INFO GRT-0018] Total wirelength: 8001264 um\r\n\r\n372 [INFO DRT-0195] Start 0th optimization iteration.\r\n\r\n393 [INFO DRT-0199] Number of violations = 711042.\r\n394 Viol/Layer Metal1 Via1 Metal2 Via2 Metal3 Via3 Metal4 Via4 Metal5 Via5 Metal6 Via6 Metal7 Metal8\r\n395 Corner Spacing 0 0 2612 0 201 0 9 0 8 0 0 0 0 0\r\n396 Cut Spacing 0 641 0 6973 0 1214 0 59 0 0 0 0 0 0\r\n397 EOL Spacing 604 0 38542 0 7872 0 92 0 5 0 1 0 0 0\r\n398 Metal Spacing 1749 0 15726 0 456 0 31 0 95 0 1 0 9 0\r\n399 NS Metal 2 0 9 0 0 0 0 0 0 0 0 0 0 0\r\n400 Recheck 2864 0 108070 0 315882 0 6426 0 751 0 1182 0 516 3\r\n401 Short 125 22 172727 1400 22716 417 462 5 468 12 17 2 64 0\r\n402 [INFO DRT-0267] cpu time = 04:22:31, elapsed time = 00:57:24, memory = 7106.08 (MB), peak = 8475.70 (MB)\r\n403 Total wire length = 6707639 um.\r\n\r\n789 [INFO DRT-0199] Number of violations = 0.\r\n790 [INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 6361.03 (MB), peak = 8475.70 (MB)\r\n791 Total wire length = 6674246 um.\r\n792 Total wire length on LAYER Metal1 = 8373 um.\r\n793 Total wire length on LAYER Metal2 = 2343428 um.\r\n794 Total wire length on LAYER Metal3 = 2768303 um.\r\n795 Total wire length on LAYER Metal4 = 1095236 um.\r\n796 Total wire length on LAYER Metal5 = 249966 um.\r\n797 Total wire length on LAYER Metal6 = 77871 um.\r\n798 Total wire length on LAYER Metal7 = 116688 um.\r\n799 Total wire length on LAYER Metal8 = 13146 um.\r\n800 Total wire length on LAYER Metal9 = 1231 um.\r\n801 Total number of vias = 2166082.\r\n\r\n846 [INFO DRT-0267] cpu time = 13:50:26, elapsed time = 02:15:52, memory = 6361.03 (MB), peak = 8475.70 (MB)\r\n\r\n```\r\n\r\n"}, {"user": "I'm closing this since drt 2023 does route without violations. Filed two follow up issues."}]} +{"num": 1894, "messages": [{"user": "Hello, I am studying LEF/DEF format and trying to put them into RePlAce to get a global placement result and its HPWL.\r\n\r\nHowever, RePlAce runs very slow with my baby LEF/DEF files compared with the much complex gcd testcase.\r\nIs there any problems with my LEF/DEF files?\r\n\r\nHere is my LEF file:\r\n```\r\nVERSION 5.6 ;\r\nBUSBITCHARS \"[]\" ;\r\nDIVIDERCHAR \"/\" ;\r\nUNITS\r\n DATABASE MICRONS 100 ;\r\nEND UNITS\r\nMANUFACTURINGGRID 0.01 ;\r\n\r\nLAYER metal1\r\n TYPE ROUTING ;\r\n DIRECTION HORIZONTAL ;\r\n PITCH 0 ;\r\n WIDTH 0 ;\r\n SPACING 0 ;\r\nEND metal1\r\n\r\nSITE CoreSite\r\n SYMMETRY x y ;\r\n CLASS core ;\r\n SIZE 0.01 BY 0.10 ;\r\nEND CoreSite\r\n\r\nMACRO MC1\r\n CLASS core ;\r\n FOREIGN C1 0.0 0.0 ;\r\n ORIGIN 0 0 ;\r\n SITE CoreSite ;\r\n SIZE 0.10 BY 0.10 ;\r\n PIN P1\r\n DIRECTION INPUT ;\r\n PORT\r\n LAYER metal1 ;\r\n RECT 0 0 0 0 ;\r\n END\r\n END P1\r\nEND MC1\r\n\r\nMACRO MC2\r\n CLASS core ;\r\n FOREIGN C2 0.0 0.0 ;\r\n ORIGIN 0 0 ;\r\n SITE CoreSite ;\r\n SIZE 0.10 BY 0.10 ;\r\n PIN P1\r\n DIRECTION INPUT ;\r\n PORT\r\n LAYER metal1 ;\r\n RECT 0 0 0 0 ;\r\n END\r\n END P1\r\nEND MC2\r\n```\r\n\r\nAnd here is my DEF file:\r\n```\r\nVERSION 5.6 ;\r\nDIVIDERCHAR \"/\" ;\r\nBUSBITCHARS \"[]\" ;\r\nDESIGN design_name ;\r\nUNITS DISTANCE MICRONS 100 ;\r\nDIEAREA ( 0 0 ) ( 20 10 ) ;\r\nROW r0 CoreSite 0 0 N DO 20 BY 1 STEP 1 0 ;\r\nCOMPONENTS 2 ;\r\n- C1 MC1 ;\r\n- C2 MC2 ;\r\nEND COMPONENTS\r\nNETS 1 ;\r\n- N1\r\n\t( C1 P1 ) ( C2 P1 ) ;\r\nEND NETS\r\nEND DESIGN\r\n```\r\n"}, {"user": "And I met *NEGATIVE HPWL ERROR* in the final result.\r\nAny suggestions for this problem?\r\n\r\n![image](https://user-images.githubusercontent.com/55183618/169702524-37dc45a5-fe36-4142-82f3-40fa9663b028.png)\r\n\r\nAppreciate your help!\r\n"}, {"assistant": "Would you package a test case"}, {"assistant": "No activity; please reopen if still relevant."}]} +{"num": 1898, "messages": [{"user": "I am using the latest version of ORFS and the call to clock_tree_synthesis in scripts/cts.tcl is systematically causing Openroad to crash pretty early in the process. I have no clue on how to start debugging this. Not sure what exactly is causing the issue and how to guide someone else to reproduce it. I have double checked the synthesized netlist as well as the pin placement and everything seems to be ok. \r\n```\r\nOpenROAD v2.0-3729-g713ef39fc\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO CTS-0049] Characterization buffer is: CLKBUFHDV1.\r\n[INFO CTS-0039] Number of created patterns = 49104.\r\n[INFO CTS-0084] Compiling LUT.\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 34 1 572\r\n[WARNING CTS-0043] 3168 wires are pure wire and no slew degradation.\r\nTritonCTS forced slew degradation on these wires.\r\n[INFO CTS-0046] Number of wire segments: 48888.\r\n[INFO CTS-0047] Number of keys in characterization LUT: 1990.\r\n[INFO CTS-0048] Actual min input cap: 1.\r\nStack trace:\r\n 0# 0x0000558132766CB1 in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F791924B0C0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# sta::Vertex::bfsInQueue(sta::BfsIndex) const in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# sta::BfsIterator::enqueue(sta::Vertex*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# 0x0000558132B77BC5 in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# sta::ClkNetwork::findClkPins() in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# sta::dbSta::findClkNets() in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# cts::TritonCTS::populateTritonCTS() in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# cts::TritonCTS::runTritonCts() in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# 0x0000558132FECCB6 in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# TclNRRunCallbacks in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# 0x00007F791AF88924 in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_EvalEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_Eval in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n15# ord::tclAppInit(Tcl_Interp*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n16# Tcl_MainEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# main in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n19# _start in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:13.92[h:]min:sec. CPU time: user 13.73 sys 0.17 (99%). Peak memory: 307832KB.\r\n\r\n``` \r\n"}, {"assistant": "\"make cts_issue\" should package a test case."}, {"user": "The `make cts_isse` command includes Foundry files in the tar bundle it creates. What would be the best way to share this file without violating any NDA?"}, {"assistant": "You can't transfer a testcase with private PDK data. Which PDK are you using? If we have it in house I could take the test case without the PDK."}, {"user": "I am using SMIC180. I will try to see if I can reproduce the issue with a public PDK."}, {"assistant": "Sorry that's not one I have access to."}, {"assistant": "If you can't reproduce it on a public PDK then @tspyrou might be able to help with NDAs."}, {"user": "I have tried reproducing it on an public PDK but no luck so far. \r\nIs there any recommended procedure for compiling Openroad in debug mode? I could try firing up GBD and see how far I can get. "}, {"assistant": "I usually use from the top of ORFS:\r\n```\r\ncmake -DCMAKE_BUILD_TYPE=Debug -DCMAKE_CXX_FLAGS_DEBUG=\"-g -O0\" tools/OpenROAD -B tools/OpenROAD/build -D CMAKE_INSTALL_PREFIX=`pwd`/tools/install/OpenROAD\r\n```\r\nthen \r\n```\r\nmake -C tools/OpenROAD/build/ install -j45\r\n```"}, {"user": " @tspyrou any update regarding the NDA? "}, {"user": "I attached gdb to a run of `make cts`. it looks like the call to `sta::Vertex::bfsInQueue (this=0x0, index=sta::BfsIndex::other)` `OpenROAD/src/sta/graph/Graph.cc:1292` is causing the segmentation fault. I am attaching the full gdb log to this message. \r\n\r\nI noticed the following but I am not sure if it has anything to do with the issue. \r\n```\r\n#6 0x0000555559861e80 in sta::dbSta::findClkNets (this=0x55555f7674c0) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/dbSta.cc:340\r\n clk_nets = std::set with 140737488339664 elements\r\n```\r\n@tspyrou any update regarding the NDA?\r\n\r\n[gdb.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/8801552/gdb.log)\r\n"}, {"assistant": "@gkamendje In your PDK what signal type is the input of your buffer? I'm also using a proprietary PDK, and ran into a segfault because OpenROAD didn't support clock type for the clock buffer cells. I submitted https://github.com/The-OpenROAD-Project/OpenROAD/pull/1952 if you want to give that a try."}, {"user": "@QuantamHD Thanks for notifying. In my case, buffer cells have `SIGNAL` as clock input type. As I mentioned previously, the problem is related to a call to `sta::Vertex::bfsInQueue (this=0x0, index=sta::BfsIndex::other)` in the file `OpenROAD/src/sta/graph/Graph.cc:1292` while it is trying to find the clock pins of the clock network (see the gdb log below). I need some further investigation to understand exactly what is happening. \r\n\r\n```\r\n[Thread debugging using libthread_db enabled]\r\nUsing host libthread_db library \"/lib/x86_64-linux-gnu/libthread_db.so.1\".\r\n\r\nProgram received signal SIGSEGV, Segmentation fault.\r\n[34m-----------------------------------------------------------------------------------------------------------------------[34m[1m[regs]\r\n[0m [32mRAX:\u001b[30m 0x0000000000000000 \u001b[32mRBX:\u001b[31m 0x000055555F7C9790 \u001b[32mRBP:\u001b[31m 0x00007FFFFFFFBE30 [32mRSP:\u001b[31m 0x00007FFFFFFFBE30 \u001b[1m\u001b[4m\u001b[31mo d I t s Z a P c \r\n[0m \u001b[32mRDI:\u001b[30m 0x0000000000000000 \u001b[32mRSI:\u001b[31m 0x0000000000000003 \u001b[32mRDX:\u001b[31m 0x0000000000000003 [32mRCX:\u001b[30m 0x0000000000000000 \u001b[32mRIP:\u001b[31m 0x0000555559887431\r\n[32mR8 :\u001b[31m 0x000055555F7BA348 \u001b[32mR9 :\u001b[31m 0x0000000000000001 \u001b[32mR10:\u001b[31m 0x000055555F656010 [32mR11:\u001b[31m 0x0000000000000001 \u001b[32mR12:\u001b[30m 0x0000000000000000\r\n [32mR13:\u001b[31m 0x000055555F75B090 \u001b[32mR14:\u001b[31m 0x000055555F7B5B90 \u001b[32mR15:\u001b[31m 0x0000000000000001\r\n [32mCS:\u001b[30m 0033 \u001b[32mDS:\u001b[30m 0000 \u001b[32mES:\u001b[30m 0000 \u001b[32mFS:\u001b[30m 0000 \u001b[32mGS:\u001b[30m 0000 [32mSS:\u001b[30m 002B\u001b[0m\t\t\t\t\r\n[36m\u001b[0m\u001b[34m-----------------------------------------------------------------------------------------------------------------------[34m\u001b[1m[code]\r\n[0m=> 0x555559887431 :\tmovzx eax,BYTE PTR [rax+0x1f]\r\n 0x555559887435 :\tand eax,0xf\r\n 0x555559887438 :\tmovzx edx,al\r\n 0x55555988743b :\tmov eax,DWORD PTR [rbp-0xc]\r\n 0x55555988743e :\tmov ecx,eax\r\n 0x555559887440 :\tsar edx,cl\r\n 0x555559887442 :\tmov eax,edx\r\n 0x555559887444 :\tand eax,0x1\r\n\u001b[34m-----------------------------------------------------------------------------------------------------------------------------\r\n\u001b[0m0x0000555559887431 in sta::Vertex::bfsInQueue (this=0x0, index=sta::BfsIndex::other) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/graph/Graph.cc:1292\r\n1292\t return (bfs_in_queue_ >> unsigned(index)) & 1;\r\n#0 0x0000555559887431 in sta::Vertex::bfsInQueue (this=0x0, index=sta::BfsIndex::other) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/graph/Graph.cc:1292\r\nNo locals.\r\n#1 0x00005555599b792d in sta::BfsIterator::enqueue (this=0x7fffffffbf40, vertex=0x0) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/search/Bfs.cc:257\r\nNo locals.\r\n#2 0x0000555559b5ee10 in sta::ClkNetwork::findClkPins (this=0x55555f7ba230, ideal_only=0x0, pin_clks_map=...) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/search/ClkNetwork.cc:123\r\n vertex = 0x0\r\n bidirect_drvr_vertex = 0x0\r\n pin = 0x55556a3b1670\r\n __for_range = @0x55556a719728: {, std::allocator >> = std::set with 1 element = {[0] = 0x55556a3b1670}, }\r\n __for_begin = 0x55556a3b1670\r\n __for_end = 0x1\r\n clk_pins = @0x55556be1b358: {, std::allocator >> = std::set with 0 elements, }\r\n clk = 0x55556a7196e0\r\n __for_range = @0x55555f8f66e0: { >> = std::vector of length 33, capacity 64 = {0x55555f73d500, 0x55556a713200, 0x55556a7135c0, 0x55556a713a10, 0x55556a713e90, 0x55556a714260, 0x55556a714650, 0x55556a714a90, 0x55556a714ea0, 0x55556a7152b0, 0x55556a7156c0, 0x55556a715ad0, 0x55556a715ee0, 0x55556a716320, 0x55556a716730, 0x55556a716c60, 0x55556a7170a0, 0x55556a7174e0, 0x55556a717920, 0x55556a717d60, 0x55556a7181a0, 0x55556a7185e0, 0x55556a718a20, 0x55556a718e60, 0x55556a7192a0, 0x55556a7196e0, 0x55556a719b20, 0x55556a719f60, 0x55556a71a3a0, 0x55556a71a7e0, 0x55556a71ac20, 0x55556a71b240, 0x55556a71b680}, }\r\n __for_begin = 0x55556a7196e0\r\n __for_end = 0x55556a7108b0\r\n srch_pred = { = { = { = { = {_vptr.SearchPred = 0x55555f1846c0 }, sta_ = 0x55555f7ba230}, }, }, }\r\n bfs = { = { = {_vptr.StaState = 0x55555f181010 , report_ = 0x55555f73a550, debug_ = 0x55555f778050, units_ = 0x55555f768f40, network_ = 0x55555f73f250, sdc_network_ = 0x55555f7ba380, cmd_network_ = 0x55555f7ba380, sdc_ = 0x55555f8f65f0, corners_ = 0x55555f74e7c0, graph_ = 0x55555f8a0ae0, levelize_ = 0x55555f77a7f0, parasitics_ = 0x55555f7491f0, arc_delay_calc_ = 0x55555f791d80, graph_delay_calc_ = 0x55555f9ad7e0, sim_ = 0x55555f793610, search_ = 0x55555f736d60, latches_ = 0x55555f8f7770, clk_network_ = 0x55555f7ba230, thread_count_ = 0x1, dispatch_queue_ = 0x0, pocv_enabled_ = 0x0, sigma_factor_ = 1}, > = {_vptr.Iterator = 0x55555f181070 }, bfs_index_ = sta::BfsIndex::other, level_min_ = 0x0, level_max_ = 0x7fffffff, search_pred_ = 0x7fffffffbf30, queue_ = {, std::allocator > >> = std::vector of length 991, capacity 991 = {{ >> = std::vector of length 0, capacity 1, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 128, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 1, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 64, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 1, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 8, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 1, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 128, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 1, }, { >> = std::vector of length 0, capacity 16, }, { >> = std::vector of length 0, capacity 16, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 16, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 1, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 16, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }, { >> = std::vector of length 0, capacity 0, }...}, }, queue_lock_ = { = {_M_mutex = pthread_mutex_t = {Type = Normal, Status = Not acquired, Robust = No, Shared = No, Protocol = None}}, }, first_level_ = 0x367, last_level_ = 0x366}, }\r\n#3 0x0000555559b5eb89 in sta::ClkNetwork::findClkPins (this=0x55555f7ba230) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/search/ClkNetwork.cc:103\r\nNo locals.\r\n#4 0x0000555559b5e922 in sta::ClkNetwork::ensureClkNetwork (this=0x55555f7ba230) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/search/ClkNetwork.cc:39\r\nNo locals.\r\n#5 0x0000555559a42ccb in sta::Sta::ensureClkNetwork (this=0x55555f7674c0) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/search/Sta.cc:5596\r\nNo locals.\r\n#6 0x0000555559861e80 in sta::dbSta::findClkNets (this=0x55555f7674c0) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/dbSta.cc:340\r\n clk_nets = std::set with 140737488339664 elements\r\n#7 0x000055555a111821 in cts::TritonCTS::populateTritonCTS (this=0x55555f70ea30) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/cts/src/TritonCTS.cpp:449\r\n sdc = 0x7fffffffc1d0\r\n clockNetsInfo = std::vector of length 0, capacity 0\r\n inputClkNets = std::vector of length 0, capacity 0\r\n#8 0x000055555a10e988 in cts::TritonCTS::runTritonCts (this=0x55555f70ea30) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/cts/src/TritonCTS.cpp:93\r\nNo locals.\r\n#9 0x000055555a13d12c in run_triton_cts () at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/build/src/cts/src/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx:1884\r\nNo locals.\r\n#10 0x000055555a140946 in _wrap_run_triton_cts (clientData=0x0, interp=0x55555f75b090, objc=0x1, objv=0x55555f7b6510) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/build/src/cts/src/CMakeFiles/cts.dir/TritonCTSTCL_wrap.cxx:2959\r\nNo locals.\r\n#11 0x00007ffff7e265f2 in TclNRRunCallbacks () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#12 0x00007ffff7e27924 in ?? () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#13 0x00007ffff7e27367 in Tcl_EvalEx () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#14 0x00007ffff7e284fa in Tcl_Eval () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#15 0x000055555987bf05 in sta::sourceTclFile (filename=0x7fffffffd0b7 \"./scripts/cts.tcl\", echo=0x0, verbose=0x0, interp=0x55555f75b090) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/StaMain.cc:95\r\n cmd = \"source ./scripts/cts.tcl\"\r\n code = 0x5555\r\n result = 0x7fffffffd0ae \"-no_init\"\r\n#16 0x00005555594c20ed in tclAppInit (argc=@0x55555f352308: 0x2, argv=0x7fffffffcac8, init_filename=0x55555c651990 \".openroad\", interp=0x55555f75b090) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:353\r\n result = 0xf7f57e89\r\n cmd_file = 0x7fffffffd0b7 \"./scripts/cts.tcl\"\r\n threads = 0x0\r\n exit_after_cmd_file = 0x1\r\n gui_enabled = 0x0\r\n#17 0x00005555594c23a9 in ord::tclAppInit (interp=0x55555f75b090) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:381\r\nNo locals.\r\n#18 0x00007ffff7eea006 in Tcl_MainEx () from /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\nNo symbol table info available.\r\n#19 0x00005555594c1ab7 in main (argc=0x4, argv=0x7fffffffcac8) at /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:237\r\n orig_sigint_handler = {__sigaction_handler = {sa_handler = 0x0, sa_sigaction = 0x0}, sa_mask = {__val = {0x0, 0x0, 0x0, 0x18, 0x5555594c3668, 0x55555c651a1b, 0x7fffffffd0c9, 0x7fffffffc8b0, 0x55555987bda6, 0x0, 0x55555c651a1b, 0x7fffffffcac8, 0x7fffffffc8cc, 0x5b0000006e, 0x600000004, 0x7fffffffd0c9}}, sa_flags = 0x0, sa_restorer = 0x0}\r\n\r\n```"}, {"assistant": "The problem has nothing to do with LEF.\r\nIt is failing in opensta, which uses liberty, not LEF.\r\nThe issue is that the pin it is searching from has no graph vertex.\r\nCan you show me the SDC for the clock definitions and the verilog lines that reference the clock pins?\r\nI suspect there is some issue with missing liberty cell definitions."}, {"user": "@jjcherry56 Thanks for looking into this. \r\nUnfortunately I cannot publicly share the SDC. \r\nI used the following script snippet to load the `.odb` and the `.sdc` files generated after placement. \r\n\r\n```\r\nread_lef ${TECH_LEF}\r\nread_lef ${SC_LEF}\r\nread_db ./results/${TECH}/${DESIGN}/base/3_place.odb\r\nread_sdc ./results/${TECH}/${DESIGN}/base/3_place.sdc\r\n\r\ncheck_setup -verbose\r\nreport_clock_properties \r\nreport_checks -from [get_clocks *] -path_delay max -unconstrained -group_count 100 -endpoint_count 100\r\n```\r\n- I do not get any error nor warning while reading the `.sdc` file. \r\n- `check_setup-verbose` does not report any issue.\r\n- `report_clock_properties` shows all the clock as defined in the `.sdc` file \r\n- `report_checks -from [get_clocks *] -unconstrained ` report that all the paths in the design are unconstrained. This is rather puzzling given that the log file `3_5_opendp.log` does report multiple constrained paths and `report_disable_edges` does not report any disabled edge that could explain why the paths are unconstrained. Is there a command that could help me understand why the paths are seen as unconstrained?\r\n\r\n\r\n"}, {"assistant": "Honestly, there isn't much I can do to help you without any data. I am not going to play 20 questions in english to debug your design.\r\n\r\nIt sounds like check_setup should have reported unconstrained endpoints. Something is very strange.\r\n\r\nAre there set_false_path commands between the clocks? Because that would make the paths unconstrained.\r\n\r\nPick a register in the design and use report_arrival on the data and clock pins. The data arrival will be with respect to some clock. The clock arrival should have arrivals from both the rising and falling edges of the clock source. If you don't see the arrivals, trace back through the logic to them using report_edges -to|-from to see the graph and gate/net delays. "}, {"assistant": "There doesn't appear to be any way to make further progress on this."}]} +{"num": 1903, "messages": [{"user": "**`Issue Description:`**\r\n\r\nWith `set ::env(PL_ROUTABILITY_DRIVEN) {1};` configuration facing abnormal exit by tool.\r\n\r\n**`Log:`**\r\n```\r\n[STEP 7]\r\n[INFO]: Running Global Placement...\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/replace.tcl\r\n[ERROR]: Exit code: 1\r\n[ERROR]: full log: designs/syntacore/runs/RUN_2022.05.23_11.55.13/logs/placement/7-global.log\r\n[ERROR]: Last 10 lines:\r\n33# 0x00007F78CAA9EF1E in /lib64/libtcl8.5.so\r\n34# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n35# Tcl_Eval in /lib64/libtcl8.5.so\r\n36# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n37# ord::tclAppInit(Tcl_Interp*) in openroad\r\n38# Tcl_Main in /lib64/libtcl8.5.so\r\n39# main in openroad\r\n40# __libc_start_main in /lib64/libc.so.6\r\n41# 0x0000000001028727 in openroad\r\nchild process exited abnormally\r\n```\r\n**`Workaround Used:`**\r\nIf I disable `set ::env(PL_ROUTABILITY_DRIVEN) {0};` tool complete the global placement stage.\r\n\r\n**`Test case: `**\r\n[openroad_issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8762071/openroad_issue_reproducible.zip)\r\n"}, {"assistant": "@vijayank88 I've checked your test case with the latest OpenROAD version, and it ends with no errors. Can we close this issue?"}, {"user": "@eder-matheus \r\nHave you changed anything wrt., `openlane/scripts/openroad`? \r\nStill I am seeing same crash with test case attached"}, {"user": "Considering original design able to pass https://github.com/Univisiontechnocon-com/yifive_a2/tree/master/openlane/syntacore global placement stage now with latest OR."}]} +{"num": 1908, "messages": [{"user": "I am using ORFS and updated my git directory a couple of days ago to OpenROAD v2.0-3729-g713ef39fc. Now, running `make gui_2_floorplan.odb` for example will cause the tool to crash (see the stack trace below). \r\nI do not see this behavior with the previous version of OR I was running OpenROAD v2.0-3236-ge9d9ebe5f. \r\nI am running Ubuntu 20.04 in WSL2\r\n```\r\n make gui_2_floorplan.odb\r\n[INFO][FLOW] Using platform directory ./platforms/smic018\r\nODB_FILE=./results/smic018/puric/base/2_floorplan.odb /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -gui ./scripts/gui.tcl\r\nQStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-gkamendje'\r\nOpenROAD v2.0-3729-g713ef39fc\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad: /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/db/dbTable.h:116: T* odb::dbTable::getPtr(odb::dbId) const [with T = odb::_dbInst]: Assertion `p->_oid & DB_ALLOC_BIT' failed.\r\nStack trace:\r\n 0# 0x000055581CBA05F2 in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FCD9FD870C0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# 0x00007FCD9FD66729 in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007FCD9FD78006 in /lib/x86_64-linux-gnu/libc.so.6\r\n 6# odb::dbTable::getPtr(odb::dbId) const in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# odb::dbModuleInstItr::getObject(unsigned int, ...) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# odb::dbSetIterator::operator*() in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# gui::BrowserWidget::populateModule(odb::dbModule*, QStandardItem*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# gui::BrowserWidget::addModuleItem(odb::dbModule*, QStandardItem*, bool) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# gui::BrowserWidget::updateModel() in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# gui::BrowserWidget::setBlock(odb::dbBlock*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n13# gui::MainWindow::setBlock(odb::dbBlock*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n14# 0x000055581D986F9B in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n15# QMetaObject::activate(QObject*, int, int, void**) in /usr/lib/x86_64-linux-gnu/libQt5Core.so.5\r\n16# gui::MainWindow::designLoaded(odb::dbBlock*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n17# gui::MainWindow::postReadDb(odb::dbDatabase*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# ord::OpenRoad::readDb(char const*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n19# read_db_cmd(char const*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n20# 0x000055581CBBB790 in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n21# TclNRRunCallbacks in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n22# 0x00007FCDA1AC4924 in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n23# Tcl_EvalEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n24# Tcl_Eval in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n25# gui::ScriptWidget::executeTclCommand(QString const&) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n26# gui::ScriptWidget::executeSilentCommand(QString const&) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n27# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n28# 0x000055581CBA0CCF in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n29# ord::tclAppInit(Tcl_Interp*) in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n30# Tcl_MainEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n31# main in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n32# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n33# _start in /home/gkamendje/tmp_compile/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n\r\n```"}, {"assistant": "You need to update again. That bug was fixed a few commits later."}, {"assistant": "@maliberty \r\nIs this new feature added to open `.odb` in gui directly?\r\n`make gui_2_floorplan.odb`\r\n\r\nI used only `make gui_final` to load final layout view in `openroad GUI`"}, {"user": "Confirming that I do not see the issue with the latest release OpenROAD v2.0-3788-gefa57f885"}, {"assistant": "> @maliberty Is this new feature added to open `.odb` in gui directly? `make gui_2_floorplan.odb`\r\n> \r\n> I used only `make gui_final` to load final layout view in `openroad GUI`\r\n\r\nThat feature was added when we switched to odb files (make gui_.odb). It was similar when we use def files between steps."}]} +{"num": 1910, "messages": [{"user": "Hi @maliberty,\r\n\r\nWe have another internal user of OpenROAD, and they're trying to run more analysis with OpenSTA and OpenROAD. They would like to preserve the hierarchy information. @saywhite can add more details. \r\n\r\nWhat would be the fastest path to getting OpenDB to preserve this hierarchy information?"}, {"assistant": "odb already has the ability to preserve hierarchical modules and instances though we don't keep hierarchical ports & nets. Is that what you need?"}, {"assistant": "standalone opensta can keep the full hierarchical netlist for timing."}, {"user": "@saywhite added some custom functions to standalone STA, but noticed they stopped working once linked into OpenROAD. Namely, to list out all the hierarchical modules. The previous statement could be incorrect. @saywhite can you add more details?"}, {"assistant": "sta inside openroad uses odb whereas standalone sta uses its own data model. They are different and not expected to work the same."}, {"assistant": "odb has physical information but is a flat db\r\nstandalone sta is a fully hierarchical model but has no physical information\r\n\r\nIdeally we want both physical information and full hierarchy but we don't have that and its a lot of work. We settled on have odb have an instance tree for now. Full hierarchy affects more than the db but since sta is an analysis tool it doesn't have to deal with netlist edits."}, {"assistant": "During dbSTA link_design:\r\n```\r\nvoid\r\ndbLinkDesign(const char *top_cell_name,\r\n\t dbVerilogNetwork *verilog_network,\r\n\t dbDatabase *db,\r\n Logger *logger)\r\n{\r\n bool link_make_black_boxes = true;\r\n bool success = verilog_network->linkNetwork(top_cell_name,\r\n\t\t\t\t\t link_make_black_boxes,\r\n\t\t\t\t\t verilog_network->report());\r\n if (success) {\r\n Verilog2db v2db(verilog_network, db, logger);\r\n v2db.makeBlock();\r\n v2db.makeDbNetlist();\r\n deleteVerilogReader();\r\n }\r\n}\r\n```\r\nthe verilog_network is the network object created here, which calls openSTA's concreteNetwork function;\r\nIn makeDbModule, the network_ seems to be aware of hierarchical instances (I also verified through testcases). \r\n\r\n```\r\n// Recursively builds odb's dbModule/dbModInst hierarchy corresponding\r\n// to the sta network rooted at inst. parent is the dbModule to build\r\n// the hierarchy under. If null the top module is used.\r\n\r\nvoid\r\nVerilog2db::makeDbModule(Instance* inst, dbModule* parent)\r\n{\r\n Cell* cell = network_->cell(inst);\r\n...\r\n InstanceChildIterator* child_iter = network_->childIterator(inst);\r\n while (child_iter->hasNext()) {\r\n Instance* child = child_iter->next();\r\n if (network_->isHierarchical(child)) {\r\n makeDbModule(child, module);\r\n } else {\r\n...\r\n}\r\n```\r\n\r\nSo I have three questions:\r\n\r\n1) which part flattens the design?\r\n2) is concreteNetwork a flattened or hierarchical network?\r\n3) what happens to openSTA's built-in network?\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "Your answer is already in the code you posted: Verilog2db. It builds a flat odb from the dbVerilogNetwork built during sta's read_verilog. dbNetwork is an adaptor to the flat odb that sta then uses.\r\n\r\nI already said that openSTA built-in network is not used in OR. It has no physical information and is not suitable."}, {"user": "Can we keep the hierarchical information as metadata rather than making it structural? So instances and nets could keep track of what module they are in and who their parents are without impacting the current API?\r\n\r\nFor networking modifications we could just make a simple rule that fan in controls your \"hierarchy label\" with some conflict resolution algorithm based on alphabetical order, or something like that."}, {"assistant": "Flat nets in odb are not in a single module but represent a whole collection of hierarchical nets that span modules. Instances already do keep track.\r\n\r\nThe solution to this problem is known and substituting something hackier isn't desirable. If you want to work on this problem I'm glad to offer guidance.\r\n"}, {"assistant": "It would be more helpful to know what you are trying to accomplish"}, {"assistant": "Thanks Matt for clarification. I think verilog2db should already satisfy my need for hierarchical instance tracing purpose in this case. I was just curious about the role of STA's built-in network since the behavior is different when invoking the openSTA standalone. We can close this ticket now."}]} +{"num": 1921, "messages": [{"user": "For tinyRocket_nangate45, I can run through the full flow with OpenRoad, but when I use my own design to turn on routability in the global placement phase, I get an error due to a call to GRT. The error is reported as shown in the figure. I don't know what caused the segment error and would appreciate a reply.\r\n\r\n![image](https://user-images.githubusercontent.com/65992277/170805710-1d2ca5a2-a7d8-48b6-b94f-8bc37ca71c14.png)\r\n"}, {"assistant": "Can you provide a test case?"}, {"assistant": "@YihangQiu \r\nRun `make global_routing_issue` and share test case here."}, {"assistant": "No test case provided."}]} +{"num": 1922, "messages": [{"user": "Hi,\r\n\r\nNewer versions of Debian do not have the qt5-default package in their repositories anymore (see [this forum post](https://askubuntu.com/questions/1335184/qt5-default-not-in-ubuntu-21-04)). Thus, running the Dependency Installer script gives a \"package is not available\" error.\r\n\r\nI'm using Ubuntu 22.04"}, {"assistant": "@vvbandeira any progress on this?"}, {"assistant": "Any progress? Is this necessary for the future build?"}, {"assistant": "@vvbandeira please resolve this as its been pending a long time."}]} +{"num": 1927, "messages": [{"user": "I'm struggling to resolve setup violations while taping out Microwatt. While the design has a lot of setup slack, it appears that hold repair is consuming all that slack and creating setup violations.\r\n\r\nThere are no complaints from the resizer:\r\n\r\n```\r\nRepair setup violations...\r\n[DEBUG RSZ-0001] worst_slack = 6.827\r\nRepair hold violations...\r\n[INFO RSZ-0046] Found 2447 endpoints with hold violations.\r\n[INFO RSZ-0032] Inserted 7683 hold buffers.\r\nPlacement Analysis\r\n```\r\n\r\nBut we end up with setup violations. As an aside - I wonder if we need a way to specify the setup slack margin when doing hold repair. At the moment we have a single option:\r\n\r\n```\r\nrepair_timing -hold -slack_margin 0.2\r\n```\r\n\r\nWhat does that mean for the setup margin? Do we use the same 0.2 value? I might want to maintain a relatively large setup margin together with a small hold margin.\r\n\r\n```\r\nStartpoint: _122817_ (rising edge-triggered flip-flop clocked by ext_clk)\r\nEndpoint: soc0.bram.bram0.ram_0.memory_0\r\n (rising edge-triggered flip-flop clocked by ext_clk)\r\nPath Group: ext_clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock ext_clk (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.70 0.51 0.51 ^ ext_clk (in)\r\n 1 0.16 ext_clk (net)\r\n 0.70 0.00 0.51 ^ repeater15/A (sky130_fd_sc_hd__buf_16)\r\n 0.37 0.44 0.95 ^ repeater15/X (sky130_fd_sc_hd__buf_16)\r\n 1 0.35 net7077 (net)\r\n 0.37 0.01 0.95 ^ clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.08 0.26 1.21 ^ clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.03 clknet_0_ext_clk (net)\r\n 0.08 0.00 1.21 ^ clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.23 0.29 1.50 ^ clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.13 clknet_1_1_0_ext_clk (net)\r\n 0.23 0.00 1.50 ^ clkbuf_2_2_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.23 0.35 1.85 ^ clkbuf_2_2_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.13 clknet_2_2_0_ext_clk (net)\r\n 0.23 0.00 1.85 ^ clkbuf_3_4_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.24 0.35 2.20 ^ clkbuf_3_4_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 4 0.14 clknet_3_4_0_ext_clk (net)\r\n 0.24 0.00 2.20 ^ clkbuf_5_19_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.13 0.27 2.47 ^ clkbuf_5_19_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.07 clknet_5_19_0_ext_clk (net)\r\n 0.13 0.00 2.47 ^ clkbuf_6_38__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.33 0.38 2.85 ^ clkbuf_6_38__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 13 0.19 clknet_6_38__leaf_ext_clk (net)\r\n 0.33 0.00 2.85 ^ clkbuf_leaf_308_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.16 0.31 3.17 ^ clkbuf_leaf_308_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 19 0.09 clknet_leaf_308_ext_clk (net)\r\n 0.16 0.00 3.17 ^ _122817_/CLK (sky130_fd_sc_hd__dfxtp_2)\r\n 0.12 0.43 3.60 ^ _122817_/Q (sky130_fd_sc_hd__dfxtp_2)\r\n 5 0.02 soc0._308_ (net)\r\n 0.12 0.00 3.60 ^ hold1562/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.08 0.60 4.20 ^ hold1562/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net8639 (net)\r\n 0.08 0.00 4.20 ^ repeater5039/A (sky130_fd_sc_hd__buf_6)\r\n 0.09 0.15 4.35 ^ repeater5039/X (sky130_fd_sc_hd__buf_6)\r\n 3 0.04 net5039 (net)\r\n 0.09 0.00 4.36 ^ hold1563/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.38 0.84 5.19 ^ hold1563/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 5 0.04 net8640 (net)\r\n 0.38 0.00 5.20 ^ _057550_/B (sky130_fd_sc_hd__nor2_2)\r\n 0.10 0.11 5.31 v _057550_/Y (sky130_fd_sc_hd__nor2_2)\r\n 3 0.01 _008915_ (net)\r\n 0.10 0.00 5.31 v _057553_/A1 (sky130_fd_sc_hd__o21a_2)\r\n 0.03 0.23 5.55 v _057553_/X (sky130_fd_sc_hd__o21a_2)\r\n 1 0.00 _008918_ (net)\r\n 0.03 0.00 5.55 v hold1564/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.18 0.72 6.27 v hold1564/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 4 0.03 net8641 (net)\r\n 0.18 0.00 6.27 v _057874_/B (sky130_fd_sc_hd__nor2_8)\r\n 0.17 0.22 6.48 ^ _057874_/Y (sky130_fd_sc_hd__nor2_8)\r\n 4 0.04 _009186_ (net)\r\n 0.17 0.00 6.48 ^ hold1565/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.35 0.83 7.32 ^ hold1565/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 3 0.04 net8642 (net)\r\n 0.35 0.00 7.32 ^ repeater3549/A (sky130_fd_sc_hd__buf_8)\r\n 0.09 0.21 7.52 ^ repeater3549/X (sky130_fd_sc_hd__buf_8)\r\n 5 0.05 net3549 (net)\r\n 0.09 0.00 7.52 ^ hold1566/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.36 0.82 8.34 ^ hold1566/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 3 0.04 net8643 (net)\r\n 0.36 0.00 8.34 ^ repeater3546/A (sky130_fd_sc_hd__buf_6)\r\n 0.15 0.26 8.60 ^ repeater3546/X (sky130_fd_sc_hd__buf_6)\r\n 9 0.07 net3546 (net)\r\n 0.15 0.00 8.60 ^ _059492_/S (sky130_fd_sc_hd__mux2i_1)\r\n 0.12 0.21 8.81 ^ _059492_/Y (sky130_fd_sc_hd__mux2i_1)\r\n 1 0.00 _010554_ (net)\r\n 0.12 0.00 8.81 ^ hold4518/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.06 0.59 9.40 ^ hold4518/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.00 net11595 (net)\r\n 0.06 0.00 9.40 ^ _059493_/B (sky130_fd_sc_hd__nor2_1)\r\n 0.03 0.04 9.44 v _059493_/Y (sky130_fd_sc_hd__nor2_1)\r\n 1 0.00 _010555_ (net)\r\n 0.03 0.00 9.44 v hold4519/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.08 0.61 10.05 v hold4519/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net11596 (net)\r\n 0.08 0.00 10.05 v _059494_/B1 (sky130_fd_sc_hd__a21oi_4)\r\n 0.49 0.43 10.48 ^ _059494_/Y (sky130_fd_sc_hd__a21oi_4)\r\n 4 0.07 _010556_ (net)\r\n 0.49 0.01 10.49 ^ hold6839/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.16 0.74 11.23 ^ hold6839/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.01 net13916 (net)\r\n 0.16 0.00 11.23 ^ hold527/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.64 1.04 12.27 ^ hold527/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.07 net7604 (net)\r\n 0.64 0.01 12.28 ^ _059495_/A (sky130_fd_sc_hd__clkinv_16)\r\n 0.13 0.14 12.41 v _059495_/Y (sky130_fd_sc_hd__clkinv_16)\r\n 2 0.05 simplebus_0.mux.s[9] (net)\r\n 0.13 0.01 12.42 v hold6840/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.23 0.80 13.22 v hold6840/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.04 net13917 (net)\r\n 0.23 0.01 13.23 v hold528/A (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 0.41 1.00 14.23 v hold528/X (sky130_fd_sc_hd__dlygate4sd3_1)\r\n 1 0.09 net7605 (net)\r\n 0.41 0.02 14.25 v soc0.bram.bram0.ram_0.memory_0/A0[6] (RAM512)\r\n 14.25 data arrival time\r\n\r\n 15.00 15.00 clock ext_clk (fall edge)\r\n 0.00 15.00 clock source latency\r\n 0.34 0.24 15.24 v ext_clk (in)\r\n 1 0.16 ext_clk (net)\r\n 0.34 0.00 15.24 v repeater15/A (sky130_fd_sc_hd__buf_16)\r\n 0.19 0.36 15.59 v repeater15/X (sky130_fd_sc_hd__buf_16)\r\n 1 0.35 net7077 (net)\r\n 0.19 0.01 15.60 v clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.05 0.21 15.81 v clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.03 clknet_0_ext_clk (net)\r\n 0.05 0.00 15.81 v clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.14 0.23 16.04 v clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.13 clknet_1_1_0_ext_clk (net)\r\n 0.14 0.00 16.04 v clkbuf_2_2_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.14 0.27 16.31 v clkbuf_2_2_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.13 clknet_2_2_0_ext_clk (net)\r\n 0.14 0.00 16.31 v clkbuf_3_5_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.17 0.29 16.59 v clkbuf_3_5_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 4 0.16 clknet_3_5_0_ext_clk (net)\r\n 0.17 0.00 16.59 v clkbuf_5_21_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.09 0.24 16.83 v clkbuf_5_21_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.08 clknet_5_21_0_ext_clk (net)\r\n 0.09 0.00 16.83 v clkbuf_6_43__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.11 0.22 17.05 v clkbuf_6_43__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 9 0.09 clknet_6_43__leaf_ext_clk (net)\r\n 0.11 0.00 17.05 v clkbuf_opt_122_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.03 0.16 17.21 v clkbuf_opt_122_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.01 clknet_opt_122_0_ext_clk (net)\r\n 0.03 0.00 17.21 v clkbuf_opt_122_1_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.04 0.13 17.34 v clkbuf_opt_122_1_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.02 clknet_opt_122_1_ext_clk (net)\r\n 0.04 0.00 17.34 v clkbuf_opt_122_2_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.04 0.13 17.47 v clkbuf_opt_122_2_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.02 clknet_opt_122_2_ext_clk (net)\r\n 0.04 0.00 17.47 v clkbuf_opt_122_3_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.04 0.13 17.60 v clkbuf_opt_122_3_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.02 clknet_opt_122_3_ext_clk (net)\r\n 0.04 0.00 17.60 v clkbuf_opt_122_4_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.05 0.14 17.74 v clkbuf_opt_122_4_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.03 clknet_opt_122_4_ext_clk (net)\r\n 0.05 0.00 17.74 v soc0.bram.bram0.ram_0.memory_0/CLK (RAM512)\r\n -0.25 17.49 clock uncertainty\r\n 0.14 17.63 clock reconvergence pessimism\r\n -4.00 13.63 library setup time\r\n 13.63 data required time\r\n-----------------------------------------------------------------------------\r\n 13.63 data required time\r\n -14.25 data arrival time\r\n-----------------------------------------------------------------------------\r\n -0.62 slack (VIOLATED)\r\n```\r\n\r\nTest case: [hold-fixup-setup-violation.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8800844/hold-fixup-setup-violation.tar.gz)\r\n"}, {"assistant": "@minghungumich FYI.\r\n"}, {"user": "Note that @jjcherry56 fixed a similar issue in https://github.com/The-OpenROAD-Project/OpenROAD/issues/1729. In that case we'd fail to fix the hold violations after consuming all the setup slack.\r\n\r\nThis looks a bit different since we resolved all the hold violations and there were no errors from the resizer. Perhaps we are pushing the design too close to zero setup slack, and going just over."}, {"assistant": "You have an interesting point that the commands do not account for. Hold fixing uses available setup slack, which isn't going to respect your setup margin. I need to add separate margins to handle it. In in any event hold fixing should not cause setup violations unless -allow_setup_violations is on."}, {"assistant": "I haven't unpacked this yet but I will point out that any design that takes 7000 buffers to fix hold violations probably has something seriously wrong with it. Examples that I have seen are broken liberty ram models, input/output delays that ignore the latency of the clock, or gated clocks that CTS is clueless about handling. If the hold violations were not so horrendous the setup slack would be safe."}, {"user": "I've been trying to build up the liberty files from STA output and I'm sure I'm getting a lot wrong. Do you see anything in the liberty files that are obviously bad?\r\n\r\nAn example of what I'm doing, for the a[*] input to the multiplier:\r\n\r\n```\r\nStartpoint: a[23] (input port clocked by clk)\r\nEndpoint: _370_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 4.00 4.00 v input external delay\r\n 0.01 4.01 v a[23] (in)\r\n 0.14 4.15 v input16/X (sky130_fd_sc_hd__buf_4)\r\n 0.17 4.32 v repeater1436/X (sky130_fd_sc_hd__buf_6)\r\n 0.20 4.52 v repeater1435/X (sky130_fd_sc_hd__buf_4)\r\n 0.17 4.69 v U$$1510/X (sky130_fd_sc_hd__and2_1)\r\n 0.34 5.03 v U$$1511/X (sky130_fd_sc_hd__a32o_4)\r\n 0.22 5.25 v repeater604/X (sky130_fd_sc_hd__clkbuf_8)\r\n 0.20 5.46 v repeater603/X (sky130_fd_sc_hd__clkbuf_8)\r\n 0.27 5.73 v U$$1611/X (sky130_fd_sc_hd__a22o_1)\r\n 0.19 5.91 ^ U$$1612/X (sky130_fd_sc_hd__xor2_1)\r\n 0.47 6.38 v dadda_fa_0_71_2/SUM (sky130_fd_sc_hd__fa_1)\r\n 0.45 6.83 v dadda_fa_1_71_8/COUT (sky130_fd_sc_hd__fa_1)\r\n 0.54 7.37 v dadda_fa_2_72_3/SUM (sky130_fd_sc_hd__fa_1)\r\n 0.57 7.93 ^ dadda_fa_3_72_3/SUM (sky130_fd_sc_hd__fa_1)\r\n 0.46 8.39 v dadda_fa_4_72_2/SUM (sky130_fd_sc_hd__fa_1)\r\n 0.42 8.81 v dadda_fa_5_72_1/COUT (sky130_fd_sc_hd__fa_1)\r\n 0.51 9.31 v dadda_fa_6_73_0/SUM (sky130_fd_sc_hd__fa_1)\r\n 0.40 9.71 v dadda_fa_7_73_0/COUT (sky130_fd_sc_hd__fa_1)\r\n 0.00 9.71 v _370_/D (sky130_fd_sc_hd__dfxtp_1)\r\n 9.71 data arrival time\r\n\r\n 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock source latency\r\n 0.18 10.18 ^ clk (in)\r\n 0.20 10.38 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__clkbuf_16)\r\n 0.15 10.53 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 0.17 10.70 ^ clkbuf_3_2__f_clk/X (sky130_fd_sc_hd__clkbuf_16)\r\n 0.00 10.70 ^ _370_/CLK (sky130_fd_sc_hd__dfxtp_1)\r\n -0.25 10.45 clock uncertainty\r\n 0.00 10.45 clock reconvergence pessimism\r\n -0.11 10.34 library setup time\r\n 10.34 data required time\r\n---------------------------------------------------------\r\n 10.34 data required time\r\n -9.71 data arrival time\r\n---------------------------------------------------------\r\n 0.63 slack (MET)\r\n```\r\n\r\nhold delay: 4ns\r\nsetup delay: 10 (next clock) - 4 (hold delay) - 0.63 (excess setup slack) = 5.37 (rounded up to 5.5)\r\n\r\n```\r\n timing() {\r\n timing_type : setup_rising;\r\n related_pin : \"clk\";\r\n rise_constraint(scalar) {\r\n values(\"5.5000\");\r\n }\r\n fall_constraint(scalar) {\r\n values(\"5.5000\");\r\n }\r\n }\r\n\r\n timing() {\r\n timing_type : hold_rising;\r\n related_pin : \"clk\";\r\n rise_constraint(scalar) {\r\n values(\"4.0000\");\r\n }\r\n fall_constraint(scalar) {\r\n values(\"4.0000\");\r\n }\r\n }\r\n\r\n```"}, {"assistant": "Yes, I see a number of problems.\r\nPlease move the discussion about your modeling to a separate issue or email thread.\r\nI'd like to see the data so I can run it instead of playing international 20 questions.\r\n"}, {"user": "Makes sense, let me package everything up and send it your way."}, {"assistant": "> I've been trying to build up the liberty files from STA output...\r\n\r\nAnton, are you writing a tool to do this? This is IMHO one absolutely key piece of infrastructure that is missing."}, {"assistant": "Liberty files are inadequate to support hierarchical flows. They have no way to express boundary parasitics or sdc exceptions that cross the block boundary or transparent latch behavior. ILM models were developed for this reason\r\nbut even those have issues and have been supplanted in commercial flows. It is an extremely difficult problem."}, {"assistant": "Even a basic ILM generator would be better than nothing. We don't have SI or CCS to deal with yet so I think it would suffice for most skywater users."}, {"assistant": "fixed in ed7cb4e7e rsz repair_hold"}]} +{"num": 1933, "messages": [{"user": "When I try to increase the output load ability of my block, after passing the OpenRoad flow successfully, the DRC is not clean. What should I adjust?\r\nAttach my detail_route_issue_file:\r\n\r\n[detail_route_NON_CLK_GEN_sky130hd_base_2022-06-04_07-30.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8837249/detail_route_NON_CLK_GEN_sky130hd_base_2022-06-04_07-30.tar.gz)\r\n\r\n\r\nAttach my block repository:\r\nhttps://github.com/Elon-J/OpenROAD-flow-scripts/tree/master/flow/designs/sky130hd/NON_CLK_GEN\r\nAttach my report file, magic DRC report and layout DRC report:\r\n\r\n[6_report.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/8837223/6_report.log)\r\n\r\n\r\n[sky130_drc.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/8837220/sky130_drc.txt)\r\n\r\n[6_final_drc.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/8837222/6_final_drc.txt)\r\n\r\n\r\n\r\n"}, {"user": "\"image\"\r\n"}, {"user": "I also have try many verisons including adjust different core areas."}, {"assistant": "@Elon-J \r\nPlease execute following commands from `flow` directory and attach zip file.\r\n```\r\nmake detail_route_issue\r\n```"}, {"user": "OK"}, {"user": "I have updated my first comment."}, {"assistant": "@Elon-J \r\nDetail routing completed with 0 violations.\r\n```\r\n[INFO DRT-0195] Start 57th optimization iteration.\r\n Completing 10% with 0 violations.\r\n elapsed time = 00:00:00, memory = 149.02 (MB).\r\n Completing 20% with 0 violations.\r\n elapsed time = 00:00:00, memory = 149.02 (MB).\r\n Completing 30% with 0 violations.\r\n elapsed time = 00:00:00, memory = 149.03 (MB).\r\n Completing 40% with 0 violations.\r\n elapsed time = 00:00:00, memory = 149.03 (MB).\r\n[INFO DRT-0199] Number of violations = 0.\r\n```\r\nIts something error coming from KLayout.\r\n\r\nPlease try to load and check those overlapping error."}, {"user": "@vijayank88 , Thank you very much! Let me check it!"}, {"user": "@vijayank88 , By the way, actually I also check it using magic, there are also some DRC errors."}, {"assistant": "@Elon-J can you add the drc report and maybe zoomed in screenshots. That would be helpful to understand what is wrong. "}, {"assistant": "@msaligane please check this slack channel conversation: https://skywater-pdk.slack.com/archives/C0161A4A59V/p1654326142362839"}, {"assistant": "@vijayank88 thanks! \n@Elon-J what is your fix for the buf_16? "}, {"user": "@msaligane , I just try to increase the drive ability to generate a new CLK, and this problem has been fixed."}, {"assistant": "Is this resolved?"}]} +{"num": 1934, "messages": [{"user": "I installed libreadline-dev package in ubuntu 22.04 to fix the problem but that would be better to catch it during cmake.\r\n\r\n[ 6%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/base/main/mainUtils.c.o\r\ncd /home/titan/OpenROAD/build/third-party/abc && /usr/bin/c++ -I/home/titan/OpenROAD/third-party/abc/src -O3 -DNDEBUG -Wall -Wno-array-bounds -Wno-nonnull -Wno-maybe-uninitialized -Wno-format-overflow -Wno-unused-variable -Wno-unused-function -Wno-write-strings -Wno-sign-compare -Wno-deprecated -Wno-register -Wno-format -DLIN64 -DSIZEOF_VOID_P=8 -DSIZEOF_LONG=8 -DSIZEOF_INT=4 -DABC_NAMESPACE=abc -fpermissive -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -MD -MT third-party/abc/CMakeFiles/libabc.dir/src/base/main/mainUtils.c.o -MF CMakeFiles/libabc.dir/src/base/main/mainUtils.c.o.d -o CMakeFiles/libabc.dir/src/base/main/mainUtils.c.o -c /home/titan/OpenROAD/third-party/abc/src/base/main/mainUtils.c\r\n/home/titan/OpenROAD/third-party/abc/src/base/main/mainUtils.c:25:10: fatal error: readline/readline.h: Aucun fichier ou dossier de ce type\r\n 25 | #include \r\n | ^~~~~~~~~~~~~~~~~~~~~\r\ncompilation terminated.\r\nmake[2]: *** [third-party/abc/CMakeFiles/libabc.dir/build.make:2109 : third-party/abc/CMakeFiles/libabc.dir/src/base/main/mainUtils.c.o] Erreur 1\r\nmake[2]\u00a0: on quitte le r\u00e9pertoire \u00ab\u00a0/home/titan/OpenROAD/build\u00a0\u00bb\r\nmake[1]: *** [CMakeFiles/Makefile2:1134 : third-party/abc/CMakeFiles/libabc.dir/all] Erreur 2\r\nmake[1]\u00a0: on quitte le r\u00e9pertoire \u00ab\u00a0/home/titan/OpenROAD/build\u00a0\u00bb\r\nmake: *** [Makefile:139 : all] Erreur 2\r\n"}, {"assistant": "@titan73 the issue was with the third-party ABC submodule. We have disabled the use of readline for ABC (since we use the C++ API) as per their documentation. If the problem persists, please file an issue with the upstream repo. Thanks."}, {"user": "@vvbandeira The change does not help. ABC_USE_NO_READLINE is not handled in third-party/abc/CMakeLists.txt but generated depending on READLINE_FOUND which is not defined. I don't know where it is supposed to be defined.\r\n\r\n\r\nif(READLINE_FOUND MATCHES TRUE)\r\n addprefix(ABC_READLINE_INCLUDES_FLAGS \"-I\" ${READLINE_INCLUDE})\r\n string(REPLACE \";\" \" \" ABC_READLINE_INCLUDES_FLAGS \"${ABC_READLINE_INCLUDES_FLAGS}\")\r\n list(APPEND ABC_READLINE_FLAGS \"ABC_READLINE_INCLUDES=${ABC_READLINE_INCLUDES_FLAGS}\")\r\n\r\n string(REPLACE \";\" \" \" ABC_READLINE_LIBRARIES_FLAGS \"${READLINE_LIBRARIES}\")\r\n list(APPEND ABC_READLINE_FLAGS \"ABC_READLINE_LIBRARIES=${ABC_READLINE_LIBRARIES_FLAGS}\")\r\nelseif(READLINE_FOUND MATCHES FALSE)\r\n list(APPEND ABC_READLINE_FLAGS \"ABC_USE_NO_READLINE=1\")\r\nendif()\r\n\r\nif(ABC_USE_NAMESPACE)\r\n set(ABC_USE_NAMESPACE_FLAGS \"ABC_USE_NAMESPACE=${ABC_USE_NAMESPACE}\")\r\nendif()\r\n\r\n"}, {"user": "Morover defining the variable must apparently be done before \"add_subdirectory(abc)\".\r\nThis works:\r\n\r\nif (NOT USE_SYSTEM_ABC)\r\n\r\n set(ABC_USE_NAMESPACE \"abc\")\r\n\r\n # abc does not have \"platform\" support for macos so force it to use stdint.h\r\n if (CMAKE_OSX_SYSROOT)\r\n set(ABC_USE_STDINT_H 1)\r\n endif()\r\n\r\n set(READLINE_FOUND FALSE)\r\n add_subdirectory(abc)\r\n\r\nendif()\r\n\r\n\r\n"}, {"user": "Is the upstream abc repo \"https://github.com/berkeley-abc/abc\"?"}, {"assistant": "> Morover defining the variable must apparently be done before \"add_subdirectory(abc)\".\r\n\r\nCan you try on your system and if it works, please file a PR.\r\n\r\n> Is the upstream abc repo \"https://github.com/berkeley-abc/abc\"?\r\n\r\nYes. If there are changes upstream to be added to OpenROAD, please file an issue."}, {"user": "Yep sure. Will do."}]} +{"num": 1940, "messages": [{"user": "I'm seeing a single met3 minimum area violation when taping out Microwatt using a recent OpenROAD (commit 0b8b7ae255f8fbbbefa57d443949b84e73eed757).\r\n\r\nThe issue is at 1147.305, 965.775\r\n\r\n![magic-drc](https://user-images.githubusercontent.com/2029971/172107929-d78923be-b205-4658-a8ab-1b398ac46427.png)\r\n\r\nThe DRC issue was found with magic, but I was able to reproduce it with the klayout DRC deck.\r\n\r\nTest case: [met3_min_area.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8842337/met3_min_area.tar.gz)\r\n"}, {"assistant": "Does the router show any drcs? "}, {"user": "> Does the router show any drcs?\r\n\r\nIt completes without any DRC errors:\r\n\r\n```\r\n[INFO DRT-0199] Number of violations = 0.\r\n```"}, {"assistant": "Just to update - we have verified the issue and are looking at it."}, {"assistant": "The fix is on master branch now."}]} +{"num": 1943, "messages": [{"user": "How can I connect the VPWR and VGND in my Macro blocks to the top-level power ring using pdn.cfg? Attach my pdn.cfg file.\r\n\r\n\r\n[pdn.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/8856700/pdn.txt)\r\n\r\n"}, {"assistant": "@Elon-J Your GitHub issue has no details and no test case. Jianwei: Please provide as much details as possible so others could help you!"}, {"assistant": "@msaligane @Elon-J based on the file it appears they are using the deprecated version of PDNgen, so they should probably start by updating to the current version "}, {"assistant": "@Elon-J do you still need help? There is nothing further we can do without action from you."}, {"user": "Thank you @arlpetergadfort, let me try it! @maliberty , sorry @maliberty, I think I have known how to solve it, thank you very much!"}]} +{"num": 1945, "messages": [{"user": "I'm using a PDK + Standard Cell Library that has special end cap cells for both the top, bottom, left and right cells. It also has corner cells for each corner of the macro and core area rectangles (TR, TL, BR, BL). It doesn't seem like tapcell supports this. Can we add this feature?"}, {"assistant": "I think it does support them if you look at all the options"}, {"user": "I don't think it does. The tap cell code seems to only allow you to specify top bottom cells whereas this PDK has specialized cells for all 4 sides. The tap cell corner cells are also specialized and it looks like OpenROAD only supports a single corner tap cell type.\r\n\r\n\"image\"\r\n"}, {"user": "So upon closer inspection it looks like the cells in the PDK are technically named differently, but are really just rotations of each other. I feel like we should probably support the differently named cells, but it's not incorrect per say since OpenROAD seems to be doing to the rotating."}]} +{"num": 1947, "messages": [{"user": "```\r\n[INFO GRT-0103] Extra Run for hard benchmark.\r\nStack trace:\r\n 0# 0x0000000000FD1B49 in openroad\r\n 1# 0x00007F90ECD45400 in /lib64/libc.so.6\r\n 2# grt::FastRouteCore::mazeRouteMSMD(int, int, float, int, int, bool, int, float, int, int, int) in openroad\r\n 3# grt::FastRouteCore::run() in openroad\r\n 4# grt::GlobalRouter::findRouting(std::vector >&, int, int) in openroad\r\n 5# grt::GlobalRouter::globalRoute() in openroad\r\n 6# 0x00000000017ADF1F in openroad\r\n 7# 0x00007F90EFCD8EB2 in /lib64/libtcl8.5.so\r\n 8# 0x00007F90EFD1D36C in /lib64/libtcl8.5.so\r\n 9# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n10# 0x00007F90EFCD8EB2 in /lib64/libtcl8.5.so\r\n11# 0x00007F90EFD1D36C in /lib64/libtcl8.5.so\r\n12# 0x00007F90EFD25647 in /lib64/libtcl8.5.so\r\n13# TclEvalObjEx in /lib64/libtcl8.5.so\r\n14# 0x00007F90EFD6027F in /lib64/libtcl8.5.so\r\n15# 0x00007F90EFCD8EB2 in /lib64/libtcl8.5.so\r\n16# 0x00007F90EFD1D36C in /lib64/libtcl8.5.so\r\n17# 0x00007F90EFD25647 in /lib64/libtcl8.5.so\r\n18# TclEvalObjEx in /lib64/libtcl8.5.so\r\n19# 0x00007F90EFCE01D0 in /lib64/libtcl8.5.so\r\n20# 0x00007F90EFCD8EB2 in /lib64/libtcl8.5.so\r\n21# 0x00007F90EFD1D36C in /lib64/libtcl8.5.so\r\n22# 0x00007F90EFD25647 in /lib64/libtcl8.5.so\r\n23# TclEvalObjEx in /lib64/libtcl8.5.so\r\n24# 0x00007F90EFCE3F00 in /lib64/libtcl8.5.so\r\n25# 0x00007F90EFCD8EB2 in /lib64/libtcl8.5.so\r\n26# 0x00007F90EFD1D36C in /lib64/libtcl8.5.so\r\n27# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n28# 0x00007F90EFCD8EB2 in /lib64/libtcl8.5.so\r\n29# 0x00007F90EFD1D36C in /lib64/libtcl8.5.so\r\n30# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n31# 0x00007F90EFCD8EB2 in /lib64/libtcl8.5.so\r\n32# 0x00007F90EFCD9F1E in /lib64/libtcl8.5.so\r\n33# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n34# Tcl_Eval in /lib64/libtcl8.5.so\r\n35# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n36# ord::tclAppInit(Tcl_Interp*) in openroad\r\n37# Tcl_Main in /lib64/libtcl8.5.so\r\n38# main in openroad\r\n39# __libc_start_main in /lib64/libc.so.6\r\n40# 0x0000000000FCE417 in openroad\r\n```\r\n[openroad_issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8870040/openroad_issue_reproducible.zip)\r\n\r\n"}, {"assistant": "@luis201420 can you take a look at this?"}, {"assistant": "Yes, I can. \r\nI ran this but didn't get the same error, I got this output after running global route.\r\n```\r\n[INFO GRT-0197] Via related to pin nodes: 32206\r\n[INFO GRT-0198] Via related Steiner nodes: 7037\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 107953\r\n[INFO GRT-0112] Final usage 3D: 888974\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: groute.tcl, 69 GRT-0118\r\n```"}, {"assistant": "Thanks @luis201420.\r\n\r\n@proppy which version of `openroad` did you use?\r\n\r\nAlso, @luis201420 can you check to see if this is another instance of the problem with `nangate45/bp_fe_top` and the steiner tree difference we were talking about over email?"}, {"user": "@vvbandeira `OPENLANE_TAG=2022.02.23_02.50.41`."}, {"assistant": "@proppy could you try with the latest version of OL? @luis201420 can confirm, but I would assume he used the latest release of OR, thus looks like the issue has already been fixed upstream."}, {"assistant": "@proppy what antenna strategy are you using? You have 6967 antenna diodes all around the outside of your placed instances:\r\n![image](https://user-images.githubusercontent.com/761514/173401025-c3318fe8-ae3f-4b0f-af57-dfc02370e049.png)\r\n\r\n(selected all ANTENNA* insts). This is not a good strategy."}, {"assistant": "@vvbandeira I think this bug was fixed with #1742."}, {"assistant": "@proppy is this still an open issue? I also saw that Cherry made some improvements to the antenna checker code rencently."}, {"assistant": "@proppy Can we close this?"}]} +{"num": 1950, "messages": [{"user": "@tspyrou \r\nAs I tried APU design with GF180 PDK, during global routing seeing rise in fanout count causing timing violations of WNS with -234ns.\r\n\r\nAttached DEF and `groute.tcl`\r\n\r\nLog snippet: Complete log available in attachment. \r\n[gftest1_groute_packaged.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/8872416/gftest1_groute_packaged.zip)\r\n\r\n```\r\nStartpoint: _7272_ (rising edge-triggered flip-flop clocked by clk)\r\nEndpoint: Sample[9] (output port clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n\r\n 1.87 1.63 19.86 ^ _4788_/ZN (OAI21_X1_7T5P0)\r\n 2 0.01 _1461_ (net)\r\n 1.87 0.00 19.86 ^ _4789_/A3 (XOR3_X1_7T5P0)\r\n 349.42 224.19 244.05 ^ _4789_/Z (XOR3_X1_7T5P0)\r\n 2511 3.08 _1462_ (net)\r\n 349.42 0.21 244.26 ^ _4790_/I (CLKBUF_X1_7T5P0)\r\n 4.68 -3.95 240.31 ^ _4790_/Z (CLKBUF_X1_7T5P0)\r\n 1 0.01 net71 (net)\r\n 4.68 0.00 240.31 ^ output71/I (CLKBUF_X4_7T5P0)\r\n 1.18 2.39 242.70 ^ output71/Z (CLKBUF_X4_7T5P0)\r\n 1 0.07 Sample[9] (net)\r\n 1.18 0.00 242.71 ^ Sample[9] (out)\r\n 242.71 data arrival time\r\n\r\n 10.00 10.00 clock clk (rise edge)\r\n 0.00 10.00 clock network delay (propagated)\r\n -0.25 9.75 clock uncertainty\r\n 0.00 9.75 clock reconvergence pessimism\r\n -2.00 7.75 output external delay\r\n 7.75 data required time\r\n-----------------------------------------------------------------------------\r\n 7.75 data required time\r\n -242.71 data arrival time\r\n-----------------------------------------------------------------------------\r\n -234.96 slack (VIOLATED)\r\n\r\n```"}, {"assistant": "@jjcherry56 can you look at this one?"}, {"assistant": "Please package a complete testcase with all of the data to run it. The only thing in this tarfile is a def file and a command file and references to undefined variables."}, {"user": "@jjcherry56 \r\nIts private PDK. I am not sure what are the files to attach here. I will send as Email. Thanks."}, {"assistant": "It is fine to reference the PDK in or1:/platforms etc but I have to be able to run it in openroad (not shell, not openlane) with all of the design files and variables."}, {"assistant": "There is no GF180.git repo in either of the 2 places I know to find it: or1:/platforms and /home/zf4_projects/OpenROAD-guest/platforms. So where is it hiding?"}, {"assistant": "@vijayank88 have you sent a pointer to the gf180 data?"}, {"assistant": "@tspyrou pointed me to the pdk. I had to make some changes to the run script to make it work, but got it to run.\r\n"}, {"assistant": "The groute.tcl script seg faults while trying to do antenna repair in everybody's favorite opendb wire code.\r\nThere is some chance those \"fanouts\" were diodes that were inserted during antenna repair that managed to\r\ncomplete because the memory corruption on your run didn't cause a seg fault. Use the following command\r\nto look at the connections on the net:\r\nreport_net -connections -verbose _1462_\r\n\r\nreport_net "}, {"assistant": "It is indeed an antenna repair that is the culprit. Compiling with optimization on disables an assertion check that causes it to fail."}, {"assistant": "There are a number of bugs that this testcase exposes but your biggest problem appears to be a density so high that antenna repair has no place to put diodes so it is unable to fix the problem and keeps trying over and over. Try reducing the density earlier in the flow so it isn't packed so tight."}, {"assistant": "The GF180 LEF metal layers have constant values for ANTENNADIFFSIDEAREARATIO. This means that adding diffusion with diodes does not repair the antenna violations because the allowed ratio of metal to gate area or side area does not change as it would with a PWL model. I have a pending PR that detects this situation and reports it instead of adding tons of pointless diodes. "}, {"user": "@jjcherry56 \r\nsorry for responding late.\r\nMy access to GF180 restored recently. Complete OpenLane flow got setup and ran `APU` design again with `versionC`.\r\nThe test case I shared with you is `versionA` PDK lib.\r\nWith latest lib i am not facing fanout violations.\r\n\r\nThere are slew violations, its related ANTEANNA.\r\nPost routing sta report:\r\n```\r\n===========================================================================\r\n report_tns\r\n============================================================================\r\ntns -5274.90\r\ntns_report_end\r\nwns_report\r\n\r\n===========================================================================\r\n report_wns\r\n============================================================================\r\nwns -42.00\r\nwns_report_end\r\nworst_slack\r\n\r\n===========================================================================\r\n report_worst_slack -max (Setup)\r\n============================================================================\r\nworst slack -42.00\r\n\r\n===========================================================================\r\n report_worst_slack -min (Hold)\r\n============================================================================\r\nworst slack 0.35\r\nworst_slack_end\r\nclock_skew\r\n\r\n===========================================================================\r\n```"}, {"user": "`aes` and `aes_core` design has fanout issue again with gf180. \r\n\r\n`aes_core` post routing sta report follows.\r\n\r\n```\r\nStartpoint: reset_n (input port clocked by clk)\r\nEndpoint: _41039_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 4.40 4.40 ^ input external delay\r\n 2.63 1.51 5.91 ^ reset_n (in)\r\n 2 0.04 reset_n (net)\r\n 2.63 0.00 5.91 ^ input389/I (BUF_X20_7T5P0)\r\n 10.62 6.99 12.90 ^ input389/Z (BUF_X20_7T5P0)\r\n 598 3.85 net389 (net)\r\n 10.63 0.18 13.08 ^ repeater523/I (BUF_X20_7T5P0)\r\n 14.34 7.65 20.72 ^ repeater523/Z (BUF_X20_7T5P0)\r\n 820 5.64 net523 (net)\r\n 14.34 0.14 20.87 ^ repeater522/I (BUF_X20_7T5P0)\r\n 16.74 9.66 30.53 ^ repeater522/Z (BUF_X20_7T5P0)\r\n 890 6.34 net522 (net)\r\n 17.83 2.38 32.91 ^ repeater521/I (BUF_X20_7T5P0)\r\n 11.98 7.37 40.28 ^ repeater521/Z (BUF_X20_7T5P0)\r\n 662 4.56 net521 (net)\r\n 14.32 3.16 43.44 ^ _41039_/RN (DFFRNQ_X2_7T5P0)\r\n 43.44 data arrival time\r\n\r\n 22.00 22.00 clock clk (rise edge)\r\n 0.00 22.00 clock source latency\r\n 3.73 2.10 24.10 ^ clk (in)\r\n 2 0.26 clk (net)\r\n 3.73 0.00 24.10 ^ clkbuf_0_clk/I (CLKBUF_X16_7T5P0)\r\n 0.80 1.66 25.75 ^ clkbuf_0_clk/Z (CLKBUF_X16_7T5P0)\r\n 8 0.18 clknet_0_clk (net)\r\n 0.80 0.01 25.76 ^ clkbuf_2_0_0_clk/I (CLKBUF_X8_7T5P0)\r\n 0.29 0.81 26.57 ^ clkbuf_2_0_0_clk/Z (CLKBUF_X8_7T5P0)\r\n 1 0.02 clknet_2_0_0_clk (net)\r\n 0.29 0.00 26.57 ^ clkbuf_2_0_1_clk/I (CLKBUF_X8_7T5P0)\r\n 0.29 0.65 27.21 ^ clkbuf_2_0_1_clk/Z (CLKBUF_X8_7T5P0)\r\n 1 0.02 clknet_2_0_1_clk (net)\r\n 0.29 0.00 27.22 ^ clkbuf_2_0_2_clk/I (CLKBUF_X8_7T5P0)\r\n 0.55 0.83 28.04 ^ clkbuf_2_0_2_clk/Z (CLKBUF_X8_7T5P0)\r\n 4 0.06 clknet_2_0_2_clk (net)\r\n 0.55 0.00 28.05 ^ clkbuf_3_1_0_clk/I (CLKBUF_X8_7T5P0)\r\n 0.27 0.71 28.76 ^ clkbuf_3_1_0_clk/Z (CLKBUF_X8_7T5P0)\r\n 1 0.01 clknet_3_1_0_clk (net)\r\n 0.27 0.00 28.76 ^ clkbuf_3_1_1_clk/I (CLKBUF_X8_7T5P0)\r\n 0.53 0.81 29.56 ^ clkbuf_3_1_1_clk/Z (CLKBUF_X8_7T5P0)\r\n 4 0.05 clknet_3_1_1_clk (net)\r\n 0.53 0.00 29.57 ^ clkbuf_4_2_0_clk/I (CLKBUF_X8_7T5P0)\r\n 0.66 0.97 30.53 ^ clkbuf_4_2_0_clk/Z (CLKBUF_X8_7T5P0)\r\n 4 0.07 clknet_4_2_0_clk (net)\r\n 0.66 0.00 30.54 ^ clkbuf_5_4_0_clk/I (CLKBUF_X8_7T5P0)\r\n 0.49 0.91 31.45 ^ clkbuf_5_4_0_clk/Z (CLKBUF_X8_7T5P0)\r\n 4 0.05 clknet_5_4_0_clk (net)\r\n 0.49 0.00 31.45 ^ clkbuf_6_9_0_clk/I (CLKBUF_X8_7T5P0)\r\n 2.77 2.17 33.62 ^ clkbuf_6_9_0_clk/Z (CLKBUF_X8_7T5P0)\r\n 28 0.38 clknet_6_9_0_clk (net)\r\n 2.77 0.02 33.64 ^ clkbuf_leaf_858_clk/I (CLKBUF_X16_7T5P0)\r\n 0.30 1.15 34.79 ^ clkbuf_leaf_858_clk/Z (CLKBUF_X16_7T5P0)\r\n 2 0.01 clknet_leaf_858_clk (net)\r\n 0.30 0.00 34.79 ^ _41039_/CLK (DFFRNQ_X2_7T5P0)\r\n -0.25 34.54 clock uncertainty\r\n 0.00 34.54 clock reconvergence pessimism\r\n -5.89 28.65 library recovery time\r\n 28.65 data required time\r\n-----------------------------------------------------------------------------\r\n 28.65 data required time\r\n -43.44 data arrival time\r\n-----------------------------------------------------------------------------\r\n -14.79 slack (VIOLATED)\r\n```"}, {"assistant": "Did you update the version of openroad you are using to include commit 062004eff that includes the change I described in a previous post? \r\nThe testcase you originally submitted works as intended with the latest version of openroad when I run it.\r\n\r\nInserted antennas will always show up as \"fanout\" because they are connected to the net.\r\n\r\nI can't tell if you have changed the pdk or not.\r\nIf you are going change the design/testcase you must attach it to the issue or post it someplace to make it available."}, {"user": "@jjcherry56 \r\nShared another test case to `openroad.tools` mail ID with test case for `aes` design for GF180 PDK.\r\nI'm seeing fanout violation, with latest commit again.\r\n\r\nPlease keep this issue open, until GF180 test completes. Thanks"}, {"user": "As gf180 PDK become public and OpenLane/open-pdks update going on. Once PDK enabled with OpenLane, I'll test again with latest OpenROAD and open a new issue if I'm facing anything again.\r\nThanks!!!"}]} +{"num": 1953, "messages": [{"user": "The README should include some statistics and pictures about the number of number of successful tape outs.\r\n\r\nWe should also include pictures of the GUI. These will serve as draws to get people involved. "}, {"user": "We should also list PDK support in the README as well"}]} +{"num": 1955, "messages": [{"user": "Would it be possible to move pins around post DP? I see a lot of longer wires in my design, and it seems like it would make sense to have some kind of optimization to move the pins closer to their sinks.\r\n\r\n![image](https://user-images.githubusercontent.com/3784748/173426713-3758b54d-7dd3-48ce-bda0-7708d2ef0ba1.png)\r\n"}, {"assistant": "You could probably rerun the pin placer and have it update the locations. I haven't tried it but I expect it would work. You should not do so after grt/drt though."}, {"assistant": "(btw, your image is cutoff)"}, {"user": "Image is intentionally cut off. "}, {"assistant": "@QuantamHD \r\nIs this resolved or not?"}]} +{"num": 1957, "messages": [{"user": "@arlpetergadfort What would it take to make the GUI interactive during command execution? I'd love to get this to work.\r\n\r\nSome of the complexities off the top of my head.\r\n\r\n* Preventing write operations during command execution.\r\n* GUI elements potentially getting deleted.\r\n\r\nLet me know if I'm missing something else."}, {"assistant": "odb doesn't have any locking around modifications so you would have to be very careful to avoid the GUI accessing data while an algorithm is writing it."}, {"assistant": "I think a small white list of operations we want to support is the most tractable thing to define/implement. Do you have key operations you want to perform?\r\n\r\n@arlpetergadfort for your thoughts."}, {"user": "Mostly pan, zoom, layer flipping, heat maps."}, {"assistant": "@QuantamHD @maliberty\r\nI've tried this a couple of times and had no real luck with it, but I think it would be very useful.\r\nI tried to add locks to the callbacks in the GUI, the overhead was not bad, but this made it possible to repaint everything every time (which was crazy slow). But maybe locks are only really needed on deletions (which are rare).\r\n\r\nMoving the tcl and GUI into separate threads would be the first step. The nice thing is, the GUI knows that something is happening, so maybe we can use that to keep things safe instead of requiring ODB to assist.\r\nPreventing writes from the GUI would be easy, I think the harder thing would be keep track of deletions from ODB incase something is selected in the GUI.\r\n"}, {"assistant": "I think the best you can hope for is to see the data as it was before the command was started. Trying to update as it goes will be slow and unstable. Something like the inspector is almost certain to have dangerous corner cases and would have to be disabled. Is it really useful to see a static view of data the is likely to be obsolete once the command finishes?"}, {"assistant": "> I think the best you can hope for is to see the data as it was before the command was started. Trying to update as it goes will be slow and unstable. Something like the inspector is almost certain to have dangerous corner cases and would have to be disabled. Is it really useful to see a static view of data the is likely to be obsolete once the command finishes?\r\n\r\nI think this depends on the use case, I don't think watching routing / placement, etc is all that useful, but when calling commands that take a while to complete report_checks, report_power, etc... these do not modify the database and therefore should be safe to use the GUI during their execution."}, {"assistant": "The GUI may query sta while it is running which would not be safe even in this case (eg displaying clock nets, power heat map, etc). Even in that scenario limitations will have to be imposed."}, {"assistant": "> Mostly pan, zoom, layer flipping, heat maps.\r\n\r\nI have seen all option except `layer flipping`. @QuantamHD Can we keep this open or close?"}, {"assistant": "#4540, a closed duplicate, adds more color to the use-cases for a capability.\r\n\r\nAs a general solution for allowing interactivity during processing is very complicated, could some incremental progress be made for important use-cases?\r\n"}]} +{"num": 1962, "messages": [{"user": "When building Microwatt using OpenSTA created liberty files, I see setup repair giving up almost immediately:\r\n\r\n\r\n```\r\n[DEBUG RSZ-0001] pass 1 worst_slack = 0.000 ^\r\n[DEBUG RSZ-0001] pass 2 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 3 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 4 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 5 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 6 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 7 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 8 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 9 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] pass 10 worst_slack = 0.000 v\r\n[DEBUG RSZ-0001] decreasing slack for 9 passes. Restoring best slack 0.000\r\n[INFO RSZ-0041] Resized 1 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n```\r\n\r\nThe path with zero setup slack appears to be:\r\n\r\n```\r\n 0.00 0.00 clock ext_clk (rise edge)\r\n 2.96 2.96 clock network delay (propagated)\r\n 0.00 2.96 ^ _116993_/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 0.53 3.49 v _116993_/Q (sky130_fd_sc_hd__dfxtp_4)\r\n 0.49 3.98 v _057503_/Y (sky130_fd_sc_hd__nor2b_1)\r\n 0.30 4.28 ^ _057505_/Y (sky130_fd_sc_hd__o21ai_4)\r\n 0.18 4.46 v _057719_/Y (sky130_fd_sc_hd__nand2_8)\r\n 0.26 4.73 ^ _057759_/Y (sky130_fd_sc_hd__nor2_8)\r\n 0.21 4.94 ^ repeater2983/X (sky130_fd_sc_hd__buf_16)\r\n 0.19 5.13 ^ repeater2982/X (sky130_fd_sc_hd__buf_16)\r\n 0.19 5.32 ^ repeater2981/X (sky130_fd_sc_hd__buf_16)\r\n 0.16 5.48 v _059470_/Y (sky130_fd_sc_hd__a21oi_4)\r\n 0.17 5.65 ^ _059471_/Y (sky130_fd_sc_hd__clkinv_16)\r\n 0.28 5.93 ^ soc0.bram.bram0.ram_0.memory_0/A0[5] (RAM512)\r\n```\r\n\r\nWhich makes sense, since it is a path with a latch (in DFFRAM), and it does report 0 slack, however it seems to have the ability to borrow more time:\r\n\r\n```\r\nStartpoint: _116993_ (rising edge-triggered flip-flop clocked by ext_clk)\r\nEndpoint: soc0.bram.bram0.ram_0.memory_0\r\n (negative level-sensitive latch clocked by ext_clk)\r\nPath Group: ext_clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock ext_clk (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.53 0.38 0.38 ^ ext_clk (in)\r\n 1 0.12 ext_clk (net)\r\n 0.53 0.00 0.38 ^ repeater15/A (sky130_fd_sc_hd__buf_16)\r\n 0.37 0.43 0.81 ^ repeater15/X (sky130_fd_sc_hd__buf_16)\r\n 1 0.35 net7133 (net)\r\n 0.37 0.01 0.82 ^ clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.08 0.25 1.07 ^ clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.03 clknet_0_ext_clk (net)\r\n 0.08 0.00 1.07 ^ clkbuf_1_0_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.21 0.27 1.34 ^ clkbuf_1_0_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.12 clknet_1_0_0_ext_clk (net)\r\n 0.21 0.00 1.35 ^ clkbuf_2_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.20 0.32 1.66 ^ clkbuf_2_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.12 clknet_2_1_0_ext_clk (net)\r\n 0.20 0.00 1.66 ^ clkbuf_3_3_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.43 0.49 2.15 ^ clkbuf_3_3_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 8 0.26 clknet_3_3_0_ext_clk (net)\r\n 0.43 0.00 2.15 ^ clkbuf_6_29_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.10 0.29 2.45 ^ clkbuf_6_29_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.05 clknet_6_29_0_ext_clk (net)\r\n 0.10 0.00 2.45 ^ clkbuf_7_59__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.22 0.29 2.74 ^ clkbuf_7_59__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 8 0.13 clknet_7_59__leaf_ext_clk (net)\r\n 0.22 0.00 2.74 ^ clkbuf_leaf_676_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.08 0.22 2.96 ^ clkbuf_leaf_676_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 6 0.03 clknet_leaf_676_ext_clk (net)\r\n 0.08 0.00 2.96 ^ _116993_/CLK (sky130_fd_sc_hd__dfxtp_4)\r\n 0.68 0.76 3.72 ^ _116993_/Q (sky130_fd_sc_hd__dfxtp_4)\r\n 10 0.25 soc0._331_ (net)\r\n 0.75 0.18 3.90 ^ _057503_/B_N (sky130_fd_sc_hd__nor2b_2)\r\n 0.17 0.36 4.26 ^ _057503_/Y (sky130_fd_sc_hd__nor2b_2)\r\n 2 0.01 _008944_ (net)\r\n 0.17 0.00 4.26 ^ _057505_/A2 (sky130_fd_sc_hd__o21ai_4)\r\n 0.11 0.13 4.39 v _057505_/Y (sky130_fd_sc_hd__o21ai_4)\r\n 5 0.04 _008946_ (net)\r\n 0.11 0.00 4.39 v _057719_/B (sky130_fd_sc_hd__nand2_8)\r\n 0.13 0.15 4.54 ^ _057719_/Y (sky130_fd_sc_hd__nand2_8)\r\n 9 0.06 _009156_ (net)\r\n 0.14 0.02 4.55 ^ _057759_/B (sky130_fd_sc_hd__nor2_8)\r\n 0.07 0.07 4.62 v _057759_/Y (sky130_fd_sc_hd__nor2_8)\r\n 6 0.04 _009185_ (net)\r\n 0.07 0.01 4.64 v repeater2983/A (sky130_fd_sc_hd__buf_16)\r\n 0.05 0.16 4.80 v repeater2983/X (sky130_fd_sc_hd__buf_16)\r\n 7 0.06 net2983 (net)\r\n 0.05 0.01 4.81 v repeater2982/A (sky130_fd_sc_hd__buf_16)\r\n 0.05 0.16 4.97 v repeater2982/X (sky130_fd_sc_hd__buf_16)\r\n 7 0.07 net2982 (net)\r\n 0.06 0.01 4.98 v repeater2981/A (sky130_fd_sc_hd__buf_16)\r\n 0.06 0.17 5.15 v repeater2981/X (sky130_fd_sc_hd__buf_16)\r\n 8 0.08 net2981 (net)\r\n 0.06 0.00 5.16 v _059470_/A2 (sky130_fd_sc_hd__a21oi_4)\r\n 0.35 0.32 5.48 ^ _059470_/Y (sky130_fd_sc_hd__a21oi_4)\r\n 3 0.05 _010645_ (net)\r\n 0.36 0.05 5.53 ^ _059471_/A (sky130_fd_sc_hd__clkinv_16)\r\n 0.20 0.22 5.75 v _059471_/Y (sky130_fd_sc_hd__clkinv_16)\r\n 3 0.39 simplebus_0.mux.s[8] (net)\r\n 0.60 0.28 6.04 v soc0.bram.bram0.ram_0.memory_0/A0[5] (RAM512)\r\n 6.04 data arrival time\r\n\r\n 0.00 0.00 clock ext_clk (rise edge)\r\n 0.00 0.00 clock source latency\r\n 0.53 0.35 0.35 ^ ext_clk (in)\r\n 1 0.12 ext_clk (net)\r\n 0.53 0.00 0.35 ^ repeater15/A (sky130_fd_sc_hd__buf_16)\r\n 0.37 0.39 0.73 ^ repeater15/X (sky130_fd_sc_hd__buf_16)\r\n 1 0.35 net7133 (net)\r\n 0.37 0.01 0.74 ^ clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.08 0.23 0.97 ^ clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.03 clknet_0_ext_clk (net)\r\n 0.08 0.00 0.97 ^ clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.20 0.25 1.22 ^ clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.12 clknet_1_1_0_ext_clk (net)\r\n 0.20 0.00 1.22 ^ clkbuf_2_2_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.21 0.29 1.50 ^ clkbuf_2_2_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.12 clknet_2_2_0_ext_clk (net)\r\n 0.21 0.00 1.51 ^ clkbuf_3_5_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.40 0.42 1.92 ^ clkbuf_3_5_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 8 0.24 clknet_3_5_0_ext_clk (net)\r\n 0.40 0.00 1.92 ^ clkbuf_6_42_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.11 0.26 2.19 ^ clkbuf_6_42_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 2 0.05 clknet_6_42_0_ext_clk (net)\r\n 0.11 0.00 2.19 ^ clkbuf_7_85__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.11 0.19 2.38 ^ clkbuf_7_85__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 4 0.06 clknet_7_85__leaf_ext_clk (net)\r\n 0.11 0.00 2.38 ^ clkbuf_opt_45_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.05 0.15 2.53 ^ clkbuf_opt_45_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.02 clknet_opt_45_0_ext_clk (net)\r\n 0.05 0.00 2.53 ^ clkbuf_opt_45_1_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.05 0.12 2.65 ^ clkbuf_opt_45_1_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.02 clknet_opt_45_1_ext_clk (net)\r\n 0.05 0.00 2.65 ^ clkbuf_opt_45_2_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.05 0.12 2.77 ^ clkbuf_opt_45_2_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.02 clknet_opt_45_2_ext_clk (net)\r\n 0.05 0.00 2.77 ^ clkbuf_opt_45_3_ext_clk/A (sky130_fd_sc_hd__clkbuf_8)\r\n 0.54 0.46 3.23 ^ clkbuf_opt_45_3_ext_clk/X (sky130_fd_sc_hd__clkbuf_8)\r\n 1 0.32 clknet_opt_45_3_ext_clk (net)\r\n 0.54 0.00 3.23 ^ soc0.bram.bram0.ram_0.memory_0/CLK (RAM512)\r\n -0.25 2.98 clock uncertainty\r\n 0.10 3.08 clock reconvergence pessimism\r\n 2.95 6.04 time borrowed from endpoint\r\n 6.04 data required time\r\n-----------------------------------------------------------------------------\r\n 6.04 data required time\r\n -6.04 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.00 slack (MET)\r\n\r\n Time Borrowing Information\r\n--------------------------------------------\r\next_clk nominal pulse width 15.00\r\nclock latency difference -0.52\r\nlibrary setup time -1.80\r\n--------------------------------------------\r\nCRPR difference -0.03\r\nmax time borrow 12.65\r\n--------------------------------------------\r\nactual time borrow 2.95\r\nopen edge uncertainty -0.25\r\nopen edge CRPR 0.10\r\n--------------------------------------------\r\ntime given to startpoint 2.81\r\n--------------------------------------------\r\n \r\n```\r\n\r\nTest case: [microwatt-resizer-2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8916142/microwatt-resizer-2.tar.gz)\r\n"}, {"user": "Attaching the SPEF and gate level verilog for RAM512\r\n\r\n[RAM512.spef.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8918233/RAM512.spef.gz)\r\n[RAM512.v.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8918237/RAM512.v.gz)\r\n\r\nWe have A0[] -> clock gating cells -> latches -> A0[] -> output register -> Do0[]\r\n\r\nI'm trying to work out where the above timing path is coming from. A0 feeds clock gating cells also fed by a negative edge of the clock, so there's nothing to steal from in that path.\r\n\r\nThe same address pins are used for output, so I'm wondering if this timing path is the latch to the output register. If so we could mark the path as invalid, since we only use the RAM for reading or writing in one cycle, not both."}, {"user": "@jjcherry56 I'm guessing it's `LibertyCell::inferLatchRoles()`.\r\n\r\nI also think the liberty file is modelling a multi cycle path for A0 (input setup/hold referenced to CLK in the first cycle and a separate async path of A0 -> Do). Again perhaps the constraints are bad and we need to set the latch update to output path as false."}, {"assistant": "There is a lot going on here. The place to start is by verifying the timing model for the ram. As the doc says, it is not designed to work with latches (there are many issues). But in this case it may just skate by because there is only one latch between the input and outputs. Modeling borrowing across multiple latches is something liberty is not up to. But there has been no testing on my end with transparent latches. Or gated clocks. \r\n\r\nSo what you need to do is compare timing with the ram liberty model in microwatt with using the ram verilog.\r\nUse report_checks -through inputs or outputs and check input/output timing. Please don't do things like this:\r\nreport_checks -unconstrained -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 1000\r\nbecause it only generates volumes of incomprehensible data.\r\n\r\nI do not think the resizer has been used on a design with transparent latches. But would never use microwatt to debugging/verify that scenario.\r\n\r\nLibertyCell::inferLatchRoles is doing it's job. The liberty model extract does not try to include ff/latch groups and there are indeed liberty is modeling latches."}, {"user": "@jjcherry56 agree with simplifying the test case. I've built the smallest DFFRAM I can (8x8), and have a trivial wrapper around it with no logic. I then compare a hierarchical STA using spef files in OpenSTA vs the liberty file in OpenROAD.\r\n\r\n~~Pins EN0, WE0 and A0 appear to match~~ (there are some issues with these pins, explained in a subsequent comment). We didn't infer the clock gated latch on Di0* however:\r\n\r\n```\r\nStartpoint: Di0[0] (input port clocked by CLK)\r\nEndpoint: RAM8_0 (falling edge-triggered flip-flop clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 2.00 2.00 ^ input external delay\r\n 0.08 2.08 ^ Di0[0] (in)\r\n 0.00 2.08 ^ RAM8_0/Di0[0] (RAM8)\r\n 2.08 data arrival time\r\n\r\n 5.00 5.00 clock CLK (fall edge)\r\n 0.05 5.05 clock network delay (propagated)\r\n 0.00 5.05 clock reconvergence pessimism\r\n 5.05 v RAM8_0/CLK (RAM8)\r\n 0.40 5.45 library setup time\r\n 5.45 data required time\r\n---------------------------------------------------------\r\n 5.45 data required time\r\n -2.08 data arrival time\r\n---------------------------------------------------------\r\n 3.37 slack (MET)\r\n```\r\n\r\nvs what comes out of the hierarchical STA:\r\n\r\n```\r\nStartpoint: Di0[0] (input port clocked by CLK)\r\nEndpoint: RAM8_0/WORD[0].W.BYTE[0].B.BIT[0].genblk1.STORAGE\r\n (positive level-sensitive latch clocked by CLK')\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 2.00 2.00 ^ input external delay\r\n 0.06 2.06 ^ Di0[0] (in)\r\n 0.00 2.06 ^ RAM8_0/WORD[0].W.BYTE[0].B.BIT[0].genblk1.STORAGE/D (sky130_fd_sc_hd__dlxtp_1)\r\n 2.06 data arrival time\r\n\r\n 5.00 5.00 clock CLK' (rise edge)\r\n 0.59 5.59 clock network delay (propagated)\r\n 0.00 5.59 clock reconvergence pessimism\r\n 5.59 ^ RAM8_0/WORD[0].W.BYTE[0].B.BIT[0].genblk1.STORAGE/GATE (sky130_fd_sc_hd__dlxtp_1)\r\n 0.00 5.59 time borrowed from endpoint\r\n 5.59 data required time\r\n---------------------------------------------------------\r\n 5.59 data required time\r\n -2.06 data arrival time\r\n---------------------------------------------------------\r\n 3.53 slack (MET)\r\n\r\nTime Borrowing Information\r\n--------------------------------------------\r\nCLK' nominal pulse width 5.00\r\nclock latency difference -0.06\r\nlibrary setup time -0.19\r\n--------------------------------------------\r\nmax time borrow 4.75\r\nactual time borrow 0.00\r\n--------------------------------------------\r\n```\r\n\r\nI'm unsure if it makes sense, but can we detect it by the combination of `hold_rising` and `setup_falling` constraints?\r\n\r\nI see a separate issue with the output (Do0). With this small configuration, the Do0 outputs are a bit odd in that they are 8 tristate buffers feeding the output directly. This might be a case where an output buffer should be added. I bring it up regardless, just in case there is an easy fix.\r\n\r\nThe hierarchical spef timing shows 1.71 ns slack:\r\n\r\n```\r\nStartpoint: RAM8_0/WORD[6].W.BYTE[0].B.BIT[0].genblk1.STORAGE\r\n (positive level-sensitive latch clocked by CLK')\r\nEndpoint: Do0[0] (output port clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 5.00 5.00 clock CLK' (rise edge)\r\n 0.59 5.59 clock network delay (propagated)\r\n 0.00 5.59 ^ RAM8_0/WORD[6].W.BYTE[0].B.BIT[0].genblk1.STORAGE/GATE (sky130_fd_sc_hd__dlxtp_1)\r\n 0.35 5.94 ^ RAM8_0/WORD[6].W.BYTE[0].B.BIT[0].genblk1.STORAGE/Q (sky130_fd_sc_hd__dlxtp_1)\r\n 0.34 6.28 ^ RAM8_0/WORD[6].W.BYTE[0].B.BIT[0].OBUF0/Z (sky130_fd_sc_hd__ebufn_2)\r\n 0.00 6.29 ^ Do0[0] (out)\r\n 6.29 data arrival time\r\n\r\n 10.00 10.00 clock CLK (rise edge)\r\n 0.00 10.00 clock network delay (propagated)\r\n 0.00 10.00 clock reconvergence pessimism\r\n -2.00 8.00 output external delay\r\n 8.00 data required time\r\n---------------------------------------------------------\r\n 8.00 data required time\r\n -6.29 data arrival time\r\n---------------------------------------------------------\r\n 1.71 slack (MET)\r\n```\r\n\r\nBut the liberty file STA shows -0.14ns slack:\r\n\r\n```\r\nStartpoint: RAM8_0 (falling edge-triggered flip-flop clocked by CLK)\r\nEndpoint: Do0[0] (output port clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 5.00 5.00 clock CLK (fall edge)\r\n 0.05 5.05 clock network delay (propagated)\r\n 0.00 5.05 v RAM8_0/CLK (RAM8)\r\n 3.09 8.14 ^ RAM8_0/Do0[0] (RAM8)\r\n 0.00 8.14 ^ Do0[0] (out)\r\n 8.14 data arrival time\r\n\r\n 10.00 10.00 clock CLK (rise edge)\r\n 0.00 10.00 clock network delay (propagated)\r\n 0.00 10.00 clock reconvergence pessimism\r\n -2.00 8.00 output external delay\r\n 8.00 data required time\r\n---------------------------------------------------------\r\n 8.00 data required time\r\n -8.14 data arrival time\r\n---------------------------------------------------------\r\n -0.14 slack (VIOLATED)\r\n```\r\n\r\nTest case is at: [RAM8.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8954236/RAM8.tar.gz)\r\n\r\nNote that the original issue I reported does not show up in this configuration, I'll work on a test case for that next."}, {"user": "I verified that the output issue (Do0) goes away when I use a larger DFFRAM configuration (32x8) which registers the output (instead of connecting tristate buffers directly to the output). I think we could ignore that issue."}, {"user": "I just realised I was running with a modified OpenROAD (which disabled latch inference), sorry for the confusion. The problems I now see with the RAM8 test case:\r\n\r\n- We still didn't infer a latch for Di0 (explained in a previous comment).\r\n\r\n- We infer a latch for both EN0 and A0, where they are actually a clock gate cell, which we can't borrow timing from:\r\n\r\nliberty:\r\n```\r\nStartpoint: EN0 (input port clocked by CLK)\r\nEndpoint: RAM8_0 (negative level-sensitive latch clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 2.00 2.00 ^ input external delay\r\n 0.02 2.02 ^ EN0 (in)\r\n 0.00 2.02 ^ RAM8_0/EN0 (RAM8)\r\n 2.02 data arrival time\r\n\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.08 0.08 clock network delay (propagated)\r\n 0.00 0.08 clock reconvergence pessimism\r\n 0.08 ^ RAM8_0/CLK (RAM8)\r\n 1.93 2.02 time borrowed from endpoint\r\n 2.02 data required time\r\n---------------------------------------------------------\r\n 2.02 data required time\r\n -2.02 data arrival time\r\n---------------------------------------------------------\r\n 0.00 slack (MET)\r\n\r\nTime Borrowing Information\r\n--------------------------------------------\r\nCLK nominal pulse width 5.00\r\nclock latency difference -0.03\r\nlibrary setup time -0.33\r\n--------------------------------------------\r\nmax time borrow 4.64\r\nactual time borrow 1.93\r\n--------------------------------------------\r\n```\r\n\r\nspef:\r\n\r\n```\r\nStartpoint: EN0 (input port clocked by CLK)\r\nEndpoint: RAM8_0/WORD[5].W.BYTE[0].B.genblk1.CG\r\n (rising clock gating-check end-point clocked by CLK')\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 2.00 2.00 v input external delay\r\n 0.01 2.01 v EN0 (in)\r\n 0.12 2.12 v RAM8_0/DEC0.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)\r\n 0.23 2.36 v RAM8_0/DEC0.AND5/X (sky130_fd_sc_hd__and4b_2)\r\n 0.10 2.46 v RAM8_0/WORD[5].W.SEL0BUF/X (sky130_fd_sc_hd__clkbuf_2)\r\n 0.12 2.58 v RAM8_0/WORD[5].W.BYTE[0].B.CGAND/X (sky130_fd_sc_hd__and2_1)\r\n 0.00 2.58 v RAM8_0/WORD[5].W.BYTE[0].B.genblk1.CG/GATE (sky130_fd_sc_hd__dlclkp_1)\r\n 2.58 data arrival time\r\n\r\n 5.00 5.00 clock CLK' (rise edge)\r\n 0.33 5.33 clock network delay (propagated)\r\n 0.00 5.33 clock reconvergence pessimism\r\n 5.33 ^ RAM8_0/WORD[5].W.BYTE[0].B.genblk1.CG/CLK (sky130_fd_sc_hd__dlclkp_1)\r\n -0.12 5.21 library setup time\r\n 5.21 data required time\r\n---------------------------------------------------------\r\n 5.21 data required time\r\n -2.58 data arrival time\r\n---------------------------------------------------------\r\n 2.63 slack (MET)\r\n```\r\n\r\n"}, {"user": "I built a larger DFFRAM (256x8). This is a set of 2 smaller DFFRAMs each with FFs at the output, followed by a 2:1 mux. The 2:1 mux creates a combinatorial dependency between A0[5-7] and Do0, and `inferLatchRoles` looks at Do0, finds the connection to A0 and calls `makeLatchEnable`.\r\n\r\nTest case: [RAM256.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8957416/RAM256.tar.gz)\r\n"}, {"assistant": "I've been looking at correlating the RAM8. I fixed on bug that affected the CLK->Do0 paths. Hierarchical parasitic annotation only works on the \"interior\" nets. So the using the RAM8 spef with the wrapper doesn't work correctly. The worse issue is that the stupid default wire load models step in and pollute the net. I suggest you comment out the default_wire_load_mode line in the sky130 liberty. I am going to try and remove default wire load support because it is so insidious but I won't know the fallout until I try it. Bottom line: remove that liberty line and update opensta and the results are pretty close."}, {"user": "@jjcherry56 thanks, I was able to replicate your results after making those changes.\r\n\r\nIs there any hope of getting the latch inference right for the EN0, A0 and Di0 pins? If I use `read_liberty -no_latch_infer` that fixes the EN0 and A0 pins, so only Di0 is incorrectly identified as not being a latch. However, since Di0 has a fair bit of slack and a design likely won't need to borrow time on these pins, perhaps that is a good enough compromise.\r\n\r\nI started looking through the liberty spec (terrifyingly large) and wondered if the tlatch attribute could be used on the Di0 pin."}, {"assistant": "a latch group (not attribute \"could\" be used in this very specific case but there is no way I am going to add them to the model generation. as soon as you put multiple latches with different clocks in a row everything gets way more complicated than liberty can really describe.\r\n\r\nI don't see any reason you should be using -no_latch_infer. Exactly what timing mismatch are you seeing? Your description is too vague for me to follow. It might help to start over with a new test case / context also, since there are too many already included in this conversation. The title also has no relationship to the discussion at this point."}, {"user": "Ok, will open a new issue."}, {"user": "Opened https://github.com/The-OpenROAD-Project/OpenROAD/issues/2013"}]} +{"num": 1987, "messages": [{"user": "* Tested on: OpenROAD v2.0-4149-gf2466c46e (f2466c4)\r\n\r\nAppears that there's a crash during CTS on a particularly complex design.\r\n\r\n```log\r\n[INFO CTS-0036] Average source sink dist: 11043.00 dbu.\r\n[INFO CTS-0037] Number of outlier sinks: 0.\r\n[INFO CTS-0018] Created 17 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 5.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 5.\r\n[INFO CTS-0015] Created 17 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 9:1, 10:1, 13:2, 14:2, 18:1, 20:1..\r\n[INFO CTS-0017] Max level of the clock tree: 3.\r\n[INFO CTS-0018] Created 7 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 3.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 3.\r\n[INFO CTS-0015] Created 7 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 8:1, 11:1, 12:1, 18:1..\r\n[INFO CTS-0017] Max level of the clock tree: 2.\r\n[INFO CTS-0018] Created 76 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 5.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 7.\r\n[INFO CTS-0015] Created 76 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 2:3, 3:3, 4:2, 5:4, 6:4, 7:7, 8:5, 9:5, 10:2, 11:4, 12:4, 13:5, 14:4, 15:2, 16:3, 17:2, 18:3, 20:3, 23:1..\r\n[INFO CTS-0017] Max level of the clock tree: 3.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 2:1, 3:1..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 1:2..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\nStack trace:\r\n 0# 0x000055B35B75EEF5 in openroad\r\n 1# 0x00007F5DDA63E8E0 in /usr/lib/libc.so.6\r\n 2# odb::dbInst::setSourceType(odb::dbSourceType) in openroad\r\n 3# 0x000055B35C03A6A5 in openroad\r\n 4# cts::Clock::forEachClockBuffer(std::function const&) in openroad\r\n 5# cts::TritonCTS::createClockBuffers(cts::Clock&) in openroad\r\n 6# cts::TritonCTS::writeClockNetsToDb(cts::Clock&) in openroad\r\n 7# cts::TritonCTS::writeDataToDb() in openroad\r\n 8# 0x000055B35C055E45 in openroad\r\n 9# TclNRRunCallbacks in /usr/lib/libtcl.so\r\n10# 0x00007F5DDC805BDB in /usr/lib/libtcl.so\r\n11# Tcl_EvalEx in /usr/lib/libtcl.so\r\n12# Tcl_Eval in /usr/lib/libtcl.so\r\n13# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n14# ord::tclAppInit(Tcl_Interp*) in openroad\r\n15# Tcl_MainEx in /usr/lib/libtcl.so\r\n16# main in openroad\r\n17# 0x00007F5DDA629290 in /usr/lib/libc.so.6\r\n18# __libc_start_main in /usr/lib/libc.so.6\r\n19# _start in openroad\r\n```\r\n[reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8967839/reproducible.tar.gz)\r\n\r\n"}, {"assistant": "What is the purpose of:\r\n```\r\ncreate_generated_clock -name csclk_slow -source [get_ports {mgmt_gpio_in[4]}] -divide_by 1 [get_pins {_5096_/X}]\r\n```\r\n_5096_ is an AOI gate not a clock gating cell. Why divide by 1?\r\n(I'll fix the crash but I'm not clear what the expect result is)."}]} +{"num": 1994, "messages": [{"user": "Which algorithm has been used by PartiotionMGR for partitioning?"}, {"assistant": "MLPart is the default. "}]} +{"num": 1995, "messages": [{"user": "When testing a design with the ASAP7 PDK, I hit an error when doing setup repair:\r\n\r\n```\r\nRepair setup violations...\r\n[CRITICAL STA-0259] unsupported path type\r\n```\r\n\r\nThis also reproduces with the gcd test in ORFS. An issue tarball: [cts_gcd_asap7_base_2022-06-25_06-25.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/8980613/cts_gcd_asap7_base_2022-06-25_06-25.tar.gz)\r\n"}, {"assistant": "I ran scripts/cts.tcl with the latest OR and it does not reproduce this issue. I honestly don't see any way the code could get to that line since it is inside a debugging function that isn't called by any user commands."}, {"user": "@jjcherry56 sorry for that, I was sure I rebuilt from pristine upstream source but obviously one of my debug patches was still applied."}]} +{"num": 2011, "messages": [{"user": "If either a gate level design or tech mapped design (eg adder cell mapping) has multi-output gates, rmp fails:\r\n\r\n```\r\nWarning: Detected 1 multi-output gates (for example, \"FAx1_ASAP7_75t_R\").\r\n** cmd error: aborting 'source ./results/asap7/multiply_add_64x64/base/0ord_abc_script.tcl'\r\n./results/asap7/multiply_add_64x64/base/multiply_add_64x64_crit_path.blif (line 9186): Mismatch in the fanins of gate \"FAx1_ASAP7_75t_R\".\r\n```\r\n\r\nYosys looks to solve this by replacing the BLIF format with AIGER/XAIGER, which does support multiple outputs."}, {"assistant": "rmp is not production worthy so this is the least of the problems but something to consider when we work on it further"}]} +{"num": 2013, "messages": [{"user": "I'm seeing issues with the following test case: [RAM8-new.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9028580/RAM8-new.tar.gz)\r\n\r\n\r\nThe issue is with the `EN0` and `A0*` inputs. Both feed into a clock gate cell, which is clocked by the inverted clock. A hierarchical STA shows it correctly: \r\n\r\n\r\n```\r\n# sta spef.tcl\r\n\r\nStartpoint: EN0 (input port clocked by CLK)\r\nEndpoint: RAM8_0/WORD[5].W.BYTE[0].B.genblk1.CG\r\n (rising clock gating-check end-point clocked by CLK')\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 2.00 2.00 v input external delay\r\n 0.01 2.01 v EN0 (in)\r\n 0.12 2.12 v RAM8_0/DEC0.ENBUF/X (sky130_fd_sc_hd__clkbuf_2)\r\n 0.23 2.36 v RAM8_0/DEC0.AND5/X (sky130_fd_sc_hd__and4b_2)\r\n 0.10 2.46 v RAM8_0/WORD[5].W.SEL0BUF/X (sky130_fd_sc_hd__clkbuf_2)\r\n 0.12 2.58 v RAM8_0/WORD[5].W.BYTE[0].B.CGAND/X (sky130_fd_sc_hd__and2_1)\r\n 0.00 2.58 v RAM8_0/WORD[5].W.BYTE[0].B.genblk1.CG/GATE (sky130_fd_sc_hd__dlclkp_1)\r\n 2.58 data arrival time\r\n\r\n 5.00 5.00 clock CLK' (rise edge)\r\n 0.33 5.33 clock network delay (propagated)\r\n 0.00 5.33 clock reconvergence pessimism\r\n 5.33 ^ RAM8_0/WORD[5].W.BYTE[0].B.genblk1.CG/CLK (sky130_fd_sc_hd__dlclkp_1)\r\n -0.12 5.21 library setup time\r\n 5.21 data required time\r\n---------------------------------------------------------\r\n 5.21 data required time\r\n -2.58 data arrival time\r\n---------------------------------------------------------\r\n 2.63 slack (MET)\r\n```\r\n\r\nUsing a generated liberty model, STA in OpenROAD thinks `EN0` feeds into a latch:\r\n\r\n```\r\n# openroad -exit liberty.tcl\r\n\r\nStartpoint: EN0 (input port clocked by CLK)\r\nEndpoint: RAM8_0 (negative level-sensitive latch clocked by CLK)\r\nPath Group: CLK\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 2.00 2.00 ^ input external delay\r\n 0.02 2.02 ^ EN0 (in)\r\n 0.00 2.02 ^ RAM8_0/EN0 (RAM8)\r\n 2.02 data arrival time\r\n\r\n 0.00 0.00 clock CLK (rise edge)\r\n 0.08 0.08 clock network delay (propagated)\r\n 0.00 0.08 clock reconvergence pessimism\r\n 0.08 ^ RAM8_0/CLK (RAM8)\r\n 1.93 2.02 time borrowed from endpoint\r\n 2.02 data required time\r\n---------------------------------------------------------\r\n 2.02 data required time\r\n -2.02 data arrival time\r\n---------------------------------------------------------\r\n 0.00 slack (MET)\r\n\r\nTime Borrowing Information\r\n--------------------------------------------\r\nCLK nominal pulse width 5.00\r\nclock latency difference -0.03\r\nlibrary setup time -0.33\r\n--------------------------------------------\r\nmax time borrow 4.64\r\nactual time borrow 1.93\r\n--------------------------------------------\r\n```\r\n"}, {"assistant": "I changed the latch inference to require unate D->Q arcs and it looks like it solves this issue. I did not update the OR submodule yet."}, {"user": "Thanks @jjcherry56 this does look to have fixed it. Closing."}]} +{"num": 2027, "messages": [{"user": "```\r\n[WARNING IFP-0028] Core area lower left (30.000, 30.000) snapped to (30.360, 32.640).\r\n[INFO IFP-0001] Added 4 rows of 31 sites.\r\n[ERROR PDN-0185] Insufficient width to add straps on layer met4 in grid \"grid\".\r\nError: pdn_config.pdn, 23 PDN-0185\r\n```\r\n\r\nRan into the following PDN error with a small core area of 30,30um. With the following grid strategy, which was directly translated from the original PDN file for Sky130.\r\n\r\n```\r\n####################################\r\n# global connections\r\n####################################\r\nadd_global_connection -defer_connection -net {VDD} -inst_pattern {.*} -pin_pattern {^VDD$} -power\r\nadd_global_connection -defer_connection -net {VDD} -inst_pattern {.*} -pin_pattern {^VDDPE$}\r\nadd_global_connection -defer_connection -net {VDD} -inst_pattern {.*} -pin_pattern {^VDDCE$}\r\nadd_global_connection -defer_connection -net {VDD} -inst_pattern {.*} -pin_pattern {VPWR}\r\nadd_global_connection -defer_connection -net {VDD} -inst_pattern {.*} -pin_pattern {VPB}\r\nadd_global_connection -defer_connection -net {VSS} -inst_pattern {.*} -pin_pattern {^VSS$} -ground\r\nadd_global_connection -defer_connection -net {VSS} -inst_pattern {.*} -pin_pattern {^VSSE$}\r\nadd_global_connection -defer_connection -net {VSS} -inst_pattern {.*} -pin_pattern {VGND}\r\nadd_global_connection -defer_connection -net {VSS} -inst_pattern {.*} -pin_pattern {VNB}\r\nglobal_connect\r\n####################################\r\n# voltage domains\r\n####################################\r\nset_voltage_domain -name {CORE} -power {VDD} -ground {VSS}\r\n####################################\r\n# standard cell grid\r\n####################################\r\ndefine_pdn_grid -name {grid} -voltage_domains {CORE}\r\nadd_pdn_stripe -grid {grid} -layer {met1} -width {0.48} -pitch {5.44} -offset {0} -followpins\r\nadd_pdn_stripe -grid {grid} -layer {met4} -width {1.600} -pitch {27.140} -offset {13.570}\r\nadd_pdn_stripe -grid {grid} -layer {met5} -width {1.600} -pitch {27.200} -offset {13.600}\r\nadd_pdn_connect -grid {grid} -layers {met1 met4}\r\nadd_pdn_connect -grid {grid} -layers {met4 met5}\r\n####################################\r\n# macro grids\r\n####################################\r\n####################################\r\n# grid for: CORE_macro_grid_1\r\n####################################\r\ndefine_pdn_grid -name {CORE_macro_grid_1} -voltage_domains {CORE} -macro -orient {R0 R180 MX MY} -halo {2.0 2.0 2.0 2.0} -default -grid_over_boundary\r\nadd_pdn_connect -grid {CORE_macro_grid_1} -layers {met4 met5}\r\n####################################\r\n# grid for: CORE_macro_grid_2\r\n####################################\r\ndefine_pdn_grid -name {CORE_macro_grid_2} -voltage_domains {CORE} -macro -orient {R90 R270 MXR90 MYR90} -halo {2.0 2.0 2.0 2.0} -default -grid_over_boundary\r\n```\r\n\r\nGiven that this did pass before is there an alternative strategy we could take in regards to small core area blocks? Perhaps by centering the strap insteading of heeding the offset value? @maliberty @arlpetergadfort \r\n\r\nThis design did pass with the old PDN script.\r\n"}, {"assistant": "@QuantamHD with an area of 30 x 30, you are lucky to get 1 set of straps in on met4 and met5 (you should consider lowing those or just use a ring with a single strap in the middle).\r\nIn this instance, the user is specifying you want the straps offset that much with a given pitch. I don't think PDNgen should override the given parameters unless the there is a compelling reason to."}, {"assistant": "Do you have a screen shot of the PDN formerly generated?"}, {"assistant": "@QuantamHD \r\nIs that need further support?"}]} +{"num": 2031, "messages": [{"user": "I'm trying to improve the frequency of my gate level multiplier when taping out on ASAP7. The slowest path after global routing was not the slowest path before global routing, and this path stands out because of how much worse it got.\r\n\r\nLooking into this path, `net38` stands out since it got significantly worse (both in delay and slew):\r\n\r\nSTA before global routing (ie placement parasitics):\r\n```\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 100.00 100.00 v input external delay\r\n 0.00 0.00 100.00 v a[43] (in)\r\n 1 2.82 a[43] (net)\r\n 0.24 0.08 100.08 v input38/A (BUFx24_ASAP7_75t_R)\r\n 46.15 25.90 125.97 v input38/Y (BUFx24_ASAP7_75t_R)\r\n 72 157.91 net38 (net) <-----\r\n 67.34 12.93 138.90 v U$$2880/A (AND2x2_ASAP7_75t_R)\r\n 8.33 33.18 172.08 v U$$2880/Y (AND2x2_ASAP7_75t_R)\r\n 1 0.69 t$10037 (net)\r\n 8.33 0.02 172.11 v U$$2881/B1 (AO32x2_ASAP7_75t_R)\r\n 27.00 36.64 208.74 v U$$2881/Y (AO32x2_ASAP7_75t_R)\r\n 3 7.80 sel_0$10038 (net)\r\n 27.00 0.01 208.75 v rebuffer562/A (BUFx12f_ASAP7_75t_R)\r\n 10.56 19.23 227.98 v rebuffer562/Y (BUFx12f_ASAP7_75t_R)\r\n...\r\n```\r\n\r\nSTA after global routing (ie global route parasitics):\r\n```\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 100.00 100.00 v input external delay\r\n 0.00 0.00 100.00 v a[43] (in)\r\n 1 2.85 a[43] (net)\r\n 0.36 0.11 100.11 v input38/A (BUFx24_ASAP7_75t_R)\r\n 31.38 23.16 123.28 v input38/Y (BUFx24_ASAP7_75t_R)\r\n 72 158.75 net38 (net) <---- much worse\r\n 286.00 90.07 213.35 v U$$2880/A (AND2x2_ASAP7_75t_R) \r\n 15.29 63.44 276.79 v U$$2880/Y (AND2x2_ASAP7_75t_R)\r\n 1 1.19 t$10037 (net)\r\n 15.30 0.14 276.93 v U$$2881/B1 (AO32x2_ASAP7_75t_R)\r\n 31.32 39.53 316.46 v U$$2881/Y (AO32x2_ASAP7_75t_R)\r\n 3 9.04 sel_0$10038 (net)\r\n 31.55 1.53 317.99 v rebuffer562/A (BUFx12f_ASAP7_75t_R)\r\n 11.23 19.42 337.42 v rebuffer562/Y (BUFx12f_ASAP7_75t_R)\r\n...\r\n```\r\n\r\nThe Steiner tree for `net38` looks like this. The driver (`input38`) is the yellow circle on the right and the load (`U$$2880`) is the yellow circle on the left.\r\n\r\n![rst-net38](https://user-images.githubusercontent.com/2029971/177440247-2527bc50-31cf-4b5f-a3fd-89a893839cf7.png)\r\n\r\nAnd the 2D tree produced by fastroute:\r\n\r\n![tree2d-50route_reduction](https://user-images.githubusercontent.com/2029971/177440281-2f012f18-abeb-4f13-ad91-219014453197.png)\r\n\r\nThe path from `input38` to `U$$2880` got much worse, which seems to explain much of the difference. Interestingly, if I reduce the global routing resource reduction specified, then the 2D tree remains similar to the Steiner tree:\r\n\r\n```\r\n- set_global_routing_layer_adjustment $env(MIN_ROUTING_LAYER)-$env(MAX_ROUTING_LAYER) 0.5\r\n+ set_global_routing_layer_adjustment $env(MIN_ROUTING_LAYER)-$env(MAX_ROUTING_LAYER) 0.4\r\n```\r\n\r\n![tree2d-40route_reduction](https://user-images.githubusercontent.com/2029971/177469569-11aa5ccd-35ea-4c16-a601-01843e1e4dd8.png)\r\n\r\nAnd setup slack also improves. So we tried to maintain the Steiner tree layout, but ran out of routing resources and modified it significantly. Is this the multi pin maze routing in the global router?\r\n\r\nI was trying to think of ways we can improve this (either through better parameters for this design, or changes to OR and ORFS). Some thoughts:\r\n\r\nOR changes:\r\n- Global routing should resist modifying the Steiner tree layout for any net on the n% worst timing paths (both setup or hold I would think). Either route them first, or tag them so maze routing doesn't alter the Steiner tree layout.\r\n- Add an ECO stage to fix setup (and hold) violations after detailed routing (we've discussed the value of an ECO flow for hold elsewhere)\r\n\r\nORFS changes:\r\n- Reduce `set_global_routing_layer_adjustment`. The default value used by ASAP7 of 50% seems high, and I confirmed reducing this does result in the tree more or less matching the original Steiner tree, and the the setup slack for this path improves. \r\n- Specify a reasonable max fan out (`set_max_fanout`) for ASAP7 (and perhaps other platforms). The problem net has a very large fan out (72), and this issue would seem to affect larger fan out nets more. We can't rely on other parameters driving the resizer, because in it's original layout we didn't need to do fan out management. As a test, I specified a max fan out of 20 and it improved the setup slack significantly.\r\n- Run another pass of resizer timing fixup after global routing. This is an option on Openlane. Since we have to go through another pass of detailed placement and global routing, this could back fire (there's nothing to prevent a significant amount of the design getting rerouted). Would an incremental global route step in OR help here? We need that for an ECO flow anyway.\r\n\r\nDesign changes (ie me)\r\n- Increase the slack margin to overfix the design. This is a bit of a blunt hammer\r\n- Reduce density of my design so there are more routing resources available\r\n\r\n@maliberty, @jjcherry56, @tspyrou any thoughts?"}, {"assistant": "\"taping out on ASAP7\" makes no sense as it is an academic process. Do you mean testing?"}, {"user": "@maliberty yeah, just producing a GDS. With sky130hd being so slow, I wanted a second PDK to test my multiplier design on."}, {"assistant": "@antonblanchard you can try tweaking the global routing script to run another repair design after global routing. We haven't turned this on by default yet but OpenLane has."}, {"assistant": "BTW @antonblanchard - Have you looked at the work Teo did to explore adders? The eventual goal was to expand that to other things like multipliers too."}, {"assistant": "The key idea is to make global router more timing aware in its decision about which nets to reroute to fix congestion. I think that is a reasonable goal as the current method (FastRouteCore::StNetOrder) is based only on congestion.\r\n\r\nRepair after detailed routing is a good goal but to make it really work we need an incremental detailed router which we don't have currently. It is a non-trivial project that we will do eventually.\r\n\r\nLibrary max fanout is often larger than the optimal fanout. We have some manual controls but could better automate selection."}, {"assistant": "@luis201420 please look at making rip-up-reroute timing aware (@eder-matheus can help)."}, {"assistant": "repair_design after global routing is pretty hopeless at this point because the global router just pretends to be incremental. It is just too slow.\r\n\r\nThe first place I would look is the RC values. I do not know if they have been correlated for this technology and that is one way to get the sort of discrepancy you are seeing. It looks like the resistance used in the placement based parasitics is too low. Notice that the capacitances are very close. But the slews are very different. That is a resistance issue.\r\n\r\nrepair_design does not make any attempt to address timing paths. It only addresses electrical rules; max slew, max cap and fanout and brings the design into reasonable slew values across the board. So looking at timing paths is sort of pointless. Of course they are going to differ. Timing paths are addressed with repair_timing, it it should break up the net so the path is not so slow (assuming it is limiting timing). It that is not happening it is an issue, but it has nothing to do with placement based timing. So the real issue is are there max slew violations that still exist after global and/or detailed routing. Over correcting when repairing slews is not such a horrible thing because you really don't want to be anywhere near max slew because of how much power those nets will burn up."}, {"user": "> The first place I would look is the RC values. I do not know if they have been correlated for this technology and that is one way to get the sort of discrepancy you are seeing. It looks like the resistance used in the placement based parasitics is too low. Notice that the capacitances are very close. But the slews are very different. That is a resistance issue.\r\n\r\nI updated the RC values at https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/524"}, {"user": "I've revisited this issue after fixing the RC layer estimates. Working with OpenROAD git SHA1 d4c41f0b5d1627e0c6bad8362006a51134011fc9.\r\n\r\nThis path just makes timing (with ~10ps slack) when looking at `estimate_parasitics -placement`:\r\n\r\n```\r\nStartpoint: a[7] (input port clocked by clk)\r\nEndpoint: _574_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 v input external delay\r\n 0.00 0.00 50.00 v a[7] (in)\r\n 1 2.90 a[7] (net)\r\n 0.29 0.09 50.09 v input62/A (BUFx24_ASAP7_75t_R)\r\n 9.95 16.45 66.54 v input62/Y (BUFx24_ASAP7_75t_R)\r\n 20 47.62 net62 (net) <--- look here\r\n 100.03 30.50 97.04 v U$$414/A (AND2x4_ASAP7_75t_R)\r\n 9.17 38.02 135.06 v U$$414/Y (AND2x4_ASAP7_75t_R)\r\n 1 1.27 t$8795 (net)\r\n 9.17 0.11 135.18 v U$$415/B1 (AO32x2_ASAP7_75t_R)\r\n 15.55 29.42 164.60 v U$$415/Y (AO32x2_ASAP7_75t_R)\r\n 1 2.87 sel_0$8796 (net)\r\n 15.55 0.12 164.72 v rebuffer415/A (BUFx12f_ASAP7_75t_R)\r\n 13.28 17.25 181.97 v rebuffer415/Y (BUFx12f_ASAP7_75t_R)\r\n 8 17.95 net967 (net)\r\n 24.82 6.79 188.77 v U$$517/A2 (AO22x2_ASAP7_75t_R)\r\n 10.98 23.35 212.12 v U$$517/Y (AO22x2_ASAP7_75t_R)\r\n 1 1.68 t$8848 (net)\r\n 10.98 0.01 212.13 v U$$518/A (XOR2x1_ASAP7_75t_R)\r\n 38.37 23.31 235.45 v U$$518/Y (XOR2x1_ASAP7_75t_R)\r\n 1 2.07 booth_b6_m50 (net)\r\n 38.37 0.02 235.47 v dadda_fa_0_56_1/A (FAx1_ASAP7_75t_R)\r\n 28.62 43.28 278.75 v dadda_fa_0_56_1/SN (FAx1_ASAP7_75t_R)\r\n 1 0.95 sn$14 (net)\r\n 28.62 0.04 278.79 v U$$4536/A (INVx1_ASAP7_75t_R)\r\n 17.86 14.39 293.18 ^ U$$4536/Y (INVx1_ASAP7_75t_R)\r\n 1 1.78 s$487 (net)\r\n 17.86 0.05 293.23 ^ dadda_fa_1_56_8/CI (FAx1_ASAP7_75t_R)\r\n 22.55 17.21 310.44 v dadda_fa_1_56_8/CON (FAx1_ASAP7_75t_R)\r\n 8 0.92 con$483 (net)\r\n 22.55 0.03 310.47 v U$$4993/A (INVx1_ASAP7_75t_R)\r\n 18.30 14.29 324.76 ^ U$$4993/Y (INVx1_ASAP7_75t_R)\r\n 1 2.13 c$1859 (net)\r\n 18.30 0.05 324.80 ^ dadda_fa_2_57_3/A (FAx1_ASAP7_75t_R)\r\n 22.56 35.48 360.28 v dadda_fa_2_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 0.74 sn$1858 (net)\r\n 22.56 0.01 360.29 v U$$5938/A (INVx1_ASAP7_75t_R)\r\n 18.76 14.61 374.90 ^ U$$5938/Y (INVx1_ASAP7_75t_R)\r\n 1 2.23 s$3659 (net)\r\n 18.76 0.02 374.92 ^ dadda_fa_3_57_3/B (FAx1_ASAP7_75t_R)\r\n 30.56 40.32 415.23 v dadda_fa_3_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 1.11 sn$3657 (net)\r\n 30.56 0.06 415.30 v U$$6822/A (INVx1_ASAP7_75t_R)\r\n 18.71 15.00 430.30 ^ U$$6822/Y (INVx1_ASAP7_75t_R)\r\n 1 1.83 s$5306 (net)\r\n 18.71 0.06 430.37 ^ dadda_fa_4_57_2/CI (FAx1_ASAP7_75t_R)\r\n 30.84 33.85 464.22 ^ dadda_fa_4_57_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.80 sn$5303 (net)\r\n 30.84 0.01 464.23 ^ U$$7558/A (INVx1_ASAP7_75t_R)\r\n 16.41 13.00 477.23 v U$$7558/Y (INVx1_ASAP7_75t_R)\r\n 1 1.82 s$6688 (net)\r\n 16.41 0.06 477.29 v dadda_fa_5_57_1/CI (FAx1_ASAP7_75t_R)\r\n 29.59 19.27 496.56 ^ dadda_fa_5_57_1/CON (FAx1_ASAP7_75t_R)\r\n 8 0.76 con$6684 (net)\r\n 29.59 0.01 496.57 ^ U$$8147/A (INVx1_ASAP7_75t_R)\r\n 18.00 14.19 510.75 v U$$8147/Y (INVx1_ASAP7_75t_R)\r\n 1 2.22 c$7615 (net)\r\n 18.00 0.02 510.77 v dadda_fa_6_58_0/B (FAx1_ASAP7_75t_R)\r\n 30.24 39.89 550.66 v dadda_fa_6_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.10 sn$7613 (net)\r\n 30.24 0.06 550.72 v U$$8534/A (INVx1_ASAP7_75t_R)\r\n 17.68 14.41 565.13 ^ U$$8534/Y (INVx1_ASAP7_75t_R)\r\n 1 1.68 s$8242 (net)\r\n 17.68 0.02 565.15 ^ dadda_fa_7_58_0/CI (FAx1_ASAP7_75t_R)\r\n 31.55 33.76 598.91 ^ dadda_fa_7_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.81 sn$8239 (net)\r\n 31.55 0.02 598.93 ^ U$$8790/A (INVx1_ASAP7_75t_R)\r\n 10.41 8.61 607.53 v U$$8790/Y (INVx1_ASAP7_75t_R)\r\n 1 0.74 s$10982 (net)\r\n 10.41 0.01 607.55 v _574_/D (DFFLQNx2_ASAP7_75t_R)\r\n 607.55 data arrival time\r\n\r\n 500.00 500.00 clock clk' (fall edge)\r\n 0.00 500.00 clock source latency\r\n 0.00 0.00 500.00 ^ clk (in)\r\n 1 5.73 clk (net)\r\n 5.96 1.88 501.88 ^ clkbuf_0_clk/A (BUFx4_ASAP7_75t_R)\r\n 10.74 18.78 520.66 ^ clkbuf_0_clk/Y (BUFx4_ASAP7_75t_R)\r\n 2 3.52 clknet_0_clk (net)\r\n 10.75 0.18 520.84 ^ clkbuf_1_1__f_clk/A (BUFx4_ASAP7_75t_R)\r\n 22.42 25.50 546.34 ^ clkbuf_1_1__f_clk/Y (BUFx4_ASAP7_75t_R)\r\n 5 10.46 clknet_1_1__leaf_clk (net)\r\n 22.52 0.89 547.23 ^ clkbuf_leaf_4_clk/A (BUFx4_ASAP7_75t_R)\r\n 111.67 65.71 612.93 ^ clkbuf_leaf_4_clk/Y (BUFx4_ASAP7_75t_R)\r\n 30 66.27 clknet_leaf_4_clk (net)\r\n 112.11 4.03 616.97 ^ net726_198/A (INVx3_ASAP7_75t_R)\r\n 14.83 7.58 624.54 v net726_198/Y (INVx3_ASAP7_75t_R)\r\n 1 0.70 net750 (net)\r\n 14.83 0.02 624.56 v _574_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 624.56 clock reconvergence pessimism\r\n -6.93 617.64 library setup time\r\n 617.64 data required time\r\n-----------------------------------------------------------------------------\r\n 617.64 data required time\r\n -607.55 data arrival time\r\n-----------------------------------------------------------------------------\r\n 10.09 slack (MET)\r\n```\r\n\r\nAfter global routing (ie `estimate_parasitics -global_routing`), the same path now has -57ps slack:\r\n\r\n```\r\nStartpoint: a[7] (input port clocked by clk)\r\nEndpoint: _574_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 v input external delay\r\n 0.00 0.00 50.00 v a[7] (in)\r\n 1 2.91 a[7] (net)\r\n 0.42 0.13 50.13 v input62/A (BUFx24_ASAP7_75t_R)\r\n 8.62 15.99 66.13 v input62/Y (BUFx24_ASAP7_75t_R)\r\n 20 47.24 net62 (net) <---- look here\r\n 209.69 65.71 131.84 v U$$414/A (AND2x4_ASAP7_75t_R)\r\n 12.35 52.64 184.48 v U$$414/Y (AND2x4_ASAP7_75t_R)\r\n 1 1.42 t$8795 (net)\r\n 12.35 0.17 184.65 v U$$415/B1 (AO32x2_ASAP7_75t_R)\r\n 15.26 30.34 214.99 v U$$415/Y (AO32x2_ASAP7_75t_R)\r\n 1 2.91 sel_0$8796 (net)\r\n 15.27 0.25 215.24 v rebuffer415/A (BUFx12f_ASAP7_75t_R)\r\n 13.79 17.07 232.31 v rebuffer415/Y (BUFx12f_ASAP7_75t_R)\r\n 8 18.13 net967 (net)\r\n 24.96 6.82 239.13 v U$$517/A2 (AO22x2_ASAP7_75t_R)\r\n 11.94 23.45 262.58 v U$$517/Y (AO22x2_ASAP7_75t_R)\r\n 1 1.74 t$8848 (net)\r\n 11.94 0.10 262.68 v U$$518/A (XOR2x1_ASAP7_75t_R)\r\n 50.29 23.66 286.34 v U$$518/Y (XOR2x1_ASAP7_75t_R)\r\n 1 2.13 booth_b6_m50 (net)\r\n 50.29 0.09 286.43 v dadda_fa_0_56_1/A (FAx1_ASAP7_75t_R)\r\n 30.74 46.03 332.46 v dadda_fa_0_56_1/SN (FAx1_ASAP7_75t_R)\r\n 1 0.97 sn$14 (net)\r\n 30.74 0.11 332.57 v U$$4536/A (INVx1_ASAP7_75t_R)\r\n 19.27 15.29 347.86 ^ U$$4536/Y (INVx1_ASAP7_75t_R)\r\n 1 1.91 s$487 (net)\r\n 19.27 0.14 348.00 ^ dadda_fa_1_56_8/CI (FAx1_ASAP7_75t_R)\r\n 23.52 18.07 366.07 v dadda_fa_1_56_8/CON (FAx1_ASAP7_75t_R)\r\n 8 1.01 con$483 (net)\r\n 23.52 0.07 366.14 v U$$4993/A (INVx1_ASAP7_75t_R)\r\n 19.12 14.76 380.89 ^ U$$4993/Y (INVx1_ASAP7_75t_R)\r\n 1 2.22 c$1859 (net)\r\n 19.13 0.16 381.05 ^ dadda_fa_2_57_3/A (FAx1_ASAP7_75t_R)\r\n 22.84 35.87 416.92 v dadda_fa_2_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 0.76 sn$1858 (net)\r\n 22.84 0.03 416.95 v U$$5938/A (INVx1_ASAP7_75t_R)\r\n 18.79 14.62 431.57 ^ U$$5938/Y (INVx1_ASAP7_75t_R)\r\n 1 2.21 s$3659 (net)\r\n 18.79 0.05 431.62 ^ dadda_fa_3_57_3/B (FAx1_ASAP7_75t_R)\r\n 33.25 42.00 473.62 v dadda_fa_3_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 1.23 sn$3657 (net)\r\n 33.25 0.13 473.74 v U$$6822/A (INVx1_ASAP7_75t_R)\r\n 19.66 15.67 489.41 ^ U$$6822/Y (INVx1_ASAP7_75t_R)\r\n 1 1.87 s$5306 (net)\r\n 19.67 0.14 489.56 ^ dadda_fa_4_57_2/CI (FAx1_ASAP7_75t_R)\r\n 32.01 34.96 524.52 ^ dadda_fa_4_57_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.86 sn$5303 (net)\r\n 32.01 0.05 524.57 ^ U$$7558/A (INVx1_ASAP7_75t_R)\r\n 17.35 13.53 538.10 v U$$7558/Y (INVx1_ASAP7_75t_R)\r\n 1 1.93 s$6688 (net)\r\n 17.35 0.15 538.25 v dadda_fa_5_57_1/CI (FAx1_ASAP7_75t_R)\r\n 29.74 19.54 557.80 ^ dadda_fa_5_57_1/CON (FAx1_ASAP7_75t_R)\r\n 8 0.76 con$6684 (net)\r\n 29.74 0.03 557.82 ^ U$$8147/A (INVx1_ASAP7_75t_R)\r\n 18.35 14.36 572.19 v U$$8147/Y (INVx1_ASAP7_75t_R)\r\n 1 2.28 c$7615 (net)\r\n 18.35 0.08 572.27 v dadda_fa_6_58_0/B (FAx1_ASAP7_75t_R)\r\n 32.85 41.61 613.88 v dadda_fa_6_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.21 sn$7613 (net)\r\n 32.86 0.13 614.01 v U$$8534/A (INVx1_ASAP7_75t_R)\r\n 18.60 15.08 629.09 ^ U$$8534/Y (INVx1_ASAP7_75t_R)\r\n 1 1.72 s$8242 (net)\r\n 18.60 0.06 629.15 ^ dadda_fa_7_58_0/CI (FAx1_ASAP7_75t_R)\r\n 32.34 34.45 663.60 ^ dadda_fa_7_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.84 sn$8239 (net)\r\n 32.34 0.06 663.66 ^ U$$8790/A (INVx1_ASAP7_75t_R)\r\n 10.68 8.76 672.42 v U$$8790/Y (INVx1_ASAP7_75t_R)\r\n 1 0.75 s$10982 (net)\r\n 10.68 0.05 672.47 v _574_/D (DFFLQNx2_ASAP7_75t_R)\r\n 672.47 data arrival time\r\n\r\n 500.00 500.00 clock clk' (fall edge)\r\n 0.00 500.00 clock source latency\r\n 0.00 0.00 500.00 ^ clk (in)\r\n 1 5.64 clk (net)\r\n 3.64 1.15 501.15 ^ clkbuf_0_clk/A (BUFx4_ASAP7_75t_R)\r\n 11.41 18.37 519.52 ^ clkbuf_0_clk/Y (BUFx4_ASAP7_75t_R)\r\n 2 3.91 clknet_0_clk (net)\r\n 11.43 0.26 519.78 ^ clkbuf_1_1__f_clk/A (BUFx4_ASAP7_75t_R)\r\n 23.27 25.38 545.16 ^ clkbuf_1_1__f_clk/Y (BUFx4_ASAP7_75t_R)\r\n 5 10.65 clknet_1_1__leaf_clk (net)\r\n 23.59 1.57 546.73 ^ clkbuf_leaf_4_clk/A (BUFx4_ASAP7_75t_R)\r\n 114.13 62.96 609.69 ^ clkbuf_leaf_4_clk/Y (BUFx4_ASAP7_75t_R)\r\n 30 65.92 clknet_leaf_4_clk (net)\r\n 114.64 4.51 614.20 ^ net726_198/A (INVx3_ASAP7_75t_R)\r\n 15.18 7.76 621.96 v net726_198/Y (INVx3_ASAP7_75t_R)\r\n 1 0.75 net750 (net)\r\n 15.18 0.05 622.01 v _574_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 622.01 clock reconvergence pessimism\r\n -6.88 615.13 library setup time\r\n 615.13 data required time\r\n-----------------------------------------------------------------------------\r\n 615.13 data required time\r\n -672.47 data arrival time\r\n-----------------------------------------------------------------------------\r\n -57.34 slack (VIOLATED)\r\n```\r\n\r\nA lot of the problem is `net62` which is a high fan out net (20). Looking at the steiner graph (input62 is bottom right, U$$414 is bottom left):\r\n\r\n![net62](https://user-images.githubusercontent.com/2029971/180127403-ad245377-d04b-415a-86f1-ad0e4ee751c0.png)\r\n\r\nAnd the 2D global route layout:\r\n\r\n![net62-2d](https://user-images.githubusercontent.com/2029971/180127488-588e46de-913e-45b9-8170-b419c8bd0ec5.png)\r\n\r\nSimilar problem to the previous one, we've made a modification from the steiner graph layout in a way that is pretty detrimental to a critical net.\r\n\r\nI hacked GRT to not rip up and reroute net62 via this patch:\r\n\r\n```\r\ndiff --git a/src/grt/src/fastroute/src/RipUp.cpp b/src/grt/src/fastroute/src/RipUp.cpp\r\nindex 4159a638e..8d8903e57 100644\r\n--- a/src/grt/src/fastroute/src/RipUp.cpp\r\n+++ b/src/grt/src/fastroute/src/RipUp.cpp\r\n@@ -48,6 +48,11 @@ void FastRouteCore::ripupSegL(const Segment* seg)\r\n const int ymin = std::min(seg->y1, seg->y2);\r\n const int ymax = std::max(seg->y1, seg->y2);\r\n \r\n+#if 1\r\n+ if (!strcmp(\"net62\", netName(nets_[seg->netID])))\r\n+ return;\r\n+#endif\r\n+\r\n // remove L routing\r\n if (seg->xFirst) {\r\n for (int i = seg->x1; i < seg->x2; i++)\r\n@@ -451,6 +456,11 @@ void FastRouteCore::newRipupNet(const int netID)\r\n const TreeNode* treenodes = sttrees_[netID].nodes;\r\n const int deg = sttrees_[netID].deg;\r\n \r\n+#if 1\r\n+ if (!strcmp(\"net62\", netName(nets_[netID])))\r\n+ return;\r\n+#endif\r\n+\r\n for (int edgeID = 0; edgeID < 2 * deg - 3; edgeID++) {\r\n const TreeEdge* treeedge = &(treeedges[edgeID]);\r\n if (treeedge->len > 0) {\r\n```\r\n\r\nAnd it fixed the issue with net62:\r\n\r\n```\r\nStartpoint: a[7] (input port clocked by clk)\r\nEndpoint: _574_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 v input external delay\r\n 0.00 0.00 50.00 v a[7] (in)\r\n 1 2.91 a[7] (net)\r\n 0.42 0.13 50.13 v input62/A (BUFx24_ASAP7_75t_R)\r\n 10.27 16.62 66.75 v input62/Y (BUFx24_ASAP7_75t_R)\r\n 20 49.63 net62 (net) <---- much better\r\n 90.99 27.36 94.11 v U$$414/A (AND2x4_ASAP7_75t_R)\r\n 9.06 36.80 130.91 v U$$414/Y (AND2x4_ASAP7_75t_R)\r\n 1 1.42 t$8795 (net)\r\n 9.06 0.17 131.08 v U$$415/B1 (AO32x2_ASAP7_75t_R)\r\n 15.23 29.41 160.49 v U$$415/Y (AO32x2_ASAP7_75t_R)\r\n 1 2.91 sel_0$8796 (net)\r\n 15.24 0.25 160.74 v rebuffer415/A (BUFx12f_ASAP7_75t_R)\r\n 13.89 17.08 177.82 v rebuffer415/Y (BUFx12f_ASAP7_75t_R)\r\n 8 18.16 net967 (net)\r\n 24.65 6.70 184.52 v U$$517/A2 (AO22x2_ASAP7_75t_R)\r\n 12.09 23.39 207.91 v U$$517/Y (AO22x2_ASAP7_75t_R)\r\n 1 1.74 t$8848 (net)\r\n 12.09 0.10 208.00 v U$$518/A (XOR2x1_ASAP7_75t_R)\r\n 39.51 23.70 231.70 v U$$518/Y (XOR2x1_ASAP7_75t_R)\r\n 1 2.13 booth_b6_m50 (net)\r\n 39.51 0.09 231.79 v dadda_fa_0_56_1/A (FAx1_ASAP7_75t_R)\r\n 29.16 43.86 275.66 v dadda_fa_0_56_1/SN (FAx1_ASAP7_75t_R)\r\n 1 0.97 sn$14 (net)\r\n 29.16 0.11 275.77 v U$$4536/A (INVx1_ASAP7_75t_R)\r\n 18.87 14.95 290.71 ^ U$$4536/Y (INVx1_ASAP7_75t_R)\r\n 1 1.91 s$487 (net)\r\n 18.87 0.14 290.85 ^ dadda_fa_1_56_8/CI (FAx1_ASAP7_75t_R)\r\n 23.50 17.95 308.80 v dadda_fa_1_56_8/CON (FAx1_ASAP7_75t_R)\r\n 8 1.01 con$483 (net)\r\n 23.51 0.07 308.87 v U$$4993/A (INVx1_ASAP7_75t_R)\r\n 19.12 14.75 323.62 ^ U$$4993/Y (INVx1_ASAP7_75t_R)\r\n 1 2.22 c$1859 (net)\r\n 19.12 0.16 323.78 ^ dadda_fa_2_57_3/A (FAx1_ASAP7_75t_R)\r\n 22.84 35.87 359.65 v dadda_fa_2_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 0.76 sn$1858 (net)\r\n 22.84 0.03 359.68 v U$$5938/A (INVx1_ASAP7_75t_R)\r\n 18.79 14.62 374.30 ^ U$$5938/Y (INVx1_ASAP7_75t_R)\r\n 1 2.21 s$3659 (net)\r\n 18.79 0.05 374.34 ^ dadda_fa_3_57_3/B (FAx1_ASAP7_75t_R)\r\n 33.25 42.00 416.35 v dadda_fa_3_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 1.23 sn$3657 (net)\r\n 33.25 0.13 416.47 v U$$6822/A (INVx1_ASAP7_75t_R)\r\n 19.66 15.67 432.14 ^ U$$6822/Y (INVx1_ASAP7_75t_R)\r\n 1 1.87 s$5306 (net)\r\n 19.66 0.14 432.28 ^ dadda_fa_4_57_2/CI (FAx1_ASAP7_75t_R)\r\n 32.01 34.96 467.24 ^ dadda_fa_4_57_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.86 sn$5303 (net)\r\n 32.02 0.05 467.30 ^ U$$7558/A (INVx1_ASAP7_75t_R)\r\n 17.35 13.53 480.83 v U$$7558/Y (INVx1_ASAP7_75t_R)\r\n 1 1.93 s$6688 (net)\r\n 17.35 0.15 480.98 v dadda_fa_5_57_1/CI (FAx1_ASAP7_75t_R)\r\n 29.74 19.54 500.52 ^ dadda_fa_5_57_1/CON (FAx1_ASAP7_75t_R)\r\n 8 0.76 con$6684 (net)\r\n 29.74 0.03 500.55 ^ U$$8147/A (INVx1_ASAP7_75t_R)\r\n 18.35 14.36 514.91 v U$$8147/Y (INVx1_ASAP7_75t_R)\r\n 1 2.28 c$7615 (net)\r\n 18.35 0.08 515.00 v dadda_fa_6_58_0/B (FAx1_ASAP7_75t_R)\r\n 32.16 41.12 556.11 v dadda_fa_6_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.18 sn$7613 (net)\r\n 32.16 0.15 556.26 v U$$8534/A (INVx1_ASAP7_75t_R)\r\n 18.43 14.94 571.20 ^ U$$8534/Y (INVx1_ASAP7_75t_R)\r\n 1 1.72 s$8242 (net)\r\n 18.43 0.06 571.26 ^ dadda_fa_7_58_0/CI (FAx1_ASAP7_75t_R)\r\n 32.34 34.40 605.66 ^ dadda_fa_7_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.84 sn$8239 (net)\r\n 32.34 0.06 605.72 ^ U$$8790/A (INVx1_ASAP7_75t_R)\r\n 10.68 8.76 614.48 v U$$8790/Y (INVx1_ASAP7_75t_R)\r\n 1 0.75 s$10982 (net)\r\n 10.68 0.05 614.53 v _574_/D (DFFLQNx2_ASAP7_75t_R)\r\n 614.53 data arrival time\r\n\r\n 500.00 500.00 clock clk' (fall edge)\r\n 0.00 500.00 clock source latency\r\n 0.00 0.00 500.00 ^ clk (in)\r\n 1 5.67 clk (net)\r\n 3.76 1.19 501.19 ^ clkbuf_0_clk/A (BUFx4_ASAP7_75t_R)\r\n 11.00 18.21 519.39 ^ clkbuf_0_clk/Y (BUFx4_ASAP7_75t_R)\r\n 2 3.67 clknet_0_clk (net)\r\n 11.03 0.28 519.67 ^ clkbuf_1_1__f_clk/A (BUFx4_ASAP7_75t_R)\r\n 23.05 25.50 545.17 ^ clkbuf_1_1__f_clk/Y (BUFx4_ASAP7_75t_R)\r\n 5 10.70 clknet_1_1__leaf_clk (net)\r\n 23.35 1.47 546.64 ^ clkbuf_leaf_4_clk/A (BUFx4_ASAP7_75t_R)\r\n 114.01 62.55 609.19 ^ clkbuf_leaf_4_clk/Y (BUFx4_ASAP7_75t_R)\r\n 30 65.92 clknet_leaf_4_clk (net)\r\n 114.58 4.75 613.94 ^ net726_198/A (INVx3_ASAP7_75t_R)\r\n 15.18 7.76 621.70 v net726_198/Y (INVx3_ASAP7_75t_R)\r\n 1 0.75 net750 (net)\r\n 15.18 0.05 621.75 v _574_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 621.75 clock reconvergence pessimism\r\n -6.88 614.87 library setup time\r\n 614.87 data required time\r\n-----------------------------------------------------------------------------\r\n 614.87 data required time\r\n -614.53 data arrival time\r\n-----------------------------------------------------------------------------\r\n 0.34 slack (MET)\r\n```\r\n\r\nTest case: \r\n[grt-ripup-large-net.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9156110/grt-ripup-large-net.tar.gz) (see `doit.tcl`)\r\n"}, {"assistant": "@eder-matheus @luis201420 please use this as a test case for your timing driven ripup in grt. Can we achieve a similar result with the net specific hacking?"}, {"user": "I ran the design all the way through detailed routing, before and after the net specific hack. I noticed one of the modifications to the net was tapping off at the mid point of a wire, and wondered if `estimate_parasitics -global_routing` could give pessimistic results in that case.\r\n\r\nAnyway, the issue is still there after DRT, if a little less prononounced:\r\n\r\n```\r\nStartpoint: a[7] (input port clocked by clk)\r\nEndpoint: _574_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 v input external delay\r\n 0.00 0.00 50.00 v a[7] (in)\r\n 1 2.87 a[7] (net)\r\n 0.44 0.14 50.14 v input62/A (BUFx24_ASAP7_75t_R)\r\n 8.54 15.92 66.06 v input62/Y (BUFx24_ASAP7_75t_R)\r\n 20 50.09 net62 (net) <------ here\r\n 149.80 46.60 112.66 v U$$414/A (AND2x4_ASAP7_75t_R)\r\n 11.06 45.89 158.55 v U$$414/Y (AND2x4_ASAP7_75t_R)\r\n 1 1.75 t$8795 (net)\r\n 11.07 0.24 158.79 v U$$415/B1 (AO32x2_ASAP7_75t_R)\r\n 14.33 29.01 187.80 v U$$415/Y (AO32x2_ASAP7_75t_R)\r\n 1 2.99 sel_0$8796 (net)\r\n 14.34 0.24 188.04 v rebuffer415/A (BUFx12f_ASAP7_75t_R)\r\n 12.72 16.22 204.26 v rebuffer415/Y (BUFx12f_ASAP7_75t_R)\r\n 8 20.37 net967 (net)\r\n 32.24 9.55 213.80 v U$$517/A2 (AO22x2_ASAP7_75t_R)\r\n 12.91 24.46 238.26 v U$$517/Y (AO22x2_ASAP7_75t_R)\r\n 1 1.69 t$8848 (net)\r\n 12.91 0.07 238.34 v U$$518/A (XOR2x1_ASAP7_75t_R)\r\n 41.48 23.29 261.63 v U$$518/Y (XOR2x1_ASAP7_75t_R)\r\n 1 2.04 booth_b6_m50 (net)\r\n 41.48 0.07 261.69 v dadda_fa_0_56_1/A (FAx1_ASAP7_75t_R)\r\n 27.68 42.19 303.88 v dadda_fa_0_56_1/SN (FAx1_ASAP7_75t_R)\r\n 1 1.00 sn$14 (net)\r\n 27.68 0.07 303.96 v U$$4536/A (INVx1_ASAP7_75t_R)\r\n 16.50 13.46 317.42 ^ U$$4536/Y (INVx1_ASAP7_75t_R)\r\n 1 1.90 s$487 (net)\r\n 16.51 0.12 317.54 ^ dadda_fa_1_56_8/CI (FAx1_ASAP7_75t_R)\r\n 22.34 16.76 334.30 v dadda_fa_1_56_8/CON (FAx1_ASAP7_75t_R)\r\n 8 1.09 con$483 (net)\r\n 22.34 0.07 334.36 v U$$4993/A (INVx1_ASAP7_75t_R)\r\n 16.91 13.33 347.69 ^ U$$4993/Y (INVx1_ASAP7_75t_R)\r\n 1 2.09 c$1859 (net)\r\n 16.92 0.14 347.83 ^ dadda_fa_2_57_3/A (FAx1_ASAP7_75t_R)\r\n 20.20 32.57 380.40 v dadda_fa_2_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 0.75 sn$1858 (net)\r\n 20.20 0.02 380.42 v U$$5938/A (INVx1_ASAP7_75t_R)\r\n 16.30 12.89 393.31 ^ U$$5938/Y (INVx1_ASAP7_75t_R)\r\n 1 2.23 s$3659 (net)\r\n 16.30 0.07 393.38 ^ dadda_fa_3_57_3/B (FAx1_ASAP7_75t_R)\r\n 32.26 40.58 433.97 v dadda_fa_3_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 1.36 sn$3657 (net)\r\n 32.26 0.13 434.09 v U$$6822/A (INVx1_ASAP7_75t_R)\r\n 18.38 14.78 448.87 ^ U$$6822/Y (INVx1_ASAP7_75t_R)\r\n 1 2.02 s$5306 (net)\r\n 18.39 0.20 449.07 ^ dadda_fa_4_57_2/CI (FAx1_ASAP7_75t_R)\r\n 27.97 31.63 480.71 ^ dadda_fa_4_57_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.82 sn$5303 (net)\r\n 27.97 0.04 480.75 ^ U$$7558/A (INVx1_ASAP7_75t_R)\r\n 14.54 11.70 492.44 v U$$7558/Y (INVx1_ASAP7_75t_R)\r\n 1 1.91 s$6688 (net)\r\n 14.54 0.12 492.56 v dadda_fa_5_57_1/CI (FAx1_ASAP7_75t_R)\r\n 27.27 17.42 509.99 ^ dadda_fa_5_57_1/CON (FAx1_ASAP7_75t_R)\r\n 8 0.73 con$6684 (net)\r\n 27.27 0.01 510.00 ^ U$$8147/A (INVx1_ASAP7_75t_R)\r\n 15.72 12.46 522.46 v U$$8147/Y (INVx1_ASAP7_75t_R)\r\n 1 2.21 c$7615 (net)\r\n 15.72 0.07 522.53 v dadda_fa_6_58_0/B (FAx1_ASAP7_75t_R)\r\n 35.19 42.37 564.90 v dadda_fa_6_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.49 sn$7613 (net)\r\n 35.19 0.13 565.03 v U$$8534/A (INVx1_ASAP7_75t_R)\r\n 17.00 14.12 579.16 ^ U$$8534/Y (INVx1_ASAP7_75t_R)\r\n 1 1.70 s$8242 (net)\r\n 17.00 0.06 579.22 ^ dadda_fa_7_58_0/CI (FAx1_ASAP7_75t_R)\r\n 28.48 31.06 610.28 ^ dadda_fa_7_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.81 sn$8239 (net)\r\n 28.48 0.04 610.32 ^ U$$8790/A (INVx1_ASAP7_75t_R)\r\n 9.60 8.03 618.35 v U$$8790/Y (INVx1_ASAP7_75t_R)\r\n 1 0.75 s$10982 (net)\r\n 9.60 0.04 618.40 v _574_/D (DFFLQNx2_ASAP7_75t_R)\r\n 618.40 data arrival time\r\n\r\n 500.00 500.00 clock clk' (fall edge)\r\n 0.00 500.00 clock source latency\r\n 0.00 0.00 500.00 ^ clk (in)\r\n 1 5.81 clk (net)\r\n 5.06 1.60 501.60 ^ clkbuf_0_clk/A (BUFx4_ASAP7_75t_R)\r\n 12.30 19.14 520.73 ^ clkbuf_0_clk/Y (BUFx4_ASAP7_75t_R)\r\n 2 4.34 clknet_0_clk (net)\r\n 12.34 0.41 521.14 ^ clkbuf_1_1__f_clk/A (BUFx4_ASAP7_75t_R)\r\n 23.90 25.71 546.85 ^ clkbuf_1_1__f_clk/Y (BUFx4_ASAP7_75t_R)\r\n 5 11.04 clknet_1_1__leaf_clk (net)\r\n 24.38 1.90 548.75 ^ clkbuf_leaf_4_clk/A (BUFx4_ASAP7_75t_R)\r\n 94.58 53.33 602.08 ^ clkbuf_leaf_4_clk/Y (BUFx4_ASAP7_75t_R)\r\n 30 53.44 clknet_leaf_4_clk (net)\r\n 95.52 5.56 607.64 ^ net726_198/A (INVx3_ASAP7_75t_R)\r\n 13.45 7.41 615.05 v net726_198/Y (INVx3_ASAP7_75t_R)\r\n 1 0.71 net750 (net)\r\n 13.45 0.06 615.11 v _574_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 615.11 clock reconvergence pessimism\r\n -7.13 607.98 library setup time\r\n 607.98 data required time\r\n-----------------------------------------------------------------------------\r\n 607.98 data required time\r\n -618.40 data arrival time\r\n-----------------------------------------------------------------------------\r\n -10.41 slack (VIOLATED)\r\n```\r\n\r\n```\r\nStartpoint: a[7] (input port clocked by clk)\r\nEndpoint: _574_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 v input external delay\r\n 0.00 0.00 50.00 v a[7] (in)\r\n 1 2.87 a[7] (net)\r\n 0.44 0.14 50.14 v input62/A (BUFx24_ASAP7_75t_R)\r\n 9.29 16.23 66.37 v input62/Y (BUFx24_ASAP7_75t_R)\r\n 20 52.26 net62 (net) <---- here\r\n 92.25 27.67 94.04 v U$$414/A (AND2x4_ASAP7_75t_R)\r\n 9.25 37.12 131.16 v U$$414/Y (AND2x4_ASAP7_75t_R)\r\n 1 1.75 t$8795 (net)\r\n 9.27 0.23 131.39 v U$$415/B1 (AO32x2_ASAP7_75t_R)\r\n 14.14 28.43 159.81 v U$$415/Y (AO32x2_ASAP7_75t_R)\r\n 1 2.95 sel_0$8796 (net)\r\n 14.16 0.24 160.05 v rebuffer415/A (BUFx12f_ASAP7_75t_R)\r\n 12.77 16.28 176.33 v rebuffer415/Y (BUFx12f_ASAP7_75t_R)\r\n 8 20.44 net967 (net)\r\n 31.44 9.26 185.59 v U$$517/A2 (AO22x2_ASAP7_75t_R)\r\n 12.87 24.35 209.94 v U$$517/Y (AO22x2_ASAP7_75t_R)\r\n 1 1.71 t$8848 (net)\r\n 12.87 0.07 210.01 v U$$518/A (XOR2x1_ASAP7_75t_R)\r\n 39.09 23.25 233.26 v U$$518/Y (XOR2x1_ASAP7_75t_R)\r\n 1 2.02 booth_b6_m50 (net)\r\n 39.09 0.07 233.33 v dadda_fa_0_56_1/A (FAx1_ASAP7_75t_R)\r\n 28.65 43.29 276.61 v dadda_fa_0_56_1/SN (FAx1_ASAP7_75t_R)\r\n 1 1.11 sn$14 (net)\r\n 28.65 0.08 276.70 v U$$4536/A (INVx1_ASAP7_75t_R)\r\n 16.82 13.71 290.40 ^ U$$4536/Y (INVx1_ASAP7_75t_R)\r\n 1 1.91 s$487 (net)\r\n 16.83 0.12 290.52 ^ dadda_fa_1_56_8/CI (FAx1_ASAP7_75t_R)\r\n 21.76 16.62 307.14 v dadda_fa_1_56_8/CON (FAx1_ASAP7_75t_R)\r\n 8 1.04 con$483 (net)\r\n 21.76 0.06 307.20 v U$$4993/A (INVx1_ASAP7_75t_R)\r\n 16.97 13.33 320.53 ^ U$$4993/Y (INVx1_ASAP7_75t_R)\r\n 1 2.13 c$1859 (net)\r\n 16.98 0.13 320.66 ^ dadda_fa_2_57_3/A (FAx1_ASAP7_75t_R)\r\n 20.37 32.78 353.44 v dadda_fa_2_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 0.76 sn$1858 (net)\r\n 20.37 0.02 353.46 v U$$5938/A (INVx1_ASAP7_75t_R)\r\n 16.38 12.94 366.40 ^ U$$5938/Y (INVx1_ASAP7_75t_R)\r\n 1 2.24 s$3659 (net)\r\n 16.38 0.07 366.47 ^ dadda_fa_3_57_3/B (FAx1_ASAP7_75t_R)\r\n 31.06 39.82 406.29 v dadda_fa_3_57_3/SN (FAx1_ASAP7_75t_R)\r\n 1 1.30 sn$3657 (net)\r\n 31.06 0.12 406.41 v U$$6822/A (INVx1_ASAP7_75t_R)\r\n 17.62 14.30 420.71 ^ U$$6822/Y (INVx1_ASAP7_75t_R)\r\n 1 1.95 s$5306 (net)\r\n 17.62 0.15 420.86 ^ dadda_fa_4_57_2/CI (FAx1_ASAP7_75t_R)\r\n 27.32 30.90 451.76 ^ dadda_fa_4_57_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.79 sn$5303 (net)\r\n 27.32 0.03 451.79 ^ U$$7558/A (INVx1_ASAP7_75t_R)\r\n 14.46 11.63 463.42 v U$$7558/Y (INVx1_ASAP7_75t_R)\r\n 1 1.92 s$6688 (net)\r\n 14.46 0.13 463.54 v dadda_fa_5_57_1/CI (FAx1_ASAP7_75t_R)\r\n 27.70 17.68 481.22 ^ dadda_fa_5_57_1/CON (FAx1_ASAP7_75t_R)\r\n 8 0.77 con$6684 (net)\r\n 27.70 0.03 481.25 ^ U$$8147/A (INVx1_ASAP7_75t_R)\r\n 15.83 12.54 493.79 v U$$8147/Y (INVx1_ASAP7_75t_R)\r\n 1 2.21 c$7615 (net)\r\n 15.83 0.07 493.86 v dadda_fa_6_58_0/B (FAx1_ASAP7_75t_R)\r\n 30.60 39.41 533.27 v dadda_fa_6_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.28 sn$7613 (net)\r\n 30.60 0.11 533.38 v U$$8534/A (INVx1_ASAP7_75t_R)\r\n 15.83 13.17 546.55 ^ U$$8534/Y (INVx1_ASAP7_75t_R)\r\n 1 1.68 s$8242 (net)\r\n 15.83 0.06 546.61 ^ dadda_fa_7_58_0/CI (FAx1_ASAP7_75t_R)\r\n 29.09 31.20 577.81 ^ dadda_fa_7_58_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.84 sn$8239 (net)\r\n 29.09 0.04 577.85 ^ U$$8790/A (INVx1_ASAP7_75t_R)\r\n 9.73 8.12 585.97 v U$$8790/Y (INVx1_ASAP7_75t_R)\r\n 1 0.75 s$10982 (net)\r\n 9.73 0.04 586.01 v _574_/D (DFFLQNx2_ASAP7_75t_R)\r\n 586.01 data arrival time\r\n\r\n 500.00 500.00 clock clk' (fall edge)\r\n 0.00 500.00 clock source latency\r\n 0.00 0.00 500.00 ^ clk (in)\r\n 1 5.51 clk (net)\r\n 4.62 1.46 501.46 ^ clkbuf_0_clk/A (BUFx4_ASAP7_75t_R)\r\n 11.28 18.58 520.03 ^ clkbuf_0_clk/Y (BUFx4_ASAP7_75t_R)\r\n 2 3.81 clknet_0_clk (net)\r\n 11.32 0.35 520.38 ^ clkbuf_1_1__f_clk/A (BUFx4_ASAP7_75t_R)\r\n 21.77 24.67 545.05 ^ clkbuf_1_1__f_clk/Y (BUFx4_ASAP7_75t_R)\r\n 5 9.70 clknet_1_1__leaf_clk (net)\r\n 22.13 1.60 546.65 ^ clkbuf_leaf_4_clk/A (BUFx4_ASAP7_75t_R)\r\n 94.18 52.41 599.06 ^ clkbuf_leaf_4_clk/Y (BUFx4_ASAP7_75t_R)\r\n 30 53.18 clknet_leaf_4_clk (net)\r\n 95.23 5.84 604.90 ^ net726_198/A (INVx3_ASAP7_75t_R)\r\n 13.29 7.25 612.15 v net726_198/Y (INVx3_ASAP7_75t_R)\r\n 1 0.66 net750 (net)\r\n 13.29 0.05 612.20 v _574_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 612.20 clock reconvergence pessimism\r\n -7.17 605.03 library setup time\r\n 605.03 data required time\r\n-----------------------------------------------------------------------------\r\n 605.03 data required time\r\n -586.01 data arrival time\r\n-----------------------------------------------------------------------------\r\n 19.01 slack (MET)\r\n\r\n```"}, {"user": "> BTW @antonblanchard - Have you looked at the work Teo did to explore adders? The eventual goal was to expand that to other things like multipliers too.\r\n\r\n@mithro I have checked it out, good stuff. I've been more focused on improving the frequency of various algorithms via improvements to OpenROAD, which should hopefully benefit Teo too."}, {"assistant": "There is another knob you can use in this situation.\r\nThe set_routing_alpha command controls the tradeoff between minimizing wire length and distance from the driver.\r\nSee grt/README.md for the doc (it also applies to placement based parasitics and detailed routing).\r\nThe default value is .3. I changed it to .6 and the placement based slack went to 25ps, global to 10ps.\r\nOptimizing the distance from the driver to the loads on critical nets in small geometry technologies where wire resistance becomes important is probably more beneficial than global routing optimizations.\r\nIt would make sense to bump alpha on critical nets and save them through the flow.\r\n\r\nIt is always going to be important to have some -slack_margiin to prevent paths from surfacing between timing repair and detailed routing. There is always going to be imperfections in the approximations used along the way until every part of the flow is incremental (in a galaxy far, far away). I can't tell how you got the db in this testcase, but another place this could be addressed is by repair_timing to split the net. But it can't do that unless it is within its radar, which means within the slack margin.\r\n\r\nNote that the database in the testcase was not readable in an older version of OR that I am working on to address your fanout issue. The db rev's pretty frequently and there is no backward compatibility so it is better to use DEF for the testcase to survive the transitiions."}, {"user": "> The set_routing_alpha command controls the tradeoff between minimizing wire length and distance from the driver.\r\n\r\nThanks @jjcherry56 this does look to have an impact on some of these large nets. I'll experiment with it.\r\n\r\n> Note that the database in the testcase was not readable in an older version of OR that I am working on to address your fanout issue\r\n\r\nGood to know, I'll make sure to attach LEF/DEFs."}, {"user": "Here is another path where timing gets significantly worse from placement to global routing. A big issue in this case is a 2 pin net, `sn$1367`:\r\n\r\nplacement parasitics:\r\n\r\n```\r\nStartpoint: a[25] (input port clocked by clk)\r\n[WARNING GUI-0066] Heat map \"Routing Congestion\" has not been populated with data.\r\nEndpoint: _554_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 ^ input external delay\r\n 0.00 0.00 50.00 ^ a[25] (in)\r\n 1 0.80 a[25] (net)\r\n 0.03 0.01 50.01 ^ input18/A (BUFx3_ASAP7_75t_R)\r\n 9.85 12.01 62.02 ^ input18/Y (BUFx3_ASAP7_75t_R)\r\n 1 3.23 net18 (net)\r\n 9.87 0.29 62.31 ^ fanout986/A (BUFx16f_ASAP7_75t_R)\r\n 11.66 15.38 77.69 ^ fanout986/Y (BUFx16f_ASAP7_75t_R)\r\n 7 15.17 net986 (net)\r\n 24.66 6.89 84.58 ^ fanout981/A (BUFx16f_ASAP7_75t_R)\r\n 9.79 19.71 104.29 ^ fanout981/Y (BUFx16f_ASAP7_75t_R)\r\n 6 10.38 net981 (net)\r\n 10.19 1.01 105.30 ^ U$$1768/A (INVx1_ASAP7_75t_R)\r\n 15.81 10.72 116.02 v U$$1768/Y (INVx1_ASAP7_75t_R)\r\n 1 2.66 notblock$9471[0] (net)\r\n 15.86 0.53 116.55 v U$$1771/B3 (AO33x2_ASAP7_75t_R)\r\n 14.39 35.51 152.06 v U$$1771/Y (AO33x2_ASAP7_75t_R)\r\n 1 2.71 sel_0$9472 (net)\r\n 14.39 0.05 152.11 v fanout501/A (BUFx16f_ASAP7_75t_R)\r\n 10.68 17.83 169.94 v fanout501/Y (BUFx16f_ASAP7_75t_R)\r\n 6 20.75 net501 (net)\r\n 11.46 1.11 171.06 v fanout498/A (BUFx12f_ASAP7_75t_R)\r\n 8.99 14.96 186.02 v fanout498/Y (BUFx12f_ASAP7_75t_R)\r\n 7 9.50 net498 (net)\r\n 8.99 0.08 186.10 v split524/A (BUFx2_ASAP7_75t_R)\r\n 15.97 19.43 205.53 v split524/Y (BUFx2_ASAP7_75t_R)\r\n 5 4.89 net1843 (net)\r\n 15.97 0.10 205.63 v U$$1795/A2 (AO22x1_ASAP7_75t_R)\r\n 13.22 23.25 228.88 v U$$1795/Y (AO22x1_ASAP7_75t_R)\r\n 1 1.74 t$9485 (net)\r\n 13.22 0.03 228.91 v U$$1796/A (XOR2x1_ASAP7_75t_R)\r\n 16.28 23.42 252.33 v U$$1796/Y (XOR2x1_ASAP7_75t_R)\r\n 1 1.82 booth_b26_m11 (net)\r\n 16.29 0.06 252.39 v dadda_fa_2_37_2/CI (FAx1_ASAP7_75t_R)\r\n 60.32 58.78 311.16 v dadda_fa_2_37_2/SN (FAx1_ASAP7_75t_R)\r\n 1 2.42 sn$1367 (net) <---- here\r\n 60.33 0.43 311.59 v U$$5663/A (INVx1_ASAP7_75t_R)\r\n 36.21 27.66 339.26 ^ U$$5663/Y (INVx1_ASAP7_75t_R)\r\n 1 3.61 s$3258 (net)\r\n 36.25 0.71 339.96 ^ dadda_fa_3_37_3/A (FAx1_ASAP7_75t_R)\r\n 36.49 26.36 366.32 v dadda_fa_3_37_3/CON (FAx1_ASAP7_75t_R)\r\n 8 2.12 con$3256 (net)\r\n 60.25 26.95 393.27 ^ dadda_fa_3_37_3/SN (FAx1_ASAP7_75t_R)\r\n 1 2.21 sn$3257 (net)\r\n 60.26 0.35 393.61 ^ U$$6629/A (INVx1_ASAP7_75t_R)\r\n 27.27 20.88 414.49 v U$$6629/Y (INVx1_ASAP7_75t_R)\r\n 1 2.81 s$5006 (net)\r\n 27.29 0.42 414.91 v dadda_fa_4_37_2/CI (FAx1_ASAP7_75t_R)\r\n 41.78 28.74 443.64 ^ dadda_fa_4_37_2/CON (FAx1_ASAP7_75t_R)\r\n 8 1.71 con$5002 (net)\r\n 41.78 0.19 443.84 ^ U$$7404/A (INVx1_ASAP7_75t_R)\r\n 22.72 17.65 461.49 v U$$7404/Y (INVx1_ASAP7_75t_R)\r\n 1 2.60 c$6493 (net)\r\n 22.74 0.33 461.82 v dadda_fa_5_38_0/CI (FAx1_ASAP7_75t_R)\r\n 39.82 47.44 509.26 v dadda_fa_5_38_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.51 sn$6490 (net)\r\n 39.82 0.14 509.40 v U$$8037/A (INVx1_ASAP7_75t_R)\r\n 22.24 17.79 527.20 ^ U$$8037/Y (INVx1_ASAP7_75t_R)\r\n 1 2.03 s$7516 (net)\r\n 22.25 0.12 527.32 ^ dadda_fa_6_38_0/CI (FAx1_ASAP7_75t_R)\r\n 24.45 36.82 564.14 v dadda_fa_6_38_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.81 sn$7513 (net)\r\n 24.45 0.01 564.16 v U$$8461/A (INVx1_ASAP7_75t_R)\r\n 17.58 13.92 578.08 ^ U$$8461/Y (INVx1_ASAP7_75t_R)\r\n 1 1.90 s$8142 (net)\r\n 17.58 0.08 578.16 ^ dadda_fa_7_38_0/CI (FAx1_ASAP7_75t_R)\r\n 92.31 73.98 652.14 ^ dadda_fa_7_38_0/SN (FAx1_ASAP7_75t_R)\r\n 1 3.69 sn$8139 (net)\r\n 92.34 1.05 653.19 ^ U$$8717/A (INVx1_ASAP7_75t_R)\r\n 19.06 13.14 666.33 v U$$8717/Y (INVx1_ASAP7_75t_R)\r\n 1 0.88 s$10909 (net)\r\n 19.06 0.03 666.36 v _554_/D (DFFLQNx2_ASAP7_75t_R)\r\n 666.36 data arrival time\r\n\r\n 500.00 500.00 clock clk' (fall edge)\r\n 0.00 500.00 clock source latency\r\n 0.00 0.00 500.00 ^ clk (in)\r\n 1 7.65 clk (net)\r\n 9.97 3.14 503.14 ^ clkbuf_0_clk/A (BUFx8_ASAP7_75t_R)\r\n 9.08 18.30 521.44 ^ clkbuf_0_clk/Y (BUFx8_ASAP7_75t_R)\r\n 2 4.70 clknet_0_clk (net)\r\n 9.16 0.44 521.89 ^ clkbuf_1_0__f_clk/A (BUFx8_ASAP7_75t_R)\r\n 17.21 21.11 543.00 ^ clkbuf_1_0__f_clk/Y (BUFx8_ASAP7_75t_R)\r\n 4 13.45 clknet_1_0__leaf_clk (net)\r\n 17.31 0.81 543.81 ^ clkbuf_leaf_7_clk/A (BUFx8_ASAP7_75t_R)\r\n 65.08 41.86 585.67 ^ clkbuf_leaf_7_clk/Y (BUFx8_ASAP7_75t_R)\r\n 30 68.65 clknet_leaf_7_clk (net)\r\n 65.75 3.79 589.46 ^ _191_218/A (INVx3_ASAP7_75t_R)\r\n 10.76 6.88 596.34 v _191_218/Y (INVx3_ASAP7_75t_R)\r\n 1 0.74 net1537 (net)\r\n 10.76 0.02 596.36 v _554_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 596.36 clock reconvergence pessimism\r\n -8.80 587.56 library setup time\r\n 587.56 data required time\r\n-----------------------------------------------------------------------------\r\n 587.56 data required time\r\n -666.36 data arrival time\r\n-----------------------------------------------------------------------------\r\n -78.80 slack (VIOLATED)\r\n```\r\n\r\nglobal route parasitics:\r\n\r\n```\r\nStartpoint: a[25] (input port clocked by clk)\r\nEndpoint: _554_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 ^ input external delay\r\n 0.00 0.00 50.00 ^ a[25] (in)\r\n 1 1.15 a[25] (net)\r\n 0.26 0.08 50.08 ^ input18/A (BUFx3_ASAP7_75t_R)\r\n 10.10 11.98 62.06 ^ input18/Y (BUFx3_ASAP7_75t_R)\r\n 1 3.26 net18 (net)\r\n 10.23 0.63 62.70 ^ fanout986/A (BUFx16f_ASAP7_75t_R)\r\n 10.68 15.14 77.84 ^ fanout986/Y (BUFx16f_ASAP7_75t_R)\r\n 7 15.59 net986 (net)\r\n 31.87 9.44 87.28 ^ fanout981/A (BUFx16f_ASAP7_75t_R)\r\n 10.65 21.06 108.34 ^ fanout981/Y (BUFx16f_ASAP7_75t_R)\r\n 6 10.98 net981 (net)\r\n 11.94 1.90 110.25 ^ U$$1768/A (INVx1_ASAP7_75t_R)\r\n 28.19 15.64 125.89 v U$$1768/Y (INVx1_ASAP7_75t_R)\r\n 1 4.87 notblock$9471[0] (net)\r\n 28.70 2.09 127.98 v U$$1771/B3 (AO33x2_ASAP7_75t_R)\r\n 14.91 39.64 167.61 v U$$1771/Y (AO33x2_ASAP7_75t_R)\r\n 1 2.83 sel_0$9472 (net)\r\n 14.94 0.38 167.99 v fanout501/A (BUFx16f_ASAP7_75t_R)\r\n 13.78 18.36 186.35 v fanout501/Y (BUFx16f_ASAP7_75t_R)\r\n 6 20.29 net501 (net)\r\n 14.21 1.33 187.68 v fanout498/A (BUFx12f_ASAP7_75t_R)\r\n 9.38 15.44 203.12 v fanout498/Y (BUFx12f_ASAP7_75t_R)\r\n 7 9.59 net498 (net)\r\n 9.54 0.69 203.81 v split524/A (BUFx2_ASAP7_75t_R)\r\n 16.37 19.57 223.38 v split524/Y (BUFx2_ASAP7_75t_R)\r\n 5 4.97 net1843 (net)\r\n 16.39 0.35 223.73 v U$$1795/A2 (AO22x1_ASAP7_75t_R)\r\n 13.60 23.40 247.13 v U$$1795/Y (AO22x1_ASAP7_75t_R)\r\n 1 1.77 t$9485 (net)\r\n 13.61 0.09 247.22 v U$$1796/A (XOR2x1_ASAP7_75t_R)\r\n 16.62 23.42 270.63 v U$$1796/Y (XOR2x1_ASAP7_75t_R)\r\n 1 1.79 booth_b26_m11 (net)\r\n 16.63 0.19 270.82 v dadda_fa_2_37_2/CI (FAx1_ASAP7_75t_R)\r\n 265.90 169.94 440.76 v dadda_fa_2_37_2/SN (FAx1_ASAP7_75t_R)\r\n 1 11.21 sn$1367 (net) <---- here\r\n 266.60 7.09 447.85 v U$$5663/A (INVx1_ASAP7_75t_R)\r\n 89.87 69.19 517.04 ^ U$$5663/Y (INVx1_ASAP7_75t_R)\r\n 1 5.88 s$3258 (net)\r\n 90.03 2.13 519.17 ^ dadda_fa_3_37_3/A (FAx1_ASAP7_75t_R)\r\n 99.60 63.33 582.50 v dadda_fa_3_37_3/CON (FAx1_ASAP7_75t_R)\r\n 8 7.77 con$3256 (net)\r\n 61.71 37.82 620.32 ^ dadda_fa_3_37_3/SN (FAx1_ASAP7_75t_R)\r\n 1 1.87 sn$3257 (net)\r\n 61.72 0.34 620.66 ^ U$$6629/A (INVx1_ASAP7_75t_R)\r\n 30.03 22.44 643.10 v U$$6629/Y (INVx1_ASAP7_75t_R)\r\n 1 3.21 s$5006 (net)\r\n 30.09 0.73 643.83 v dadda_fa_4_37_2/CI (FAx1_ASAP7_75t_R)\r\n 57.50 35.35 679.18 ^ dadda_fa_4_37_2/CON (FAx1_ASAP7_75t_R)\r\n 8 2.63 con$5002 (net)\r\n 57.53 0.62 679.80 ^ U$$7404/A (INVx1_ASAP7_75t_R)\r\n 30.04 22.18 701.98 v U$$7404/Y (INVx1_ASAP7_75t_R)\r\n 1 3.33 c$6493 (net)\r\n 30.13 0.94 702.92 v dadda_fa_5_38_0/CI (FAx1_ASAP7_75t_R)\r\n 45.28 52.89 755.81 v dadda_fa_5_38_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.73 sn$6490 (net)\r\n 45.29 0.31 756.12 v U$$8037/A (INVx1_ASAP7_75t_R)\r\n 24.27 19.19 775.31 ^ U$$8037/Y (INVx1_ASAP7_75t_R)\r\n 1 2.18 s$7516 (net)\r\n 24.28 0.30 775.60 ^ dadda_fa_6_38_0/CI (FAx1_ASAP7_75t_R)\r\n 25.07 36.95 812.55 v dadda_fa_6_38_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.78 sn$7513 (net)\r\n 25.07 0.04 812.59 v U$$8461/A (INVx1_ASAP7_75t_R)\r\n 18.01 14.12 826.71 ^ U$$8461/Y (INVx1_ASAP7_75t_R)\r\n 1 1.93 s$8142 (net)\r\n 18.01 0.19 826.90 ^ dadda_fa_7_38_0/CI (FAx1_ASAP7_75t_R)\r\n 79.05 66.31 893.21 ^ dadda_fa_7_38_0/SN (FAx1_ASAP7_75t_R)\r\n 1 3.13 sn$8139 (net)\r\n 79.07 0.73 893.94 ^ U$$8717/A (INVx1_ASAP7_75t_R)\r\n 17.81 12.68 906.62 v U$$8717/Y (INVx1_ASAP7_75t_R)\r\n 1 0.89 s$10909 (net)\r\n 17.81 0.11 906.73 v _554_/D (DFFLQNx2_ASAP7_75t_R)\r\n 906.73 data arrival time\r\n\r\n 500.00 500.00 clock clk' (fall edge)\r\n 0.00 500.00 clock source latency\r\n 0.00 0.00 500.00 ^ clk (in)\r\n 1 8.21 clk (net)\r\n 8.29 2.62 502.62 ^ clkbuf_0_clk/A (BUFx8_ASAP7_75t_R)\r\n 9.78 17.95 520.57 ^ clkbuf_0_clk/Y (BUFx8_ASAP7_75t_R)\r\n 2 5.33 clknet_0_clk (net)\r\n 10.15 0.97 521.54 ^ clkbuf_1_0__f_clk/A (BUFx8_ASAP7_75t_R)\r\n 17.52 21.31 542.85 ^ clkbuf_1_0__f_clk/Y (BUFx8_ASAP7_75t_R)\r\n 4 13.55 clknet_1_0__leaf_clk (net)\r\n 17.72 1.13 543.98 ^ clkbuf_leaf_7_clk/A (BUFx8_ASAP7_75t_R)\r\n 67.45 38.69 582.67 ^ clkbuf_leaf_7_clk/Y (BUFx8_ASAP7_75t_R)\r\n 30 69.05 clknet_leaf_7_clk (net)\r\n 71.38 8.77 591.44 ^ _191_218/A (INVx3_ASAP7_75t_R)\r\n 11.38 7.08 598.52 v _191_218/Y (INVx3_ASAP7_75t_R)\r\n 1 0.76 net1537 (net)\r\n 11.38 0.09 598.61 v _554_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 598.61 clock reconvergence pessimism\r\n -8.52 590.09 library setup time\r\n 590.09 data required time\r\n-----------------------------------------------------------------------------\r\n 590.09 data required time\r\n -906.73 data arrival time\r\n-----------------------------------------------------------------------------\r\n -316.64 slack (VIOLATED)\r\n```\r\n\r\nSteiner tree:\r\n\r\n![sn$1367_rst](https://user-images.githubusercontent.com/2029971/182083992-e51d007a-2b84-4036-9315-5843bf2f213d.png)\r\n\r\nGlobal route 2D tree:\r\n\r\n![sn$1367_tree2D](https://user-images.githubusercontent.com/2029971/182084030-34f7d49c-8c71-4d81-81c9-b16a1d060d2f.png)\r\n\r\nWe've struggled to route this net, and unfortunately it was on a critical path. A few thoughts:\r\n\r\n- While we can print wire length after global and detailed routing (with `report_wire_length`), I see no option to print it after placement.To be accurate it should match what the resizer is using. We can use that for both placement and global route metrics (eg average increase in wire length, worst case increase in wire length etc). I had a go at augmenting `report_wire_length`, but the Steiner tree generation code for the resizer is in the resizer, and there are (at least) two different implementations of Steiner tree generation in global routing. I got a bit lost.\r\n- An estimation of how well global routing did, or perhaps a warning when very scenic routes are created would be useful. Before I looked at these specific nets, I wasn't sure how to further optimise my design. Now I'm thinking: perhaps the design is too dense, perhaps global placement could be tweaked etc.\r\n- Might be another test case for global routing (it's possible the issue goes back to global placement however). Should have routed this earlier, considering it was on a critical path.\r\n\r\nTest case: [bad-global-route.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9233800/bad-global-route.tar.gz)\r\n"}]} +{"num": 2038, "messages": [{"user": "Found pin outside die area in instance \r\n\"Capture\"\r\n"}, {"assistant": "Please provide a packaged testcase"}, {"user": "I want to do pnr of our netlist what to do in that case ?\n\nOn Thu, 7 Jul 2022 at 19:05, Matt Liberty ***@***.***> wrote:\n\n> Please provide a packaged testcase\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you authored the thread.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@rutvikkk2203 \r\nAre you using OpenLane flow or OpenROAD as standalone for PnR?"}, {"user": "I am using OpenROAD for PnR.\n\nOn Fri, 8 Jul 2022 at 11:15, vijayan ***@***.***> wrote:\n\n> @rutvikkk2203 \n> Are you using OpenLane flow or OpenROAD as standalone for PnR?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@rutvikkk2203 \r\nShare your global placement stage log file.\r\nProvide current stage input DEF file and source script used to run the placement stage as zip file."}, {"user": "Here I have attached the log file.\n\nOn Fri, 8 Jul 2022 at 11:29, vijayan ***@***.***> wrote:\n\n> @rutvikkk2203 \n> Share your global placement stage log file.\n> Provide current stage input DEF file and source script used to run the\n> placement stage as zip file.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n\nOpenROAD v2.0-4323-g94820b8 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[ERROR PPL-0064] -pin_name is required.\r\n[ERROR GUI-0070] PPL-0064\r\n[ERROR PPL-0064] -pin_name is required.\r\n[ERROR GUI-0070] PPL-0064\r\n[ERROR STA-0400] place_pin -pin_name missing value.\r\n[ERROR GUI-0070] STA-0400\r\n############################################################################\r\n##\r\n## Copyright (c) 2019, The Regents of the University of California\r\n## All rights reserved.\r\n##\r\n## BSD 3-Clause License\r\n##\r\n## Redistribution and use in source and binary forms, with or without\r\n## modification, are permitted provided that the following conditions are met:\r\n##\r\n## * Redistributions of source code must retain the above copyright notice, this\r\n## list of conditions and the following disclaimer.\r\n##\r\n## * Redistributions in binary form must reproduce the above copyright notice,\r\n## this list of conditions and the following disclaimer in the documentation\r\n## and/or other materials provided with the distribution.\r\n##\r\n## * Neither the name of the copyright holder nor the names of its\r\n## contributors may be used to endorse or promote products derived from\r\n## this software without specific prior written permission.\r\n##\r\n## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS IS\"\r\n## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\r\n## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE\r\n## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE\r\n## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR\r\n## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF\r\n## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS\r\n## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN\r\n## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)\r\n## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE\r\n## POSSIBILITY OF SUCH DAMAGE.\r\n##\r\n############################################################################\r\n# Assumes flow_helpers.tcl has been read.\r\nread_libraries\r\n[INFO ODB-0222] Reading LEF file: /home/rutvik/OpenROAD/test/sky130hd/sky130hd.tlef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/rutvik/OpenROAD/test/sky130hd/sky130hd.tlef\r\n[INFO ODB-0222] Reading LEF file: /home/rutvik/OpenROAD/test/sky130hd/sky130hd_std_cell.lef\r\n[INFO ODB-0225] Created 437 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/rutvik/OpenROAD/test/sky130hd/sky130hd_std_cell.lef\r\nread_verilog $synth_verilog\r\nlink_design $top_module\r\nread_sdc $sdc_file\r\nutl::metric \"IFP::ord_version\" [ord::openroad_git_describe]\r\n# Note that sta::network_instance_count is not valid after tapcells are added.\r\nutl::metric \"IFP::instance_count\" [sta::network_instance_count]\r\ninitialize_floorplan -site $site -utilization 53 -core_area {100 100 4000 4000} -die_area {0 0 5000 5000} \r\n[INFO IFP-0001] Added 441 rows of 5216 sites.\r\nsource $tracks_file\r\n# remove buffers inserted by synthesis \r\nremove_buffers\r\n[INFO RSZ-0026] Removed 0 buffers.\r\n################################################################\r\n# IO Placement (random)\r\nplace_pins -hor_layers met3 -ver_layers met2 -corner_avoidance 10\r\nFound 0 macro blocks.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0010] Tentative 0 to set up sections.\r\n[INFO PPL-0001] Number of slots 8688\r\n[INFO PPL-0002] Number of I/O 12\r\n[INFO PPL-0003] Number of I/O w/sink 12\r\n[INFO PPL-0004] Number of I/O w/o sink 5\r\n[INFO PPL-0005] Slots per section 200\r\n[INFO PPL-0006] Slots increase factor 0.01\r\n[INFO PPL-0008] Successfully assigned pins to sections.\r\n[INFO PPL-0012] I/O nets HPWL: 8409.33 um.\r\n################################################################\r\n# Macro Placement\r\nif { [have_macros] } {\r\n global_placement -density $global_place_density\r\n macro_placement -halo $macro_place_halo -channel $macro_place_channel\r\n}\r\n################################################################\r\n# Tapcell insertion\r\n#eval tapcell $tapcell_args\r\n################################################################\r\n# Power distribution network insertion\r\nsource $pdn_cfg\r\npdngen\r\n[INFO PDN-0001] Inserting grid: grid\r\n################################################################\r\n# Global placement\r\nforeach layer_adjustment $global_routing_layer_adjustments {\r\n lassign $layer_adjustment layer adjustment\r\n set_global_routing_layer_adjustment $layer $adjustment\r\n}\r\nset_routing_layers -signal $global_routing_layers \\\r\n -clock $global_routing_clock_layers\r\nset_macro_extension 2\r\nglobal_placement -routability_driven -density $global_place_density -pad_left $global_place_pad -pad_right $global_place_pad\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 460 5440\r\n[INFO GPL-0004] CoreAreaLxLy: 0 0\r\n[INFO GPL-0005] CoreAreaUxUy: 2399360 2399040\r\n[INFO GPL-0006] NumInstances: 240435\r\n[INFO GPL-0007] NumPlaceInstances: 240435\r\n[INFO GPL-0008] NumFixedInstances: 0\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 240471\r\n[INFO GPL-0011] NumPins: 981283\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 2399460 2399460\r\n[INFO GPL-0014] CoreAreaLxLy: 0 0\r\n[INFO GPL-0015] CoreAreaUxUy: 2399360 2399040\r\n[INFO GPL-0016] CoreArea: 5756160614400\r\n[INFO GPL-0017] NonPlaceInstsArea: 0\r\n[INFO GPL-0018] PlaceInstsArea: 5458079731200\r\n[INFO GPL-0019] Util(%): 94.82\r\n[INFO GPL-0020] StdInstsArea: 5458079731200\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG residual: 0.00013604 HPWL: 2118247397\r\n[InitialPlace] Iter: 2 CG residual: 0.00020976 HPWL: 2347641538\r\n[InitialPlace] Iter: 3 CG residual: 0.00018609 HPWL: 2347739710\r\n[InitialPlace] Iter: 4 CG residual: 0.00019164 HPWL: 2360316305\r\n[InitialPlace] Iter: 5 CG residual: 0.00019478 HPWL: 2356233166\r\n[InitialPlace] Iter: 6 CG residual: 0.00019941 HPWL: 2362209839\r\n[InitialPlace] Iter: 7 CG residual: 0.00018075 HPWL: 2357360042\r\n[InitialPlace] Iter: 8 CG residual: 0.00019617 HPWL: 2362681316\r\n[InitialPlace] Iter: 9 CG residual: 0.00018525 HPWL: 2357790716\r\n[InitialPlace] Iter: 10 CG residual: 0.00020428 HPWL: 2362721565\r\n[InitialPlace] Iter: 11 CG residual: 0.00018023 HPWL: 2357898513\r\n[InitialPlace] Iter: 12 CG residual: 0.00019796 HPWL: 2362774767\r\n[InitialPlace] Iter: 13 CG residual: 0.00018846 HPWL: 2358041163\r\n[InitialPlace] Iter: 14 CG residual: 0.00022189 HPWL: 2362803976\r\n[InitialPlace] Iter: 15 CG residual: 0.00018720 HPWL: 2358029219\r\n[InitialPlace] Iter: 16 CG residual: 0.00019402 HPWL: 2362835623\r\n[InitialPlace] Iter: 17 CG residual: 0.00020715 HPWL: 2358078035\r\n[InitialPlace] Iter: 18 CG residual: 0.00019996 HPWL: 2362818772\r\n[InitialPlace] Iter: 19 CG residual: 0.00018589 HPWL: 2358121900\r\n[InitialPlace] Iter: 20 CG residual: 0.00020127 HPWL: 2362844546\r\n[INFO GPL-0031] FillerInit: NumGCells: 245971\r\n[INFO GPL-0032] FillerInit: NumGNets: 240471\r\n[INFO GPL-0033] FillerInit: NumGPins: 981283\r\n[INFO GPL-0023] TargetDensity: 0.97\r\n[INFO GPL-0024] AveragePlaceInstArea: 22700853\r\n[INFO GPL-0025] IdealBinArea: 23402940\r\n[INFO GPL-0026] IdealBinCnt: 245958\r\n[INFO GPL-0027] TotalBinArea: 5756160614400\r\n[INFO GPL-0028] BinCnt: 256 256\r\n[INFO GPL-0029] BinSize: 9373 9372\r\n[INFO GPL-0030] NumBins: 65536\r\n[NesterovSolve] Iter: 1 overflow: 0.999693 HPWL: 369750695\r\n[NesterovSolve] Iter: 10 overflow: 0.999339 HPWL: 393257426\r\n[NesterovSolve] Iter: 20 overflow: 0.999128 HPWL: 399693572\r\n[NesterovSolve] Iter: 30 overflow: 0.998969 HPWL: 403807788\r\n[NesterovSolve] Iter: 40 overflow: 0.998801 HPWL: 407989914\r\n[NesterovSolve] Iter: 50 overflow: 0.998646 HPWL: 412230446\r\n[NesterovSolve] Iter: 60 overflow: 0.998546 HPWL: 415725131\r\n[NesterovSolve] Iter: 70 overflow: 0.998476 HPWL: 418121231\r\n[NesterovSolve] Iter: 80 overflow: 0.998428 HPWL: 419443514\r\n[NesterovSolve] Iter: 90 overflow: 0.998418 HPWL: 419861220\r\n[NesterovSolve] Iter: 100 overflow: 0.998435 HPWL: 419922186\r\n[NesterovSolve] Iter: 110 overflow: 0.998429 HPWL: 419968616\r\n[NesterovSolve] Iter: 120 overflow: "}, {"assistant": "@rutvikkk2203 Can you share complete flow script what you used with all required inputs as zip file?"}, {"user": "Here are the main files which we are using.\n\n\nOn Fri, 8 Jul 2022 at 02:32, vijayan ***@***.***> wrote:\n\n> @rutvikkk2203 Can you share complete\n> flow script what you used with all required inputs as zip file?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@rutvikkk2203 attachment missing"}, {"user": "Which other attachments do you need ? Please Specify.\n\nOn Fri, 8 Jul 2022 at 02:54, vijayan ***@***.***> wrote:\n\n> @rutvikkk2203 attachment missing\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "share complete flow script what you used with all required inputs?\r\nYour flow got failed at: https://github.com/The-OpenROAD-Project/OpenROAD/blob/4ac19d3640fdfa70a3f4fae236784dde8632e639/test/flow.tcl#L84\r\n\r\ndo `write_db ./pdn.db` before placement: https://github.com/The-OpenROAD-Project/OpenROAD/blob/4ac19d3640fdfa70a3f4fae236784dde8632e639/test/flow.tcl#L74 and share the `pdn.db` to investigate further. If you've modified configuration variables, share that too."}, {"user": "Ok SIR. Here I am attaching our OpenROAD and Our Netlist file. Please check\nit.\n OpenROAD.zip\n\n Darksocv_Netlist.v\n\n\n\nOn Fri, 8 Jul 2022 at 03:10, vijayan ***@***.***> wrote:\n\n> share complete flow script what you used with all required inputs?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "Can you share public access link to download?"}, {"user": "Ok. So here is that link.\nhttps://drive.google.com/drive/folders/1eyMbKr17qHx7cEX0rrBHNh6GPrDUuinU?usp=sharing\n\nOn Fri, 8 Jul 2022 at 16:40, vijayan ***@***.***> wrote:\n\n> Can you share public access link to download?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "I just requested PDN stage .db or .def file. Seems you shared full Openroad directory with size of `882MB`"}, {"assistant": "@rutvikkk2203 \r\nat my end its stopped during detail routing.\r\n\r\n```\r\n################################################################\r\n# Global routing\r\npin_access\r\n[INFO DRT-0149] Reading tech and libs.\r\n\r\nUnits: 1000\r\nNumber of layers: 13\r\nNumber of macros: 437\r\nNumber of vias: 25\r\nNumber of viarulegen: 25\r\n\r\n[INFO DRT-0150] Reading design.\r\n[ERROR DRT-0305] Net one_ of signal type POWER is not routable by TritonRoute. Move to special nets.\r\nError: flow.tcl, 193 DRT-0305\r\nwhile evaluating {source OpenROAD.tcl }\r\n```\r\n\r\nI think you can increase the swap memory and try.\r\n\r\nThere are nets with tie high. Use `insert_tiecells` after `initialize_floorplan` to avoid this routing issue."}, {"assistant": "Refer following comment for how to use `insert_tiecells` feature: https://github.com/The-OpenROAD-Project/OpenROAD/issues/2039#issuecomment-1178792619\r\n\r\nSeems you need to update your sdc file as well."}, {"user": "In which tool you have performed CentOS or Ubuntu ? Because in CentOS I\ncan't reach till here.\n\nOn Fri, 8 Jul 2022 at 17:52, vijayan ***@***.***> wrote:\n\n> @rutvikkk2203 \n> at my end its stopped during global routing.\n>\n> ################################################################\n> # Global routing\n> pin_access\n> [INFO DRT-0149] Reading tech and libs.\n>\n> Units: 1000\n> Number of layers: 13\n> Number of macros: 437\n> Number of vias: 25\n> Number of viarulegen: 25\n>\n> [INFO DRT-0150] Reading design.\n> [ERROR DRT-0305] Net one_ of signal type POWER is not routable by TritonRoute. Move to special nets.\n> Error: flow.tcl, 193 DRT-0305\n> while evaluating {source OpenROAD.tcl }\n>\n> I think you can increase the swap memory and try.\n>\n> There are nets with tie high. Use insert_tiecells after\n> initialize_floorplan to avoid this routing issue.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "I have used Centos 7 gcloud machine with 128GB RAM and 32 core configuration to run this design. Have you tried by increasing your swap space? What is your system configuration?"}, {"user": "I am using CentOS of this type of configuration. And the new one issue is\nalso there that after this step the Tool is being killed. So what to do for\nthat ?\n"}, {"assistant": "Update your OpenROAD commit and try. If facing issue again share the log and issue reproducible test case"}, {"assistant": "I suggest you switch to https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts as it is a more complete production flow versus the unit test flow you seem to be using. Your tarball doesn't include your netlist and isn't usable as a test case.\r\n\r\nWith ORFS you can package a test case easily with the _issue targets."}, {"assistant": "@rutvikkk2203 Any progress at your end?"}, {"assistant": "@vijayank88 there is no point in providing a .def or .db file because the script starts with verilog, which he provided. @rutvikkk2203 did not explicitly state it but the log file makes it clear thata he is using test/flow.tcl with the sky130hd tech files in test/sky130hd. The only thing missing to reproduce the bug is the complete tcl script that defines the variables used by flow.tcl and the other files (like the sdc) referenced by flow.tcl that are not part of the openroad distribution. It should look something like test/gcd_sky130hd.tcl."}, {"assistant": "This issue is fixed by updating flow.tcl at our end and shared to user as\nwell. Just waiting for user confirmation to close this issue.\n\nOn Sun, Jul 31, 2022, 6:50 AM James Cherry ***@***.***> wrote:\n\n> @vijayank88 there is no point in\n> providing a .def or .db file because the script starts with verilog, which\n> he provided. @rutvikkk2203 did not\n> explicitly state it but the log file makes it clear thata he is using\n> test/flow.tcl with the sky130hd tech files in test/sky130hd. The only thing\n> missing to reproduce the bug is the complete tcl script that defines the\n> variables used by flow.tcl and the other files (like the sdc) referenced by\n> flow.tcl that are not part of the openroad distribution. It should look\n> something like test/gcd_sky130hd.tcl.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"user": "Yes at my end the error is solved. By using the updated flow.tcl file , I\ncan complete my whole PNR. Thank You Very Much for all.\n\nOn Sun, 31 Jul, 2022, 8:14 am vijayan, ***@***.***> wrote:\n\n> This issue is fixed by updating flow.tcl at our end and shared to user as\n> well. Just waiting for user confirmation to close this issue.\n>\n> On Sun, Jul 31, 2022, 6:50 AM James Cherry ***@***.***> wrote:\n>\n> > @vijayank88 there is no point in\n> > providing a .def or .db file because the script starts with verilog,\n> which\n> > he provided. @rutvikkk2203 did not\n> > explicitly state it but the log file makes it clear thata he is using\n> > test/flow.tcl with the sky130hd tech files in test/sky130hd. The only\n> thing\n> > missing to reproduce the bug is the complete tcl script that defines the\n> > variables used by flow.tcl and the other files (like the sdc) referenced\n> by\n> > flow.tcl that are not part of the openroad distribution. It should look\n> > something like test/gcd_sky130hd.tcl.\n> >\n> > \u2014\n> > Reply to this email directly, view it on GitHub\n> > <\n> https://github.com/The-OpenROAD-Project/OpenROAD/issues/2038#issuecomment-1200325636\n> >,\n> > or unsubscribe\n> > <\n> https://github.com/notifications/unsubscribe-auth/AUOQKCL5YTNU35MVJVSTDBTVWXIEPANCNFSM5245RC5Q\n> >\n> > .\n> > You are receiving this because you were mentioned.Message ID:\n> > ***@***.***>\n> >\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"user": "I have changed the netlist and in that I got the error which you got the\nTritionRoute one. So now I am going through the conversation which you\nshared the link to insert the tie cells.\n\n\nOn Tue, 12 Jul 2022 at 11:11, vijayan ***@***.***> wrote:\n\n> @rutvikkk2203 Any progress at your end?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "It's CentOS gcloud with 32 core and 128GB ram\n\nOn Fri, Jul 8, 2022, 6:47 PM rutvikkk2203 ***@***.***> wrote:\n\n> In which tool you have performed CentOS or Ubuntu ? Because in CentOS I\n> can't reach till here.\n>\n> On Fri, 8 Jul 2022 at 17:52, vijayan ***@***.***> wrote:\n>\n> > @rutvikkk2203 \n> > at my end its stopped during global routing.\n> >\n> > ################################################################\n> > # Global routing\n> > pin_access\n> > [INFO DRT-0149] Reading tech and libs.\n> >\n> > Units: 1000\n> > Number of layers: 13\n> > Number of macros: 437\n> > Number of vias: 25\n> > Number of viarulegen: 25\n> >\n> > [INFO DRT-0150] Reading design.\n> > [ERROR DRT-0305] Net one_ of signal type POWER is not routable by\n> TritonRoute. Move to special nets.\n> > Error: flow.tcl, 193 DRT-0305\n> > while evaluating {source OpenROAD.tcl }\n> >\n> > I think you can increase the swap memory and try.\n> >\n> > There are nets with tie high. Use insert_tiecells after\n> > initialize_floorplan to avoid this routing issue.\n> >\n> > \u2014\n> > Reply to this email directly, view it on GitHub\n> > <\n> https://github.com/The-OpenROAD-Project/OpenROAD/issues/2038#issuecomment-1178926576\n> >,\n> > or unsubscribe\n> > <\n> https://github.com/notifications/unsubscribe-auth/AZ6UBTGXJC4G66DXELC66XTVTAMPBANCNFSM5245RC5Q\n> >\n> > .\n> > You are receiving this because you were mentioned.Message ID:\n> > ***@***.***>\n> >\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were assigned.Message ID:\n> ***@***.***>\n>\n"}]} +{"num": 2039, "messages": [{"user": "While using OpenROAD for PnR of leaf chip using sky130hd pdk, an error saying net not routable occures, how to solve this issue.\r\nThis is the error displayed.\r\n[ERROR DRT-0305] Net one_ of signal type POWER is not routable by TritonRoute. Move to special nets.\r\n[ERROR GUI-0070] Error: flow.tcl, 193 DRT-0305\r\n\r\nHow to solve this "}, {"assistant": "Usually this comes up because you have a 1'b1 or 1'b0 in your netlist. What flow are you using to run synthesis?"}, {"user": "I synthesised I checked my netlist, it doesn't contain a 1'b1 or 1'b0.\r\nI used yosys to synthesis netlist \r\n\r\n![Screenshot (245)](https://user-images.githubusercontent.com/100420392/177789352-758cd17a-b1c2-4742-8d8f-6751244e9663.png)\r\n\r\nI used thsi flow.\r\n\r\n"}, {"assistant": "We usually use this in synthesis to handle tying off constants:\r\n```\r\nhilomap -singleton \\\r\n -hicell {*}$::env(TIEHI_CELL_AND_PORT) \\\r\n -locell {*}$::env(TIELO_CELL_AND_PORT)\r\n```"}, {"assistant": "@13vaidehi \r\nAre you using OpenROAD standalone for PnR or along with OpenLane flow?\r\n\r\nIf there are un-connected nets its will be converted tie high/low. It will capture as `one_` or `zero_` in DEF file. Check your netlist and global routing DEF file for `one_`"}, {"user": "I am just using OpenROAD for PnR"}, {"assistant": "@13vaidehi Have you checked DEF file?\r\nShare the netlist and DEF to debug the issue.\r\n\r\nUse the @maliberty suggestion during synthesis and start the PnR again"}, {"user": "> We usually use this in synthesis to handle tying off constants:\r\n> \r\n> ```\r\n> hilomap -singleton \\\r\n> -hicell {*}$::env(TIEHI_CELL_AND_PORT) \\\r\n> -locell {*}$::env(TIELO_CELL_AND_PORT)\r\n> ```\r\n\r\nI tried this, I still have the same error"}, {"user": "> @13vaidehi Have you checked DEF file? Share the netlist and DEF to debug the issue.\r\n> \r\n> Use the @maliberty suggestion during synthesis and start the PnR again\r\n\r\n/home/vaidehi/Downloads/leaf-mpw6-main/def/leaf_chip.def\r\nThis is my def file.\r\n\r\n/home/vaidehi/Documents/leaf_chip.v\r\nThis is the netlist after using the hilo command during synthesis.\r\n\r\n![Screenshot (246)](https://user-images.githubusercontent.com/100420392/177925983-377bbe8a-425b-495e-9426-938198697846.png)\r\nThis how the error looks in gui"}, {"assistant": "@13vaidehi Upload the zip file here by drag and drop"}, {"user": "[leaf_chip_netlist.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9069268/leaf_chip_netlist.zip)\r\n[leaf_chip_def.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9069271/leaf_chip_def.zip)\r\n"}, {"assistant": "@13vaidehi \r\nCan you share complete flow script what you used with all required inputs?\r\nWhich version of OpenROAD currently used?"}, {"user": "[tcl file that calls all necessary files.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9069434/tcl.file.that.calls.all.necessary.files.zip)\r\n[file that has flow.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9069440/file.that.has.flow.zip)\r\n[file with all the necessary files and data for flow.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9069443/file.with.all.the.necessary.files.and.data.for.flow.zip)\r\n\r\n0cc525594fe7106e680cb4ac0840a3e41f4dc187 \r\nThis is the version I am using\r\n"}, {"assistant": "@13vaidehi \r\nFollowing block causing the issue with `'h` \r\n```\r\ndown_counter_3 tx_counter (\r\n .clk(clk),\r\n .clr(curr_state[0]),\r\n .en(tx_counter_en),\r\n .load(3'h7),\r\n .mode(1'h0),\r\n .val(\\tx_counter:798 )\r\n );\r\n piso_8 tx_piso (\r\n .clk(clk),\r\n .clr(curr_state[0]),\r\n .en(tx_piso_en),\r\n .load(rd_data),\r\n .mode(curr_state[2]),\r\n .ser(\\tx_piso:818 )\r\n );\r\n assign _094_[0] = reset;\r\n assign _104_[1] = 1'h0;\r\n assign _119_[0] = reset;\r\n assign _120_[1] = reset;\r\n assign _121_[0] = reset;\r\n assign { _122_[2], _122_[0] } = { next_state[2], next_state[0] };\r\n assign { _127_[14:11], _127_[8:7], _127_[4:3], _127_[1] } = { 2'h0, curr_state[0], 2'h0, curr_state[2], _105_[0], _105_[0], 1'h0 };\r\n assign _128_[2:1] = { _104_[0], _106_ };\r\n assign _02_[2:1] = { 1'h0, rd_en };\r\n```\r\n\r\nUse `insert_tiecells` feature from openroad to avoid routing issue."}, {"user": "Can you help me with the exact use of insert_tiecells?\r\nI am confused where to use it?"}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/ifp#inserting-tieoff-cells Documentation here. \r\nIt should be done during floorplan itself.\r\n\r\nMissing your sdc file in the attachment.\r\n"}, {"user": "What will be the master and output port in this case? \r\n"}, {"assistant": "@13vaidehi \r\nAre you trying this design https://github.com/daniel-santos-7/leaf-mpw6?"}, {"user": "Yes, this is the design I am trying"}, {"assistant": "```\r\ninsert_tiecells sky130_fd_sc_hd__conb_1/HI -prefix \"TIE_ONE_\"\r\n```\r\nUse above line after `initialize_floorplan`\r\nshare sdc file"}, {"assistant": "@13vaidehi \r\nHave you tried same design with OpenLane flow?\r\nIts already passed routing stage."}, {"assistant": "@13vaidehi \r\nTest your design with OpenLane flow and let me know."}, {"user": "> ```\r\n> insert_tiecells sky130_fd_sc_hd__conb_1/HI -prefix \"TIE_ONE_\"\r\n> ```\r\n> \r\n> Use above line after `initialize_floorplan` share sdc file\r\n\r\nI did this the issue still remains"}, {"user": "> @13vaidehi Have you tried same design with OpenLane flow? Its already passed routing stage.\r\n\r\nI haven't tried this with OpenLane flow.\r\nIf I am not wrong OpeLane will do the synthesis to routing stage right?"}, {"user": "This is the\r\n![Screenshot (248)](https://user-images.githubusercontent.com/100420392/177960337-d467f200-465a-49a5-87ba-62f217233b45.png)\r\n error and the line that the error indicates\r\n![Screenshot (249)](https://user-images.githubusercontent.com/100420392/177960294-070a5eec-ca9a-4b4c-99e8-1da86ecd830e.png)\r\n"}, {"assistant": "> > @13vaidehi Have you tried same design with OpenLane flow? Its already passed routing stage.\r\n> \r\n> I haven't tried this with OpenLane flow. If I am not wrong OpeLane will do the synthesis to routing stage right?\r\n\r\nInstall openlane from here: https://github.com/The-OpenROAD-Project/OpenLane#prerequisites\r\nJust pass your verilog RTL into src directory and run the flow. All in one docker till GDS generation"}, {"user": "Is this the only way to go about this issue?\r\nI wanted to use OpenROAD specifically for PnR."}, {"assistant": "@13vaidehi \r\nI have modified few things wrt., your `flow.tcl` script and now detail routing working fine. Used the updated `flow.tcl` and let me know.\r\nIs your `results` directory contains all the DB files?"}, {"assistant": "[update_flow.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9070954/update_flow.zip)\r\n"}, {"assistant": "> This is the error and the line that the error indicates \r\n\r\nIt is because of special nets. nets not tied properly. With the `insert_tiecells` its working fine now. Check my `flow.tcl` attached."}, {"user": "![Screenshot (250)](https://user-images.githubusercontent.com/100420392/178036099-26bb3d5a-373a-47eb-ae1e-4f704223e65a.png)\r\nI used the updated flow, this is the new error I encountered."}, {"assistant": "Guide file could not be opened. Check guide file name is correct or not?\n\nOn Fri, Jul 8, 2022, 10:21 PM 13vaidehi ***@***.***> wrote:\n\n> [image: Screenshot (250)]\n> \n> I used the updated flow, this is the new error I encountered.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were assigned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@13vaidehi Hope you can able to complete the routing."}, {"user": "Even after numerous attempts, the error still remains.\r\nThe error isn't in the guide file name\r\n![Screenshot (251)](https://user-images.githubusercontent.com/100420392/178201294-ddeda17e-f0bf-4e40-9a51-452b2c89635a.png)\r\n"}, {"assistant": "@13vaidehi \r\nCan you share your flow.tcl and detailed routing log?"}, {"assistant": "I suggest you switch to https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts as it is a more complete production flow versus the unit test flow you seem to be using. Your tarball doesn't include your netlist and isn't usable as a test case.\r\n\r\nWith ORFS you can package a test case easily with the _issue targets."}, {"user": "[flow.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9081567/flow.zip)\r\n"}, {"user": "I will switch to the flow scripts, but can you once have a look and tell me if its not debuggable at all "}, {"assistant": "@13vaidehi \r\nAt my end i'm not facing any issues with detail routing.\r\n\r\nupdate following part and run again:\r\n```\r\n# Global routing\r\n\r\npin_access\r\nset route_guide \"./lc_route_guide.guide\"\r\nglobal_route -guide_file $route_guide \\\r\n -congestion_iterations 100\r\n\r\nset verilog_file \"lc.v\"\r\nwrite_verilog -remove_cells $filler_cells $verilog_file\r\n\r\n################################################################\r\n# Antenna repair\r\n\r\ncheck_antennas\r\nutl::metric \"GRT::ANT::errors\" [ant::antenna_violation_count]\r\n#repair_antennas\r\n\r\n################################################################\r\n# Detailed routing\r\n\r\nset_thread_count [exec getconf _NPROCESSORS_ONLN]\r\ndetailed_route -guide $route_guide \\\r\n -output_guide \"./lc_output_guide.mod\" \\\r\n\t\t-output_drc \"./lc_route_drc.rpt\" \\\r\n -output_maze \"./lc_maze.log\" \\\r\n -verbose 1\r\n```\r\nRemove following lines from `flow.tcl`\r\n```\r\nset output_guide [make_result_file ${design}_${platform}_output_guide.mod]\r\nwrite_guides $output_guide\r\n```"}, {"user": "I will do it. Did you run it on OpenROAD?"}, {"assistant": "@13vaidehi Yes. "}, {"user": "![Screenshot (253)](https://user-images.githubusercontent.com/100420392/178248220-f2afb422-ea4c-4691-92d3-cb032d61f2e6.png)\r\nHow do I change the permission of a file that is being created?\r\n"}, {"assistant": "check the `results` directory access/permissions.\r\n\r\nthat's why suggesting to use tested script wrapper from https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\n\r\nWhat ever variable you're passing, same thing you can use in that ORFS flow itself/ its already pre-defined. its easy to track and all required inputs and configuration path set properly.\r\n\r\nWhat is your final goal?"}, {"assistant": "@13vaidehi \r\nare you able to fix the access issue?"}, {"assistant": "Closing this issue its passed routing stage. "}]} +{"num": 2055, "messages": [{"user": "When trying to run partition_design as part of the following flow I receive a stack trace. Cannot find source of issue.\r\n\r\nCommand:\r\n```set top_design ariane \r\nset def_file ./design/ariane.def \r\nset ALL_LEFS \" \r\n ./lefs/NangateOpenCellLibrary.tech.lef \r\n ./lefs/NangateOpenCellLibrary.macro.mod.lef \r\n ./lefs/fakeram45_256x16.lef \r\n\" \r\nforeach lef_file ${ALL_LEFS} { \r\n read_lef $lef_file \r\n} \r\nread_def ./design/ariane.def \r\npartition_design -max_num_inst 2000000 -min_num_inst 40000 -max_num_macro 12 -min_num_macro 4 -net_threshold 20 -virtual_weight 50 -num_hop 0 -timing_weight 1000 -report_file ${top_design}.hgr \r\nexit \r\n```\r\n\r\nError:\r\n```OpenROAD GITDIR-NOTFOUND \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./lefs/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: ./lefs/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0222] Reading LEF file: ./lefs/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0225] Created 135 library cells\r\n[INFO ODB-0226] Finished LEF file: ./lefs/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0222] Reading LEF file: ./lefs/fakeram45_256x16.lef\r\n[INFO ODB-0225] Created 1 library cells\r\n[INFO ODB-0226] Finished LEF file: ./lefs/fakeram45_256x16.lef\r\n[INFO ODB-0127] Reading DEF file: ./design/ariane.def\r\n[INFO ODB-0128] Design: ariane\r\n[INFO ODB-0097] \t\tCreated 100000 Nets\r\n[INFO ODB-0130] Created 495 pins.\r\n[INFO ODB-0131] Created 87585 components and 578948 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 175170 connections.\r\n[INFO ODB-0133] Created 113121 nets and 403778 connections.\r\n[INFO ODB-0134] Finished DEF file: ./design/ariane.def\r\nRunning Partition Design...\r\n[INFO PAR-0402] Traversed logical hierarchy\r\n\tNumber of std cell instances: 0\r\n\tTotal area: 0.0\r\n\tNumber of hard macros: 0\r\nStack trace:\r\n 0# 0x0000000000C15A16 in openroad\r\n 1# 0x00007FEA8BA99400 in /lib64/libc.so.6\r\n 2# sta::LibertyCell::isBuffer() const in openroad\r\n 3# par::AutoClusterMgr::getBufferNetUtil(odb::dbBlock*, std::vector, std::allocator > >&) in openroad\r\n 4# par::AutoClusterMgr::getBufferNet() in openroad\r\n 5# par::AutoClusterMgr::partitionDesign(unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, bool, char const*, char const*, float, float, float, float) in openroad\r\n 6# par::PartitionMgr::partitionDesign(unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, unsigned int, bool, char const*, char const*, float, float, float, float) in openroad\r\n 7# 0x00000000018ADCD6 in openroad\r\n 8# 0x00007FEA8E5E1EB2 in /lib64/libtcl8.5.so\r\n 9# 0x00007FEA8E62636C in /lib64/libtcl8.5.so\r\n10# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n11# 0x00007FEA8E5E1EB2 in /lib64/libtcl8.5.so\r\n12# 0x00007FEA8E62636C in /lib64/libtcl8.5.so\r\n13# 0x00007FEA8E62E647 in /lib64/libtcl8.5.so\r\n14# TclEvalObjEx in /lib64/libtcl8.5.so\r\n15# 0x00007FEA8E66927F in /lib64/libtcl8.5.so\r\n16# 0x00007FEA8E5E1EB2 in /lib64/libtcl8.5.so\r\n17# 0x00007FEA8E62636C in /lib64/libtcl8.5.so\r\n18# 0x00007FEA8E62E647 in /lib64/libtcl8.5.so\r\n19# TclEvalObjEx in /lib64/libtcl8.5.so\r\n20# 0x00007FEA8E5E91D0 in /lib64/libtcl8.5.so\r\n21# 0x00007FEA8E5E1EB2 in /lib64/libtcl8.5.so\r\n22# 0x00007FEA8E62636C in /lib64/libtcl8.5.so\r\n23# 0x00007FEA8E62E647 in /lib64/libtcl8.5.so\r\n24# TclEvalObjEx in /lib64/libtcl8.5.so\r\n25# 0x00007FEA8E5ECF00 in /lib64/libtcl8.5.so\r\n26# 0x00007FEA8E5E1EB2 in /lib64/libtcl8.5.so\r\n27# 0x00007FEA8E62636C in /lib64/libtcl8.5.so\r\n28# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n29# 0x00007FEA8E5E1EB2 in /lib64/libtcl8.5.so\r\n30# 0x00007FEA8E62636C in /lib64/libtcl8.5.so\r\n31# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n32# 0x00007FEA8E5E1EB2 in /lib64/libtcl8.5.so\r\n33# 0x00007FEA8E5E2F1E in /lib64/libtcl8.5.so\r\n34# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n35# Tcl_Eval in /lib64/libtcl8.5.so\r\n36# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n37# ord::tclAppInit(Tcl_Interp*) in openroad\r\n38# Tcl_Main in /lib64/libtcl8.5.so\r\n39# main in openroad\r\n40# __libc_start_main in /lib64/libc.so.6\r\n41# 0x0000000000C125F7 in openroad\r\n```\r\n\r\nLEF and DEF collaterals:\r\nhttps://github.com/TILOS-AI-Institute/MacroPlacement/tree/main/CodeElements/FormatTranslators/test/LefDef2ProtocolBufferFormat"}, {"assistant": "I'll look at making it not crash but the problem is you don't have any Liberty files loaded:\r\n\r\n2# sta::LibertyCell::isBuffer() const in openroad"}, {"assistant": "If you just want MLPart you can use partition_netlist. "}, {"user": "@maliberty Interesting. I'm running this within the build docker environment so shouldn't I have all dependencies already?"}, {"assistant": "Its not a case of dependencies but of data loading into OR. You are loading .lef & .def but not .lib. "}, {"user": "Ok. Thank you for the swift response. I'll try and read in the libs as well. "}, {"assistant": "@braamBeresford \r\nHave you had chance to work on this and is that resolved?"}]} +{"num": 2066, "messages": [{"user": "Using OpenROAD 475ff5e827b8937f4810ecae75973ee74e457816 \r\n\r\n`detailed_route -guide` is no longer loading the guide file properly. The reproducible outputs the following:\r\n\r\n```\r\n[...]\r\nNumber of guides: 0\r\n\r\n[INFO DRT-0185] Post process initialize RPin region query.\r\n\r\nGenerating GCell with size = 15 tracks, using layer li1 pitch = 0.46\r\nli1 V Track-Pitch = 0.46000 line-2-Via Pitch = 0.34000\r\nmet1 H Track-Pitch = 0.34000 line-2-Via Pitch = 0.32500\r\nmet2 V Track-Pitch = 0.46000 line-2-Via Pitch = 0.34000\r\nmet3 H Track-Pitch = 0.68000 line-2-Via Pitch = 0.61000\r\nmet4 V Track-Pitch = 0.92000 line-2-Via Pitch = 0.61500\r\nmet5 H Track-Pitch = 3.40000 line-2-Via Pitch = 3.11000\r\n\r\ninitializing congestion map...\r\n\r\nstart routing resource analysis ...\r\n\r\n Routing #Avail #Track #Total %Gcell\r\nLayer Direction Track Blocked Gcell Blocked\r\n--------------------------------------------------------------\r\nli1 V 6348 0 215730 10.29%\r\nmet1 H 10353 0 215730 10.20%\r\nmet2 V 6348 0 215730 10.40%\r\nmet3 H 5176 0 215730 9.70%\r\nmet4 V 3174 0 215730 7.88%\r\nmet5 H 1035 0 215730 12.55%\r\n--------------------------------------------------------------\r\nTotal 32434 0.00% 1294380 10.17%\r\n\r\n[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 113.60 (MB), peak = 113.60 (MB)\r\n\r\n\r\ngenerating net topology...\r\ndone net topology...\r\n[INFO DRT-0032] FR_MASTERSLICE grObj region query size = 0.\r\n[INFO DRT-0032] FR_VIA grObj region query size = 0.\r\n[INFO DRT-0032] li1 grObj region query size = 1818.\r\n[INFO DRT-0032] mcon grObj region query size = 0.\r\n[INFO DRT-0032] met1 grObj region query size = 0.\r\n[INFO DRT-0032] via grObj region query size = 0.\r\n[INFO DRT-0032] met2 grObj region query size = 0.\r\n[INFO DRT-0032] via2 grObj region query size = 0.\r\n[INFO DRT-0032] met3 grObj region query size = 0.\r\n[INFO DRT-0032] via3 grObj region query size = 0.\r\n[INFO DRT-0032] met4 grObj region query size = 0.\r\n[INFO DRT-0032] via4 grObj region query size = 0.\r\n[INFO DRT-0032] met5 grObj region query size = 0.\r\n\r\nstart reporting 2D congestion ...\r\n\r\n #OverCon %OverCon\r\nDirection GCell GCell\r\n--------------------------------\r\n H 478 0.22%\r\n V 85 0.04%\r\nworstConH: 316.13%\r\nworstConV: 112.50%\r\n\r\n\r\nstart 0th optimization iteration ...\r\n[INFO DRT-0267] cpu time = 00:00:06, elapsed time = 00:00:06, memory = 132.32 (MB), peak = 139.60 (MB)\r\n\r\n\r\nstart 1st optimization iteration ...\r\n[INFO DRT-0267] cpu time = 00:00:04, elapsed time = 00:00:04, memory = 132.95 (MB), peak = 139.60 (MB)\r\n\r\n\r\nstart 2nd optimization iteration ...\r\n[INFO DRT-0267] cpu time = 00:00:05, elapsed time = 00:00:05, memory = 132.99 (MB), peak = 139.60 (MB)\r\n\r\n\r\nstart reporting 2D congestion ...\r\n\r\n #OverCon %OverCon\r\nDirection GCell GCell\r\n--------------------------------\r\n H 4 0.00%\r\n V 0 0.00%\r\nworstConH: 138.71%\r\nworstConV: 81.08%\r\n\r\nlayer assignment...\r\ndone layer assignment...\r\n\r\nstart 0th optimization iteration ...\r\n[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 153.43 (MB), peak = 153.43 (MB)\r\n\r\n\r\nstart reporting 3D congestion ...\r\n\r\n---------- li1 ----------\r\nnumOverConGCell: 0, %OverConGCell: 0.00%\r\n---------- met1 ----------\r\nnumOverConGCell: 2, %OverConGCell: 0.00%\r\n---------- met2 ----------\r\nnumOverConGCell: 0, %OverConGCell: 0.00%\r\n---------- met3 ----------\r\nnumOverConGCell: 3, %OverConGCell: 0.00%\r\n---------- met4 ----------\r\nnumOverConGCell: 77, %OverConGCell: 0.04%\r\n---------- met5 ----------\r\nnumOverConGCell: 4, %OverConGCell: 0.00%\r\n\r\n[WARNING DRT-0203] dbGcellGrid already exists in db. Clearing existing dbGCellGrid.\r\n[ERROR DRT-0155] Guide in net io_in[25] uses layer met5 (12) that is outside the allowed routing range [met1 (4), (met4)].\r\nError: droute.tcl, 46 DRT-0155\r\n```\r\nCuriously, altering the invocation as follows makes it route fine:\r\n\r\n```\r\nread_guides $::env(CURRENT_GUIDE)\r\n\r\ndetailed_route\\\r\n -bottom_routing_layer $min_layer\\\r\n -top_routing_layer $max_layer\\\r\n -output_guide $::env(TRITONROUTE_FILE_PREFIX).guide\\\r\n -output_maze $::env(TRITONROUTE_FILE_PREFIX)_maze.log\\\r\n -output_drc $::env(TRITONROUTE_RPT_PREFIX).drc\\\r\n -droute_end_iter $::env(DRT_OPT_ITERS)\\\r\n -or_seed 42\\\r\n -verbose 1\r\n```\r\nNot really sure what's going on here. We're going to be altering the invocation for now for OpenLane.\r\n\r\n[repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9133173/repro.tar.gz)\r\n"}, {"assistant": "As I said in https://github.com/The-OpenROAD-Project/OpenLane/issues/1159 we are relying on the db more and side files less. This is a case where the guides are now in the db in ORFS. There is a read_guides command if you still want to operate in the DEF style (we kept it for ispd benchmarks). "}, {"user": "Then remove the `-guide` option? What is it doing still being processed if it does nothing?"}, {"assistant": "Fair enough - we'll remove it."}, {"assistant": "note that -output_guide is removed as well (it was never really useful to users anyways)."}, {"assistant": "Removed in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2067"}]} +{"num": 2079, "messages": [{"user": "short around (2200.00000, 132.00000) \r\n![image](https://user-images.githubusercontent.com/761514/180233542-59d29cb6-63d0-4230-b0ee-d41648a09b14.png)\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9159999/issue_reproducible.tar.gz)\r\n\r\n"}, {"user": "@arlpetergadfort this can wait until after your tapeout\r\n\r\n@kareefardi FYI"}, {"assistant": "any update on this ?\r\n"}, {"user": "@kareefardi the tapeout is this week so likely next week it could get some attention"}, {"user": "@arlpetergadfort can you prioritize this?"}, {"assistant": "> @arlpetergadfort can you prioritize this?\r\n\r\nSure, I'll take a look at it this afternoon."}, {"assistant": "> > @arlpetergadfort can you prioritize this?\r\n> \r\n> Sure, I'll take a look at it this afternoon.\r\n\r\n@kareefardi what version of OpenROAD are you using?\r\nWhen I run this I get:\r\n![no_short](https://user-images.githubusercontent.com/46405338/184009915-70c6791d-b3a8-42e5-9f75-b8c2d5657bb2.png)\r\n\r\nThere is no short."}, {"assistant": "Hey. Sorry I have only seen your reply now. It's was the same version of openroad bundled with OpenLane at the time (I don't which one exactly) Perhaps it is fixed in recent versions. I will test it and let you know "}, {"assistant": "![screenshot](https://user-images.githubusercontent.com/12303699/187083464-53f60960-2ee5-4ab7-9d03-05b9f2d4ffd2.png)\r\nI am still getting a met4 short at the top left corner of the macro. OpenROAD version is `4a99e88667b0840531ca0096c4fa0da8f80d6cb1` I am not getting the same results for met5 in the screenshot in the first post. It is a little bit further to the left as shown below\r\n![Screenshot from 2022-08-28 18-07-10](https://user-images.githubusercontent.com/12303699/187083605-df0b1aa2-7d6e-4654-8948-ca741e257b7d.png)\r\n "}, {"assistant": "The version that I tried is the one bundled with openlane and it looks like it's about two weeks old. were there any fixes done to pdngen in that period ? "}, {"user": "Yes, please try with the latest"}, {"assistant": "Still getting met4 shorts at the north side of the macro. \r\n![Screenshot from 2022-08-29 11-38-01](https://user-images.githubusercontent.com/12303699/187173639-6038f61b-38a4-46a4-8c0a-da58ab798264.png)\r\n"}, {"assistant": "Is there a reason your halo has negative values for the vertical? i.e. -11 vs 11?"}, {"assistant": "It is needed in order to have met4 vertical stripes at the top level enter the macro and connect with the macro on met5 in the rings. Unless that changed, this is currently the only way I know that can connect top level stripes with a macro ring in which both the macro and the top level are using all the metal layers"}, {"assistant": "It's the cause of the shorts. I've added a guard against that, but I'm not sure if this will break what you intend to do.\r\nIt seems like you are hacking your way around a limitation and a better solution would be to figure out what is missing and add that into PDNGEN."}, {"assistant": "We have always used a negative halo and currently some designs rely on that. It used to be that PDNGEN wouldn't attempt to connect to any metal layer in a given macro if that layer has any obstructions in the macro, that don't necessarily interfere with the macro power pins. Hence, negative halo was used to force PDNGEN to enter a macro. However I believe that is not the case anymore. Is that correct? "}, {"assistant": "> We have always used a negative halo and currently some designs rely on that. It used to be that PDNGEN wouldn't attempt to connect to any metal layer in a given macro if that layer has any obstructions in the macro, that don't necessarily interfere with the macro power pins. Hence, negative halo was used to force PDNGEN to enter a macro. However I believe that is not the case anymore. Is that correct?\r\n\r\nThis is the general form of the problem. The usual pattern is the need to connect to a macros ring with both the top level and the macro utilizing all metal layers. and hence the top level pdn stripes would be blocked from entering the macro at all"}, {"assistant": "At the moment, the halo is getting incorrectly applied to obstructions and pins and that is the problem. If your setup works for you, then it works, there is no reason a negative halo is a problem (except that the application to pins and obs is currently wrong and will be fixed). I feel like there should be a better solution, but that can be a different issue."}, {"assistant": "Ok so if I understand correctly negative halo was not applied correctly. This will be fixed (or already is fixed now). Negative halo by itself is still a valid parameter for PDNGEN. Is my understanding correct ?"}, {"assistant": "Yes, negative was applied incorrectly, the fix has been merged to OpenROAD (https://github.com/The-OpenROAD-Project/OpenROAD/commit/cb3acc68084046d5b343da32c7d3ea16a6e82db9) please try the head of OR. When I run yours, it appears to work, but you will know if connections are happening correctly. "}, {"user": "No activity; please reopen if still relevant."}]} +{"num": 2082, "messages": [{"user": "I set up a Single core Rocket, and run under the OpenROAD flow scripts with the platform of Nangate45.\r\n\r\nBut here is the error happened:\r\n\r\nOpenROAD v2.0-2819-ge490a3f10\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[ERROR STA-0402] add_global_connection -defer_connection is not a known keyword or flag.\r\nError: grid_strategy-M1-M4-M7.tcl, 4 STA-0402\r\nCommand exited with non-zero status 1\r\n\r\nwhy **add_global_connection -defer_connection** is unknown???????????????????????????? The error message is so limited, how can I deal with it?\r\n\r\nHere is the grid_strategy-M1-M4-M7.tcl:\r\n https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/platforms/nangate45/grid_strategy-M1-M4-M7.tcl"}, {"assistant": "@JiaDYuan \r\nPlease provide re-producible test case by running `make pdn_issue`"}, {"assistant": "You are on a very old version of openroad. Please update and try again."}, {"user": "**Hi, yes, updating the OpenROAD version can solve the problem. But here is another error, the process is stucking in the global_place_gp stage. And by the way, the process took 8 hours to run, is this normal???**\r\n\r\n\r\nOpenROAD v2.0-4473-g0d17a7302\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GPL-0002] DBU: 2000\r\n[INFO GPL-0003] SiteSize: 380 2800\r\n[INFO GPL-0004] CoreAreaLxLy: 40280 39200\r\n[INFO GPL-0005] CoreAreaUxUy: 7278520 3158400\r\n[INFO GPL-0006] NumInstances: 1111893\r\n[INFO GPL-0007] NumPlaceInstances: 1092925\r\n[INFO GPL-0008] NumFixedInstances: 18968\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 1435642\r\n[INFO GPL-0011] NumPins: 4328596\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 7318800 3197600\r\n[INFO GPL-0014] CoreAreaLxLy: 40280 39200\r\n[INFO GPL-0015] CoreAreaUxUy: 7278520 3158400\r\n[INFO GPL-0016] CoreArea: 22577518208000\r\n[INFO GPL-0017] NonPlaceInstsArea: 20181952000\r\n[INFO GPL-0018] PlaceInstsArea: 11477327568000\r\n[INFO GPL-0019] Util(%): 50.88\r\n[INFO GPL-0020] StdInstsArea: 11477327568000\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG residual: 0.00355486 HPWL: 42509104118\r\n[InitialPlace] Iter: 2 CG residual: 0.00032160 HPWL: 13402372951\r\n[InitialPlace] Iter: 3 CG residual: 0.00022189 HPWL: 13400886018\r\n[InitialPlace] Iter: 4 CG residual: 0.00005148 HPWL: 13396827050\r\n[InitialPlace] Iter: 5 CG residual: 0.00005324 HPWL: 13381094381\r\n[InitialPlace] Iter: 6 CG residual: 0.00008841 HPWL: 13378887651\r\n[InitialPlace] Iter: 7 CG residual: 0.00004164 HPWL: 13378797984\r\n[InitialPlace] Iter: 8 CG residual: 0.00003799 HPWL: 13379558534\r\n[InitialPlace] Iter: 9 CG residual: 0.00003579 HPWL: 13379053762\r\n[InitialPlace] Iter: 10 CG residual: 0.00044856 HPWL: 13379823777\r\n[InitialPlace] Iter: 11 CG residual: 0.00009343 HPWL: 13394675825\r\n[InitialPlace] Iter: 12 CG residual: 0.00003725 HPWL: 13384452816\r\n[InitialPlace] Iter: 13 CG residual: 0.00003590 HPWL: 13381225665\r\n[InitialPlace] Iter: 14 CG residual: 0.00003757 HPWL: 13381738547\r\n[InitialPlace] Iter: 15 CG residual: 0.00004011 HPWL: 13381426155\r\n[InitialPlace] Iter: 16 CG residual: 0.00003597 HPWL: 13381785999\r\n[InitialPlace] Iter: 17 CG residual: 0.00003774 HPWL: 13381420292\r\n[InitialPlace] Iter: 18 CG residual: 0.00003420 HPWL: 13381623253\r\n[InitialPlace] Iter: 19 CG residual: 0.00005310 HPWL: 13381078982\r\n[InitialPlace] Iter: 20 CG residual: 0.00003638 HPWL: 13381806564\r\n[INFO GPL-0031] FillerInit: NumGCells: 1095492\r\n[INFO GPL-0032] FillerInit: NumGNets: 1435642\r\n[INFO GPL-0033] FillerInit: NumGPins: 4328596\r\n[INFO GPL-0023] TargetDensity: 0.51\r\n[INFO GPL-0024] AveragePlaceInstArea: 10501477\r\n[INFO GPL-0025] IdealBinArea: 20591132\r\n[INFO GPL-0026] IdealBinCnt: 1096468\r\n[INFO GPL-0027] TotalBinArea: 22577518208000\r\n[INFO GPL-0028] BinCnt: 1024 1024\r\n[INFO GPL-0029] BinSize: 7069 3047\r\n[INFO GPL-0030] NumBins: 1048576\r\n[NesterovSolve] Iter: 1 overflow: 0.999473 HPWL: 3202675283\r\n[NesterovSolve] Iter: 10 overflow: 0.998919 HPWL: 3411390855\r\n[NesterovSolve] Iter: 20 overflow: 0.998291 HPWL: 3613767813\r\n[NesterovSolve] Iter: 30 overflow: 0.99765 HPWL: 3826664613\r\n[NesterovSolve] Iter: 40 overflow: 0.997232 HPWL: 4017670899\r\n[NesterovSolve] Iter: 50 overflow: 0.996924 HPWL: 4173727428\r\n[NesterovSolve] Iter: 60 overflow: 0.996641 HPWL: 4286505525\r\n[NesterovSolve] Iter: 70 overflow: 0.996444 HPWL: 4362062452\r\n[NesterovSolve] Iter: 80 overflow: 0.996284 HPWL: 4410178858\r\n[NesterovSolve] Iter: 90 overflow: 0.996171 HPWL: 4440513218\r\n[NesterovSolve] Iter: 100 overflow: 0.996078 HPWL: 4459614153\r\n[NesterovSolve] Iter: 110 overflow: 0.995993 HPWL: 4475941562\r\n[NesterovSolve] Iter: 120 overflow: 0.995918 HPWL: 4494873625\r\n[NesterovSolve] Iter: 130 overflow: 0.995833 HPWL: 4517053045\r\n[NesterovSolve] Iter: 140 overflow: 0.995719 HPWL: 4544528335\r\n[NesterovSolve] Iter: 150 overflow: 0.995597 HPWL: 4576548164\r\n[NesterovSolve] Iter: 160 overflow: 0.995468 HPWL: 4609927085\r\n[NesterovSolve] Iter: 170 overflow: 0.995361 HPWL: 4644629048\r\n[NesterovSolve] Iter: 180 overflow: 0.995242 HPWL: 4681738183\r\n[NesterovSolve] Iter: 190 overflow: 0.995136 HPWL: 4722382635\r\n[NesterovSolve] Iter: 200 overflow: 0.995022 HPWL: 4775213748\r\n[NesterovSolve] Iter: 210 overflow: 0.994914 HPWL: 4847466620\r\n[NesterovSolve] Iter: 220 overflow: 0.994737 HPWL: 4946924419\r\n[NesterovSolve] Iter: 230 overflow: 0.994539 HPWL: 5084675794\r\n[NesterovSolve] Iter: 240 overflow: 0.994239 HPWL: 5264152221\r\n[NesterovSolve] Iter: 250 overflow: 0.993791 HPWL: 5494865957\r\n[NesterovSolve] Iter: 260 overflow: 0.993141 HPWL: 5783190825\r\n[NesterovSolve] Iter: 270 overflow: 0.992189 HPWL: 6142655889\r\n[NesterovSolve] Iter: 280 overflow: 0.990861 HPWL: 6590730102\r\n[NesterovSolve] Iter: 290 overflow: 0.988993 HPWL: 7143983280\r\n[NesterovSolve] Iter: 300 overflow: 0.986328 HPWL: 7828419828\r\n[NesterovSolve] Iter: 310 overflow: 0.982564 HPWL: 8664991811\r\n[NesterovSolve] Iter: 320 overflow: 0.977535 HPWL: 9683480815\r\n[NesterovSolve] Iter: 330 overflow: 0.97159 HPWL: 10890068908\r\n[NesterovSolve] Iter: 340 overflow: 0.964964 HPWL: 12254494577\r\n[NesterovSolve] Iter: 350 overflow: 0.957441 HPWL: 13746897968\r\n[NesterovSolve] Iter: 360 overflow: 0.948703 HPWL: 15264157706\r\n[NesterovSolve] Iter: 370 overflow: 0.938203 HPWL: 16827582459\r\n[NesterovSolve] Iter: 380 overflow: 0.927675 HPWL: 18034259157\r\n[NesterovSolve] Iter: 390 overflow: 0.918373 HPWL: 19097014962\r\n[NesterovSolve] Iter: 400 overflow: 0.904037 HPWL: 20790134277\r\n[NesterovSolve] Iter: 410 overflow: 0.884672 HPWL: 23377223944\r\n[NesterovSolve] Iter: 420 overflow: 0.870351 HPWL: 26852393979\r\n[NesterovSolve] Iter: 430 overflow: 0.866045 HPWL: 31617373805\r\n[NesterovSolve] Iter: 440 overflow: 0.855361 HPWL: 41442792081\r\n[NesterovSolve] Iter: 450 overflow: 0.873252 HPWL: 41791918210\r\n[NesterovSolve] Iter: 460 overflow: 0.879812 HPWL: 36814561273\r\n[NesterovSolve] Iter: 470 overflow: 0.847914 HPWL: 34239012881\r\n[NesterovSolve] Iter: 480 overflow: 0.813237 HPWL: 33784748480\r\n[NesterovSolve] Iter: 490 overflow: 0.80697 HPWL: 29950936790\r\n[INFO GPL-0100] worst slack -2.64e-09\r\n[INFO GPL-0103] Weighted 143563 nets.\r\n[NesterovSolve] Iter: 500 overflow: 0.773142 HPWL: 30288677634\r\n[NesterovSolve] Iter: 510 overflow: 0.750795 HPWL: 34243482326\r\n[NesterovSolve] Iter: 520 overflow: 0.737617 HPWL: 35724775483\r\n[NesterovSolve] Iter: 530 overflow: 0.708463 HPWL: 36027236304\r\n[NesterovSolve] Iter: 540 overflow: 0.673734 HPWL: 33914609135\r\n[NesterovSolve] Iter: 550 overflow: 0.64051 HPWL: 31904149076\r\n[INFO GPL-0100] worst slack -2.42e-09\r\n[INFO GPL-0103] Weighted 143559 nets.\r\n[NesterovSolve] Iter: 560 overflow: 0.626743 HPWL: 37067032019\r\n[NesterovSolve] Iter: 570 overflow: 0.626341 HPWL: 39962324928\r\n[NesterovSolve] Iter: 580 overflow: 0.610405 HPWL: 33521345542\r\n[NesterovSolve] Snapshot saved at iter = 581\r\n[NesterovSolve] Iter: 590 overflow: 0.561749 HPWL: 36182747569\r\n[NesterovSolve] Iter: 600 overflow: 0.584311 HPWL: 39947879091\r\n[NesterovSolve] Iter: 610 overflow: 0.546942 HPWL: 33457960736\r\n[NesterovSolve] Iter: 620 overflow: 0.518452 HPWL: 38168656059\r\n[NesterovSolve] Iter: 630 overflow: 0.514085 HPWL: 37581479908\r\n[INFO GPL-0100] worst slack -2.15e-09\r\n[INFO GPL-0103] Weighted 143558 nets.\r\n[NesterovSolve] Iter: 640 overflow: 0.470804 HPWL: 34397333619\r\n[NesterovSolve] Iter: 650 overflow: 0.466778 HPWL: 35967999312\r\n[NesterovSolve] Iter: 660 overflow: 0.415558 HPWL: 33672381009\r\n[NesterovSolve] Iter: 670 overflow: 0.412021 HPWL: 33253342286\r\n[NesterovSolve] Iter: 680 overflow: 0.368686 HPWL: 33280953716\r\n[NesterovSolve] Iter: 690 overflow: 0.338376 HPWL: 31427691942\r\n[NesterovSolve] Iter: 700 overflow: 0.326631 HPWL: 30068300281\r\n[NesterovSolve] Iter: 710 overflow: 0.304877 HPWL: 29465430429\r\n[INFO GPL-0100] worst slack -2.02e-09\r\n[INFO GPL-0103] Weighted 143553 nets.\r\n[NesterovSolve] Iter: 720 overflow: 0.280691 HPWL: 29228281359\r\n[NesterovSolve] Iter: 730 overflow: 0.251856 HPWL: 29099461513\r\n[NesterovSolve] Iter: 740 overflow: 0.226439 HPWL: 28926181559\r\n[INFO GPL-0100] worst slack -2.22e-09\r\n[INFO GPL-0103] Weighted 143560 nets.\r\n[NesterovSolve] Iter: 750 overflow: 0.200645 HPWL: 28792313400\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\nCommand terminated by signal 9\r\nElapsed time: 8:25:44[h:]min:sec. CPU time: user 29458.91 sys 719.07 (99%). Peak memory: 64012372KB.\r\nmake: *** [Makefile:486: results/nangate45/SingleRocket/base/3_3_place_gp.odb] Error 137\r\n\r\n"}, {"assistant": "@JiaDYuan \r\nHow much RAM system has?\r\nCan you increase swap memory and try it?"}, {"user": "@vijayank88 \r\n\r\n\r\nHi, I was running the flow on a server, here are the memory details. \r\n\r\n![2022-07-25_085031](https://user-images.githubusercontent.com/57803663/180715975-415ab5f7-3f31-460c-9119-a713f0b98c57.jpg)\r\n\r\n\r\n\r\n\r\n\r\n"}, {"user": "Here is the result. Strange...\r\n\r\nyuanjiadong@Serveur-calcul:~/OpenROAD-flow-scripts/flow$ make global_placement_issue\r\n[INFO][FLOW] Using platform directory ./platforms/nangate45\r\nmake: *** No rule to make target 'global_placement_issue'. Stop.\r\n"}, {"assistant": "sorry. Its mistake. Try this: `make global_place_issue`"}, {"user": "@vijayank88 \r\n\r\nyuanjiadong@Serveur-calcul:~/OpenROAD-flow-scripts/flow$ make global_place_issue\r\n[INFO][FLOW] Using platform directory ./platforms/nangate45\r\n#Creating run-me-gcd-nangate45-base.sh script\r\n#Creating vars-gcd-nangate45-base.sh/tcl script\r\n#remove variables starting with a dot\r\n#remove non portable commands\r\n#remove QT variable\r\n#Archiving issue to global_place_gcd_nangate45_base_2022-07-25_10-59.tar.gz\r\ntar: ./logs/nangate45/gcd/base: Warning: Cannot stat: No such file or directory\r\ntar: ./objects/nangate45/gcd/base: Warning: Cannot stat: No such file or directory\r\ntar: ./reports/nangate45/gcd/base: Warning: Cannot stat: No such file or directory\r\ntar: ./results/nangate45/gcd/base: Warning: Cannot stat: No such file or directory_\r\n\r\n**Sorry, I didn't run the gcd design. I was running a single core Rocket design with the nangate45 PDK.**"}, {"assistant": "Try `DESIGN_CONFIG=./designs/nangate45/SingleRocket/config.mk make global_place_issue`\r\n\r\n"}, {"user": "@vijayank88 \r\nyes, I succeeded getting the _global_place_SingleRocket_nangate45_base_2022-07-25_11-51.tar.gz_ file.\r\n\r\nBut it's 820MB heavy... file size is too big.. \r\n\r\nNevertheless, I will attach the verilog files of the SingleRocket here:\r\n\r\n[SingleRocket.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9180412/SingleRocket.zip)\r\n\r\n\r\n"}, {"assistant": "@JiaDYuan \r\nShare `/designs/nangate45/SingleRocket/config.mk` `/designs/nangate45/SingleRocket/constraint.sdc` as well. Then only i can able to replicate your error"}, {"user": "@vijayank88\r\n\r\nHere are config.mk, constraint.sdc, lib and lef files attached:\r\n[config+constraint+lib+lef.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9180727/config%2Bconstraint%2Blib%2Blef.zip)\r\n "}, {"assistant": "@vvbandeira \r\nWhat's this error meant?\r\n```\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\nElapsed time: 5:35:57[h:]min:sec. CPU time: user 19597.61 sys 545.80 (99%). Peak memory: 57298472KB.\r\nmake: *** [results/nangate45/SingleRocket/base/3_3_place_gp.odb] Error 9\r\n```"}, {"assistant": "@vijayank88 this looks like an out-of-memory error."}, {"assistant": "@vvbandeira \r\nCan you run this design at your end? \r\nEven at my end its got killed twice. Both absolute/relative die size.\r\nSource files attached above.\r\n\r\nUpdate `config.mk` with following variable to avoid placement density issue: \r\n`export PLACE_DENSITY = 0.51`"}, {"assistant": "Using the latest code looks like a density issue (see below).\r\n\r\n```\r\nOpenROAD v2.0-4526-gc525def45\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GPL-0002] DBU: 2000\r\n[INFO GPL-0003] SiteSize: 380 2800\r\n[INFO GPL-0004] CoreAreaLxLy: 40280 39200\r\n[INFO GPL-0005] CoreAreaUxUy: 7278520 3158400\r\n[INFO GPL-0006] NumInstances: 1111893\r\n[INFO GPL-0007] NumPlaceInstances: 1092925\r\n[INFO GPL-0008] NumFixedInstances: 18968\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 1435642\r\n[INFO GPL-0011] NumPins: 4328218\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 7318800 3197600\r\n[INFO GPL-0014] CoreAreaLxLy: 40280 39200\r\n[INFO GPL-0015] CoreAreaUxUy: 7278520 3158400\r\n[INFO GPL-0016] CoreArea: 22577518208000\r\n[INFO GPL-0017] NonPlaceInstsArea: 20181952000\r\n[INFO GPL-0018] PlaceInstsArea: 11477327568000\r\n[INFO GPL-0019] Util(%): 50.88\r\n[INFO GPL-0020] StdInstsArea: 11477327568000\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[ERROR GPL-0302] Use a higher -density or re-floorplan with a larger core area.\r\nGiven target density: 0.30\r\nSuggested target density: 0.51\r\nError: global_place_skip_io.tcl, 30 GPL-0302\r\nElapsed time: 0:06.84[h:]min:sec. CPU time: user 6.31 sys 0.52 (100%). Peak memory: 2721284KB.\r\nmake: *** [Makefile:471: results/nangate45/SingleRocket/base/3_1_place_gp_skip_io.odb] Error 1\r\n```\r\n\r\nTough, after I changed to using the recommended density of `0.51`: I am running now with Valgrind to make sure is not a memory error. If it is not, we will need to investigate the GPL code, 129GB of RAM looks excessive.\r\n```\r\n[INFO GPL-0100] worst slack -2.02e-09\r\n[INFO GPL-0103] Weighted 143553 nets.\r\n[NesterovSolve] Iter: 720 overflow: 0.280691 HPWL: 29228281359\r\n[NesterovSolve] Iter: 730 overflow: 0.251856 HPWL: 29099461513\r\n[NesterovSolve] Iter: 740 overflow: 0.226439 HPWL: 28926181559\r\n[INFO GPL-0100] worst slack -2.22e-09\r\n[INFO GPL-0103] Weighted 143560 nets.\r\n[NesterovSolve] Iter: 750 overflow: 0.200645 HPWL: 28792313400\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\nElapsed time: 1:59:04[h:]min:sec. CPU time: user 7033.68 sys 106.78 (99%). Peak memory: 129120956KB.\r\nmake: *** [Makefile:485: results/nangate45/SingleRocket/base/3_3_place_gp.odb] Error 9\r\n```"}, {"assistant": "@vvbandeira \r\nSorry about that. I forgot to mention to update density value to `0.51`.\r\nYes maybe the reason for failure is RAM. I had only 128GB RAM in my GCP machine. "}, {"user": "@vijayank88 \r\n\r\nHi, may I ask why does it require so many RAM to run global placement? Even 128G is not enough? Is this case normal? "}, {"assistant": "You have 1M placeable objects so this is quite a large block but that still seems excessive. \r\n@vijayank88 do you have this case setup to run?"}, {"assistant": "Hi Matt,\n\nUser shared RTL in the thread.\n\nVitor is trying i think.\n\nOn Sun, Jul 31, 2022, 2:52 AM Matt Liberty ***@***.***> wrote:\n\n> You have 1M placeable objects so this is quite a large block but that\n> still seems excessive.\n> @vijayank88 do you have this case setup\n> to run?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@vvbandeira \r\nAny update on this? Is able to pass global placement stage?"}, {"assistant": "@vijayank88 I ran with Valgrind and found no memory error. It might be a problem with the internal logic. @maliberty suggestions? I have the design setup on my GCP machine in case you want to take a look let me know."}, {"assistant": "I think its similar to https://github.com/The-OpenROAD-Project/OpenROAD/issues/2221 Rocket mini chip code. \r\nas per @maliberty suggestion `Dropping in a ram (dff or openram) would likely solve many of your issues`"}, {"assistant": "@JiaDYuan \r\nHave you tried Matt's suggestion to update the RTL?"}]} +{"num": 2089, "messages": [{"user": "I'm trying to make changes to the global routing guides and then run STA to see what effect it has. First up - I'm not sure if this is expected to work, so feel free to close if it is invalid.\r\n\r\nMy test case looks like:\r\n\r\n```\r\nread_liberty asap7sc7p5t_AO_RVT_FF_nldm_201020.lib \r\nread_liberty asap7sc7p5t_OA_RVT_FF_nldm_201020.lib \r\nread_liberty asap7sc7p5t_SIMPLE_RVT_FF_nldm_201020.lib\r\nread_liberty asap7sc7p5t_INVBUF_RVT_FF_nldm_201020.lib \r\nread_liberty asap7sc7p5t_SEQ_RVT_FF_nldm_201020.lib \r\n\r\nread_lef asap7_tech_1x_201209.lef\r\nread_lef asap7sc7p5t_27_R_1x_201211.lef \r\n\r\nread_def before.def\r\n\r\nread_sdc 4_cts.sdc\r\n\r\nsource setRC.tcl\r\n\r\nset_global_routing_layer_adjustment M2-M7 0.3\r\nset_routing_layers -signal M2-M7\r\n\r\n#global_route\r\nread_guides route.guide\r\n\r\nset_propagated_clock [all_clocks]\r\nestimate_parasitics -global_routing\r\n\r\nreport_checks -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded\r\n```\r\n\r\nIf I uncomment `global_route` this works (presumably because we have set up the required state), but otherwise it fails with:\r\n\r\n```\r\nStack trace:\r\n 0# 0x0000000000BB1B4E in openroad\r\n 1# 0x00007F3F56DBD350 in /lib64/libc.so.6\r\n 2# grt::MakeWireParasitics::estimateParasitcs(odb::dbNet*, std::vector >&, std::vector >&) in openroad\r\n 3# grt::GlobalRouter::estimateRC() in openroad\r\n 4# rsz::Resizer::estimateParasitics(rsz::ParasiticsSrc) in openroad\r\n```\r\n\r\nI added this to `readGuides`, which fixed the SEGV but I get a bunch of errors about missing routes to pins:\r\n\r\n```\r\n nets = initNetlist();\r\n initNets(nets);\r\n```\r\n\r\nAt that point I wondered if we need to call `addRemainingGuides`, `connectPadPins` etc.\r\n\r\nTest case: [read_guides.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9185821/read_guides.tar.gz)\r\n"}, {"assistant": "@antonblanchard Could you check the latest master branch? We've merged an update that should fix your issue."}, {"user": "Thanks @eder-matheus this has fixed my issue, and made it much easier to go back and look at STA differences between grt parasitics and SPEF parasitics."}]} +{"num": 2091, "messages": [{"user": "Hi, it seems like OpenDB can't parse multiple ports.\r\nI'm currently using real Foundary PDK and this design contains a lot of multiple ports.\r\nI got an error (DEFPARS-6010) while processing my DEF file.\r\nAre there any options that I can do?"}, {"assistant": "Do you get any other messages? Can you reduce this to a minimal test case that you can make public (you don't need to make the LEF public, just a reduced DEF since it is a port issue)."}, {"user": "Before I share my content, sorry I'm a super newbie in this physical design area.\r\n\r\nmultiple ports of DEF file looks like this.\r\n\r\n~~~\r\n- VSS + NET VSS + SPECIAL +DIRECTION INOUT + USE GROUND\r\n + PORT \r\n +LAYER D6 ( -188 0 ) (188 376 )\r\n +FIXED (5600 0) N\r\n + PORT \r\n +LAYER D6 ( -188 0 ) (188 376 )\r\n +FIXED (5600 1490400 ) S\r\n + PORT \r\n +LAYER D6 ( -188 0 ) (188 376 )\r\n +FIXED (9930 0 ) N\r\n + PORT \r\n +LAYER D6 ( -188 0 ) (188 376 )\r\n +FIXED (9930 1490400 ) S\r\n + PORT \r\n +LAYER D6 ( -188 0 ) (188 376 )\r\n +FIXED (14250 0 ) N\r\n + PORT \r\n +LAYER D6 ( -188 0 ) (188 376 )\r\n +FIXED (14250 1490400 ) S\r\n~~~\r\n... and so on. I'm not sure why the Innovus tool exports the DEF file like this. Again, sorry for my lack of knowledge about VLSI physical design."}]} +{"num": 2098, "messages": [{"user": "Hi, \r\n\r\nI have an issue with the global_placement function end result allowing macros to overlap and placing all the components in a very congested small area allowing macros and pins to overlap. \r\nIn fact, I'm manually creating LEF and DEF files in order to perform placement optimization. After succeeding in creating correct DEF files (not crashing the app) and performing the global_placement function, I end up with an illegal placement like you see below. \r\nIn the LEF/DEF files creation, I only considered the most basic design specification without diving into the details. I might lack some details necessary to the good execution of the placement.\r\n![Screenshot from 2022-07-29 10-09-50](https://user-images.githubusercontent.com/45737280/181744841-afb9b6b8-f77c-4cb2-b28c-59a08090164d.png)\r\nThe legalization step is not triggered. I have also tried to add obstruction in the macros definition without noticeable results; or should I execute the detailed placement for this task? \r\nWhat about the routability, how can I enforce the design to be routable, and how to route if so. \r\n\r\nI will post my LEF DEF files. (they are quite easy) \r\n\r\nI would be happy to get any piece of advice. \r\n\r\nThank you very much. \r\n[def.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/9219392/def.txt)\r\n[lef.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/9219393/lef.txt)\r\n\r\n"}, {"assistant": "Legalization is part of detailed_placement not global_placement. Are you using a set of flow script like ORFS or OL?"}, {"user": "Thank you for your advice.\r\nNow the detailed_placement correctly resolves the components overlap. Nevertheless, the result doesn't seem to be very routable.\r\n\r\n![Screenshot from 2022-07-29 16-34-25](https://user-images.githubusercontent.com/45737280/181782921-d6f7b839-3f38-4bfc-957c-4cf166bf1336.png)\r\n\r\nI then added the track config in the DEF file\r\n\r\n```\r\nTRACKS Y 0 DO 240 STEP 280 LAYER metal2 ; \r\nTRACKS X 0 DO 180 STEP 380 LAYER metal2 ; \r\nTRACKS X 0 DO 180 STEP 380 LAYER metal1 ; \r\nTRACKS Y 0 DO 240 STEP 280 LAYER metal1 ;\r\n```\r\nAnd when I execute the global_route, I end up with this error\r\n `[ERROR GRT-0229] Vertical edge usage exceeds the maximum allowed.` which I neither properly understand nor have any clue how to solve.\r\n\r\nI'm not using any flow scripts. I'm only interested in having an optimized placement that is routable. \r\n\r\nThank very much for your precious help.\r\n"}, {"assistant": "Since these are macros rather than standard cells you should try using the macro_placement command with -halo & -channel to create more spacing between them in both directions. You can do this after global_placement and skip detailed_placement."}]} +{"num": 2106, "messages": [{"user": "Hi\uff0c I am failing the placement process of Single Rocket because of the possible memory problem: #2082 \r\n\r\nSo I tried to run a simple one, here is the RTL:\r\n[serial.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9231296/serial.zip)\r\n\r\nAnd the PDK is also nangate45:\r\n[config&PDK.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9231307/config.PDK.zip)\r\n\r\nBut the synthesis failed beacause of a error, _\"terminate called after throwing an instance of 'std::length_error'\"_\r\n\r\nHere is the log: \r\n[1_1_yosys.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9231317/1_1_yosys.log)\r\nBTW, the synthesis passed successfully in FPGA flow (Vivado) without any error.\r\n\r\nWhat's more, I have an additional question. I tried to generate a FFT through Chipyard. But it seems like that only FFT **with a processor** (Rocket) can be generated. So can Chipyard generate RTLs of accelerators only without any processor or core?\r\n"}, {"assistant": "@vvbandeira FYI\r\nIssue confirmed at my end as well.\r\n```\r\n7.23.2. Executing OPT_MERGE pass (detect identical cells).\r\nterminate called after throwing an instance of 'std::length_error'\r\n what(): hash table exceeded maximum size. use a ILP64 abi for larger tables.\r\nElapsed time: 37:01.61[h:]min:sec. CPU time: user 2151.83 sys 69.10 (99%). Peak memory: 23500444KB.\r\nmake: *** [results/nangate45/serial/base/1_1_yosys.v] Error 6\r\n\r\n```"}, {"assistant": "This looks like an issue with Yosys and not OpenROAD."}, {"assistant": "@JiaDYuan are you using ORFS / OpenLane? I would suggest you try the latest version of Yosys to see if the problem got fixed --- ORFS and OpenLane usually are behind. If the problem persists, file an issue in the upstream repo: https://github.com/YosysHQ/yosys"}, {"user": "@vvbandeira \r\nHi, I installed the newest Yosys: **Yosys 0.20+1 (git sha1 60a787fa5, clang 11.0.1-2 -fPIC -Os)**\r\n\r\nBut the synthesis still failed with the same error:\r\n\r\n7.23.2. Executing OPT_MERGE pass (detect identical cells).\r\nterminate called after throwing an instance of 'std::length_error'\r\n what(): hash table exceeded maximum size. use a ILP64 abi for larger tables.\r\nCommand terminated by signal 6\r\nElapsed time: 30:35.81[h:]min:sec. CPU time: user 1801.48 sys 33.81 (99%). Peak memory: 19007064KB.\r\nmake: *** [Makefile:372: results/nangate45/serial/base/1_1_yosys.v] Error 134\r\n"}, {"assistant": "The message \"use a ILP64 abi\" suggests you have compiled for 32bits. \r\n\r\nCheck if your executable is 32 bit or 64 bit. I did:\r\n```\r\n% file /workspaces/mliberty/w1/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys\r\n/workspaces/mliberty/w1/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys: ELF 64-bit LSB executable, x86-64, version 1 (GNU/Linux), dynamically linked (uses shared libs), for GNU/Linux 2.6.32, BuildID[sha1]=225a0e0281bd0a519b433d718ae65d5955961a94, not stripped\r\n```\r\nthe \"64-bit LSB executable\" is what we are looking for."}, {"user": "@maliberty \r\n\r\nHi, I think it is 64-bit LSB executable:\r\n\r\n`$ file ~/YOSYS/usr/local/bin/yosys\r\n/home/yuanjiadong/YOSYS/usr/local/bin/yosys: ELF 64-bit LSB executable, x86-64, version 1 (SYSV), dynamically linked, interpreter /lib64/ld-linux-x86-64.so.2, BuildID[sha1]=2c59d312ae6c641d9ca693495f26f96d63670331, for GNU/Linux 3.2.0, not stripped\r\n`\r\n"}, {"assistant": "I have filed a yosys issue for you. Note that the yosys team is separate so I have no control over whether if/when they choose to address this."}, {"assistant": "> ```\t\tthrow std::length_error(\"hash table exceeded maximum size.\\nDesign is likely too large for yosys to handle, if possible try not to flatten the design.\");```\r\n\r\n@JiaDYuan above is the new message from Yosys team. Looks like the issue is due to the design size and Yosys limitations -- not related to OpenROAD itself. You could try to break down your design into modules and use black-box to combine them afterwards. For these reasons, I will close the issue, but let us know if we can help with anything else."}]} +{"num": 2107, "messages": [{"user": "I found this CMake error after I built (without #1922 (although I don't think they are relevant...))\r\n```\r\nCMake Error: The following variables are used in this project, but they are set to NOTFOUND.\r\nPlease set them or make sure they are set and tested correctly in the CMake files:\r\nTCL_LIBRARY \r\n linked by target \"openroad\" in directory /home/Github/OpenROAD/OpenROAD/src\r\n linked by target \"odb\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/src/db\r\n linked by target \"TestCallBacks\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestGeom\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestModule\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestLef58Properties\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestGuide\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestJournal\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestGroup\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestGCellGrid\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"TestAccessPoint\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/test/cpp\r\n linked by target \"odbpy\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/src/swig/python\r\n linked by target \"odbtcl\" in directory /home/Github/OpenROAD/OpenROAD/src/odb/src/swig/tcl\r\n linked by target \"sta\" in directory /home/Github/OpenROAD/OpenROAD/src/sta\r\n linked by target \"TestDistributed\" in directory /home/Github/OpenROAD/OpenROAD/src/dst/test/cpp\r\n linked by target \"TestBalancer\" in directory /home/Github/OpenROAD/OpenROAD/src/dst/test/cpp\r\n linked by target \"TestWorker\" in directory /home/Github/OpenROAD/OpenROAD/src/dst/test/cpp\r\n\r\n-- Generating done\r\nCMake Generate step failed. Build files cannot be regenerated correctly.\r\n```\r\n\r\n"}, {"assistant": "@wjrforcyber \r\nI don't understand your issue?\r\nPlease provide following:\r\n\r\n1. What command you're tried and facing this issue or instruction followed?\r\n2. OpenROAD version currently using?\r\n3. OS related"}, {"user": "> @wjrforcyber I don't understand your issue? Please provide following:\r\n> \r\n> 1. What command you're tried and facing this issue or instruction followed?\r\n> 2. OpenROAD version currently using?\r\n> 3. OS related\r\n\r\nSorry, I haven't written issue for a long time, here is the information:\r\n\r\n1. I was following the readme and cloned master, in **Build by hand** section I tried `cmake`, and the error showed up.\r\n2. May I ask how could I get the version of OpenROAD? \r\n4. MacOs"}, {"assistant": "Is https://github.com/The-OpenROAD-Project/OpenROAD#install-dependencies installed?\r\nyou've tried `cmake ..` right?"}, {"user": "> https://github.com/The-OpenROAD-Project/OpenROAD#install-dependencies\r\n\r\n1. Yes, dependencies are installed except the qt issue #1922 as I mentioned above.\r\n2. Yes, tried `cmake ..`."}, {"assistant": "Its a fresh installation or updating existing repo?"}, {"user": "> Its a fresh installation or updating existing repo?\r\n\r\nCloned last Friday."}, {"assistant": "Try the following:\r\n```\r\ngit checkout master\r\ngit pull\r\ngit submodule init\r\ngit submodule update\r\ngit submodule sync\r\ngit clean -xdi\r\nmkdir build\r\ncd build\r\ncmake ..\r\nmake\r\n```"}, {"user": "> > @wjrforcyber I don't understand your issue? Please provide following:\r\n> > \r\n> > 1. What command you're tried and facing this issue or instruction followed?\r\n> > 2. OpenROAD version currently using?\r\n> > 3. OS related\r\n> \r\n> Sorry, I haven't written issue for a long time, here is the information:\r\n> \r\n> 1. I was following the readme and cloned master, in **Build by hand** section I tried `cmake`, and the error showed up.\r\n> 2. May I ask how could I get the version of OpenROAD?\r\n> 3. MacOs\r\n\r\nSorry, about 3, I used it on ubuntu in docker. @vijayank88 "}, {"user": "> Try the following:\r\n> \r\n> ```\r\n> git checkout master\r\n> git pull\r\n> git submodule init\r\n> git submodule update\r\n> git submodule sync\r\n> git clean -xdi\r\n> mkdir build\r\n> cd build\r\n> cmake ..\r\n> make\r\n> ```\r\n\r\nTried, still the same error. I found that there a [similar one](https://github.com/The-OpenROAD-Project/OpenROAD/issues/136#issuecomment-606298703) in the issue history, don't know how they dealt with it."}, {"assistant": "May i know the reason for OpenROAD developement branch installation?\r\nIf that ok, you can install https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts as stable flow."}, {"assistant": "> > https://github.com/The-OpenROAD-Project/OpenROAD#install-dependencies\r\n> \r\n> 1. Yes, dependencies are installed except the qt issue [qt5-default package from DependencyInstaller.sh is deprecated #1922](https://github.com/The-OpenROAD-Project/OpenROAD/issues/1922) as I mentioned above.\r\n> \r\n> 2. Yes, tried `cmake ..`.\r\n\r\nhave you ran both?\r\n```\r\n ./etc/DependencyInstaller.sh -run\r\n ./etc/DependencyInstaller.sh -dev\r\n```\r\n"}, {"user": "\r\n\u3000\u3000\r\n\r\n> > > https://github.com/The-OpenROAD-Project/OpenROAD#install-dependencies\r\n> > \r\n> > \r\n> > ```\r\n> > 1. Yes, dependencies are installed except the qt issue [qt5-default package from DependencyInstaller.sh is deprecated #1922](https://github.com/The-OpenROAD-Project/OpenROAD/issues/1922) as I mentioned above.\r\n> > \r\n> > 2. Yes, tried `cmake ..`.\r\n> > ```\r\n> \r\n> have you ran both?\r\n> \r\n> ```\r\n> ./etc/DependencyInstaller.sh -run\r\n> ./etc/DependencyInstaller.sh -dev\r\n> ```\r\n\r\nYes, the first one and the second one both showed the qt error, others are fine, I can even `apt search tcllib` and `apt search tcl-dev` and find them.\r\nJust for study, I may try OpenROAD-flow-scripts later."}, {"assistant": "@wjrforcyber if you are using docker you can use `openroad/ubuntu20-dev` image which is validated to have all the dependencies to build/run the app.\r\n\r\nIf you are on macOS, which version of Cmake do you have? Also, setting the Tcl path can be tricky, did you install Tcl with brew? You can take a look at how we do for our CI [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/5ca26d885644fc725f9884cb5f73aa3aeca7c1ee/.github/workflows/github-actions-macos.yml#L36-L45)"}, {"assistant": "@wjrforcyber \r\nAre you able to resolve it now?"}, {"assistant": "Closing as stale. Please re-open or file a new issue in case this is still relevant."}]} +{"num": 2122, "messages": [{"user": "Hi,\r\nI am trying to compile and I gets errors:\r\n [54%] Linking CXX executable abc\r\ncd /OpenROAD/build/third-party/abc && /home/nive/bin/cmake -E cmake_link_script CMakeFiles/abc.dir/link.txt --verbose=1\r\n/home/nive/bin/gcc -O3 -DNDEBUG -rdynamic CMakeFiles/abc.dir/src/base/main/main.c.o -o abc libabc.a -lpthread -lm -ldl -lrt \r\nlibabc.a(bmcMaj3.c.o): In function `Zyx_ManPrintSolutionFile':\r\nbmcMaj3.c:(.text+0x193): undefined reference to `bmcg_sat_solver_read_cex_varvalue'\r\nbmcMaj3.c:(.text+0x203): undefined reference to `bmcg_sat_solver_read_cex_varvalue'\r\n\r\nFrom there there is a lot of 'undefined reference to ...' error and then:\r\ngiaQbf.c:(.text+0x8165): undefined reference to `bmcg_sat_solver_solve'\r\ngiaQbf.c:(.text+0x8203): undefined reference to `bmcg_sat_solver_read_cex_varvalue'\r\ncollect2: error: ld returned 1 exit status\r\nmake[2]: *** [third-party/abc/abc] Error 1\r\nmake[2]: Leaving directory `/OpenROAD/build'\r\nmake[1]: *** [third-party/abc/CMakeFiles/abc.dir/all] Error 2\r\nmake[1]: Leaving directory `/OpenROAD/build'\r\nmake: *** [all] Error 2\r\n\r\nCan you give me direction to what this issue related to?"}, {"assistant": "On what platform with what compiler? This isn't enough information except to say that it builds fine with our CI."}, {"assistant": "@maliberty \r\nIts better to keep issue template mandatory for all, based on installation/design issue.\r\nSo its easy to understand issue. \r\nIf its ok i'll make a enhancement proposal."}, {"assistant": "@vijayank88 ok"}, {"user": "I think that as part of the problem is that I install most of the dependencies locally since i don't got root permission.\r\nI think that what causing the make errors, I will try to install it in different environment. \r\n\r\nThanks, and sorry for the unclear issue."}]} +{"num": 2126, "messages": [{"user": "* Using OpenROAD 273a9ac3a\r\n\r\n```\r\nOpenROAD v2.0-4585-g273a9ac3a \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO PDN-0001] Inserting grid: stdcell_grid\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0019] Voltage on net VPWR is not explicitly set.\r\nStack trace:\r\n 0# 0x00005621B7FCAAF5 in openroad\r\n 1# 0x00007F7C1440E8E0 in /usr/lib/libc.so.6\r\n 2# sta::LibertyLibrary::defaultOperatingConditions() const in openroad\r\n 3# psm::SupplyVoltage::getSupplyVoltage(sta::dbSta*) in openroad\r\n 4# psm::IRSolver::readC4Data() in openroad\r\n 5# psm::IRSolver::buildConnection() in openroad\r\n 6# psm::PDNSim::check_connectivity() in openroad\r\n 7# 0x00005621B8E28DF5 in openroad\r\n 8# TclNRRunCallbacks in /usr/lib/libtcl.so\r\n 9# 0x00007F7C1603BBDB in /usr/lib/libtcl.so\r\n10# Tcl_EvalEx in /usr/lib/libtcl.so\r\n11# Tcl_Eval in /usr/lib/libtcl.so\r\n12# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n13# ord::tclAppInit(Tcl_Interp*) in openroad\r\n14# Tcl_MainEx in /usr/lib/libtcl.so\r\n15# main in openroad\r\n16# 0x00007F7C143F9290 in /usr/lib/libc.so.6\r\n17# __libc_start_main in /usr/lib/libc.so.6\r\n18# _start in openroad\r\n```\r\n\r\n[repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9281447/repro.tar.gz)\r\n\r\n"}, {"assistant": "The crash is from psm not pdn. To calculate IR drop we need to know the supply voltage. You can specify it with set_pdnsim_net_voltage. If not specified it will try to take it from the Liberty. In your case none is loaded. It shouldn't crash and I'll fix that but you'll just get an error message instead. You need to use one of the options to give the voltage."}, {"user": "Gotcha. Thank you."}]} +{"num": 2131, "messages": [{"user": "CTS isn't aware of blockages when constructing the tree or adding post-opt buffers. This can lead to poor results with excessive skew. A test case is provided in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2130\r\n\r\nThe Post-GRT skew is:\r\n```\r\nClock clk\r\nLatency CRPR Skew\r\ninst_8_4/clk ^\r\n 1.95\r\ninst_10_4/clk ^\r\n 1.69 0.00 0.26\r\n```\r\n\r\nImmediately after CTS this pair looks like this with the last common segment in yellow and the clkopt buffers as yellow dots. The cts buffer and the clkopt buffers are placed on the macros:\r\n![image](https://user-images.githubusercontent.com/761514/183509015-cd978002-fdc9-44a0-adf2-707a7ca8f6e5.png)\r\n\r\n\r\nDetailed placement will push them off the macros (left & right in this example):\r\n![image](https://user-images.githubusercontent.com/761514/183509207-d04de477-502c-4d56-81b1-a2245ab07e69.png)\r\n\r\n\r\nThe global route guides have to go through the buffers leading to a circuitous route:\r\n![image](https://user-images.githubusercontent.com/761514/183509321-90aef669-69a7-4ea3-9a21-88396540602b.png)\r\n\r\n\r\nwithout instances to better see the scenic routes:\r\n![image](https://user-images.githubusercontent.com/761514/183509352-f2b25f43-962f-46d4-bbde-956bc00a7d41.png)\r\n"}, {"user": "I believe the same issue will show up in the resizer as well due to its reliance on Steiner trees that are blockage unaware."}]} +{"num": 2135, "messages": [{"user": "* OpenROAD 273a9ac3a\r\n\r\n```\r\nOpenROAD v2.0-4585-g273a9ac3a \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1. \r\n[INFO]: Setting signal max routing layer to: met5 and clock max routing layer to met5. \r\n-congestion_iterations 50 -verbose\r\n[INFO GRT-0020] Min routing layer: met1\r\n[INFO GRT-0021] Max routing layer: met5\r\n[INFO GRT-0022] Global adjustment: 30%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400\r\n[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400\r\n[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500\r\n[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150\r\n[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 1.0400\r\n[INFO GRT-0088] Layer met5 Track-Pitch = 3.4000 line-2-Via Pitch: 3.1100\r\n[INFO GRT-0019] Found 0 clock nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 65\r\n[INFO GRT-0003] Macros: 0\r\n[INFO GRT-0004] Blockages: 896\r\n\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nli1 Vertical 0 0 0.00%\r\nmet1 Horizontal 4480 2626 41.38%\r\nmet2 Vertical 3360 2308 31.31%\r\nmet3 Horizontal 2240 1521 32.10%\r\nmet4 Vertical 1344 949 29.39%\r\nmet5 Horizontal 448 208 53.57%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0197] Via related to pin nodes: 1363\r\n[INFO GRT-0198] Via related Steiner nodes: 18\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 1494\r\n[INFO GRT-0112] Final usage 3D: 5428\r\n\r\n[INFO GRT-0096] Final congestion report:\r\nLayer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n---------------------------------------------------------------------------------------\r\nli1 0 0 0.00% 0 / 0 / 0\r\nmet1 2626 372 14.17% 0 / 0 / 0\r\nmet2 2308 574 24.87% 0 / 0 / 0\r\nmet3 1521 0 0.00% 0 / 0 / 0\r\nmet4 949 0 0.00% 0 / 0 / 0\r\nmet5 208 0 0.00% 0 / 0 / 0\r\n---------------------------------------------------------------------------------------\r\nTotal 7612 946 12.43% 0 / 0 / 0\r\n\r\n[INFO GRT-0018] Total wirelength: 11799 um\r\n[INFO GRT-0014] Routed nets: 372\r\nError: groute.tcl, 41 TypeError in method 'sta_to_db_mterm', argument 1 of type 'LibertyPort *'\r\n```\r\n\r\n[repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9299551/repro.tar.gz)"}, {"assistant": "This is crashing because when the code is looking for the diode specified, it returns a list of three diode ports (from the `sta::get_lib_pins` function). I see that the diode cell `sky130_fd_sc_hd__diode_2` is defined in three different liberty files. \r\n\r\nAre you reading all of them in this run?"}, {"assistant": "@donn @maliberty Also, is it valid to have multiple definitions of the same cell in different liberty files and read them in the same run? If so, I think I have a fix for this issue, but I'm not sure if it's ok to have this scenario."}, {"assistant": "You could have multiple liberty files for different corners however there should only be one LEF.\r\n\r\n```\r\n foreach lib $::env(LIB_SLOWEST) {\r\n read_liberty -corner ss $lib\r\n }\r\n foreach lib $typ {\r\n read_liberty -corner tt $lib\r\n }\r\n foreach lib $::env(LIB_FASTEST) {\r\n read_liberty -corner ff $lib\r\n }\r\n```\r\nis legal"}]} +{"num": 2149, "messages": [{"user": "More information: https://github.com/The-OpenROAD-Project/OpenLane/issues/1265\r\n\r\nAny suggestions on how to debug this?"}, {"assistant": "I've never seen this problem and have no clue. I don't think there is anything I can do without a test case. If they want support I suggest they contact @tspyrou for under NDA help through Precision Innovations."}, {"assistant": "Could this be related to docker? I haven't seen anything like that either. Have you tried outside of docker?"}, {"assistant": "Or a bad X server"}, {"user": "> I've never seen this problem and have no clue. I don't think there is anything I can do without a test case. \r\n\r\nIf you open the gui and just open and close random menues then you get this issue. There is no test case per se\r\n\r\n> If they want support I suggest they contact @tspyrou for under NDA help through Precision Innovations.\r\n\r\nI am able to reproduce it outside NDA enviornment. Posted the logs. See original thread.\r\n\r\n> Could this be related to docker? I haven't seen anything like that either. Have you tried outside of docker?\r\n\r\nNo, I do not have OpenROAD installation outside of Docker.\r\n\r\n> Or a bad X server\r\n\r\nIt might be three things: Bad GPU driver, Bad X server, Bad drivers inside Docker.\r\n\r\nI still do not know how to approach it. But it seems that all of the machines are VirtualBox instances with installed 3D acceleration. Can anybody else install Ubuntu inside VirtualBox and attempt to reproduce it?"}, {"assistant": "@maliberty since the related issue on OpenLANE was closed, should this one be closed as well?"}]} +{"num": 2153, "messages": [{"user": "As discussed in https://github.com/hdl/conda-eda/pull/228#issuecomment-1201078808, we'd need to get releases tagged in order to publish an OpenROAD to https://conda-forge.org/.\r\n\r\nLatest tag https://github.com/The-OpenROAD-Project/OpenROAD/tree/v2.0 is ~1yo, would it be possible to get another release tagged.\r\n\r\nAlternatively the project could use a strategy similar to https://github.com/chipsalliance/verible/blob/master/.github/workflows/verible-ci.yml#L340 to get version tagged on every commit.\r\n\r\nLet me know what you think."}, {"assistant": "Why can't you use a commit id? Tagging every commit is horrible and makes tags nearly useless. Not to mention tags can be moved so it is less stable than a commit id."}, {"user": "@maliberty conda-forge automation (as many other packaging system/distribution tend to do) relies on tagged release for referencing and updating upstream tarballs, see:\r\nhttps://conda-forge.org/docs/maintainer/adding_pkgs.html#maintainer-role\r\nhttps://conda-forge.org/docs/maintainer/updating_pkgs.html#how-does-regro-cf-autotick-bot-create-automatic-version-updates\r\n\r\nI agree that automated tagging is not ideal for a mature project, as, if not careful implemented, it can loose some of the [semantic](https://semver.org/) around the versioning of the repo. I believe verible use it because they are still `< 1.0` and are basically implementing https://semver.org/#spec-item-4:\r\n> Major version zero (0.y.z) is for initial development. Anything MAY change at any time. The public API SHOULD NOT be considered stable.\r\n\r\nBased on the release history, I can spot a few patterns currently being used for versioning OpenROAD:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/tags\r\n| tag | date | commit |\r\n| ------ | ---------------- | -------------- |\r\n| v2.0 | Jul 17, 2021 | a5a5658 |\r\n| 2021_03_09_stable | Mar 10, 2021 | 0f5a83c |\r\n| v1.0rc2 | Oct 17, 2020 | c30c26e |\r\n| v0.9.0-beta | Jul 7, 2020 | 2f8a74e |\r\n\r\n- 1-2 releases per year\r\n- Only major release v0 -> v1 -> v2, or dated version for specific occasion\r\n\r\nAssuming this is consistent I have a few questions:\r\n- Will the next tagged version of OpenROAD will be `v3.0`? If yes, do you have an idea yet of what the feature sets/issues to be fixed are to reach it? (maybe creating a [GitHub milestone](https://github.com/The-OpenROAD-Project/OpenROAD/milestones) would be handy).\r\n- Can we get a (minor?) tag including a subset of the changes between v2.0 and master ([4632 commits](https://github.com/The-OpenROAD-Project/OpenROAD/compare/v2.0...master)) so that we can make progress on the packaging effort?\r\n"}, {"assistant": "The only pattern is that we are obliged by contract to have certain releases. We don't really have formal releases in general and follow @mithro advice to keep everyone at head.\r\n\r\nWe can add a specific tag for testing but setting up a tag based methodology isn't a good long term strategy. We don't intend to support those tags if there are problems by anything other than telling someone to move to head."}, {"assistant": "You can build from a tarball so can you use something like https://github.com/The-OpenROAD-Project/OpenROAD/tarball/4a99e88667b0840531ca0096c4fa0da8f80d6cb1 ?"}, {"assistant": "https://conda-forge.org/docs/maintainer/adding_pkgs.html#tarballs-no-repos"}, {"user": "Yep, but as hinted my earlier comment conda-forge (and other packaging system/distribution) requires/strongly suggest that those tarballs comes from tagged release.\r\n\r\nA few of the reasons could be that:\r\n- intermediate commit are often considered unstable\r\n- releases w/ changelog are the standard way to convey distribution readiness in the wider software community\r\n- automation for bumping version in recipe and generating new package versions are based on those releases metadata\r\n\r\nKeeping everyone at HEAD sounds like a valid strategy if [everyone is building from source](https://research.google/pubs/pub45424/), but having some form of release/versioning is desirable if we want to ease redistribution of OpenROAD in various packaging system."}, {"assistant": "Tagging every commit is not a recipe for stability. Google explicitly shot down the idea of versioned releases previously when I proposed it. Please coordinate with @mithro on a unified stance. I believe we've had this same conversation before..."}, {"user": "> Tagging every commit is not a recipe for stability. Google explicitly shot down the idea of versioned releases previously when I proposed it.\r\n\r\nSorry if I gave this impression, but I didn't meant to push for one versioning strategy over the other. Each projects have differents cycles of stability, and it's ultimatly the decision of the project maintainers find a release cycle and versioning strategy that better fit the expectation they want to set for their user base.\r\n\r\nI'm mainly interested to find out if there is a desire from the project maintainers to find \"one versioning strategy\" that can make the packaging story for OpenROAD across different packaging system/distribution easier (as we were discussing w/ potential contributors in https://github.com/hdl/conda-eda/pull/228#issuecomment-1214471947).\r\n\r\n> Google explicitly shot down the idea of versioned releases previously when I proposed it. Please coordinate with @mithro on a unified stance. I believe we've had this same conversation before...\r\n\r\n@mithro should be free to jump in here too, I'm just one extra data point and I'm not convinced an unified stance is a strict prior requirements to have this conversation in the open.\r\n\r\nI could only find this one issue https://github.com/The-OpenROAD-Project/OpenROAD/issues/205 discussing a similar need in the project history, maybe other packagers could also comment on how versioning strategies impacts their effort to package and redistribute OpenROAD?\r\n- @sequencer for Arch\r\n- @cbalint13 for Fedora\r\n- @trepetti for NixOS\r\n- @rubund for Debian/Ubuntu (OpenSTA)\r\n\r\n"}, {"assistant": "For nixpkgs, I originally packaged it at 2.0 and assumed there would be semver releases, but just recently, it became clear that this style of release had been dropped, so the package now uses the `unstable-yyyy-mm-dd` format. I am hoping to make a bot to take monthly snapshots eventually to automate this depending on CI status. If there is a bug that is fixed in at HEAD but not in the package cache, versioning can be overridden programmatically by Nix users if they have the commit ID and the SHA256 hash for the tarball. This way, the project can be tracked pretty closely, and there will always be a reasonably recent binary in the cache in the absence of formal releases."}, {"assistant": "Not part of the official team: The two tagged releases were done to satisfy a contract requirement, and did not signal a decision around versioning. "}, {"user": "> I am hoping to make a bot to take monthly snapshots eventually to automate this depending on CI status.\r\n\r\nMaybe we could have this as a shared infrastructure that all downstream packagers could leverage?"}, {"assistant": "@proppy Most likely it will be Nix-specific but open, yes. For the next several releases, I will do it manual for the next several version bumps, most likely."}, {"user": "@trepetti I've started setting up something in my fork that run weekly https://github.com/proppy/OpenROAD/tags.\r\nhttps://github.com/proppy/OpenROAD/blob/sync/.github/workflows/github-actions-cron-release-from-head.yml\r\n\r\nMaybe we could run this in a shared project instead w/ some additional QA to vet the upstream tarball before tagging?"}, {"user": "I'd also love it hear from @maliberty (w/ input from @QuantamHD and @mithro) if they think that something like https://en.wikipedia.org/wiki/Rolling_release could be an appropriate releasing strategy for the project, and if yes at which frequency (weekly? monthly? daily?).\r\n\r\nhttps://cloud.google.com/blog/topics/developers-practitioners/how-google-got-to-rolling-linux-releases-for-desktops has some pointer on how this was implemented for Google gLinux distribution (based on debian testing).\r\n> This frequency of updates required us to redesign a lot of systems and processes. While originally intending more frequent releases, we found that for us, weekly releases were a sweet spot between moving quickly and allowing for proper release qualification, limiting the disruption to developer productivity.\r\n"}, {"assistant": "I'm not clear from the links as to what exactly is requested. Is a rolling release just whenever the tests pass or something more? Is there any expectation of a fix to a rolling release that is not just at the current head? To the degree that this is all automatic and of minimal impact I am agnostic."}, {"user": "> Is a rolling release just whenever the tests pass or something more? \r\n\r\nYes pretty much, you'd need to decide on two things:\r\n- the cadence of the release (daily, weekly, bi-weekly, monthly?)\r\n- the tests required to validate the release (what's in `test/*`, https://github.com/The-OpenROAD-Project/OpenLane-MPW-CI, more?)\r\n\r\n> Is there any expectation of a fix to a rolling release that is not just at the current head? \r\n\r\nYou could explicit set the expection that bugs fixed at HEAD are (by virtue of the process) to be included in \"next rolling release\"."}, {"assistant": "Also OpenROAD-flow-scripts-Nightly-Public and OpenROAD-public.\r\n\r\nIs this fully automatable? If so I don't care what the frequency is. If not I would pick the longest period possible."}, {"user": "> Is this fully automatable?\r\n\r\nYep, I started something at in my fork at https://github.com/proppy/OpenROAD/blob/sync/.github/workflows/github-actions-cron-release-from-head.yml and sync the tree from upstream at a given frequency and cut a new tag if it doesn't already exist for that commit.\r\n\r\nI was thinking of proceeding this way:\r\n- [ ] add steps to query the Jenkins status for the current commit being considered for tagging\r\n- [ ] let it run for a few days to create a few release in my fork\r\n- [ ] send a PR against the https://github.com/The-OpenROAD-Project/OpenROAD to integrate it w/ the rest of `.github/workflows`\r\n\r\n> If so I don't care what the frequency is. If not I would pick the longest period possible.\r\n\r\n@trepetti what would be the ideal frequency for `nixpkgs`? I'm tempted to think weekly could be a good first pick; we can always adjust the frequency depending of each distribution needs as the tag get actually used.\r\n\r\n"}, {"assistant": "https://github.com/Precision-Innovations/OpenROAD/releases has maintaining the tags by latest."}, {"user": "@vijayank88 thanks for pointing this out! Do you plan to also populate the tag on this repo?"}, {"assistant": "@proppy \r\nI don't have information on your question. Maybe @maliberty have?"}, {"assistant": "Those 'releases' are just nightly builds. GH just provide releases as the mechanism for distribution. We don't make any guarantee of stability.\r\n\r\nThere is no plan to tag them for all the reasons discussed above."}, {"assistant": "I can send a PR for an automatic release drafter, similar to the one we use in gdsfactory"}, {"user": "filed https://github.com/berkeley-abc/abc/issues/267 and https://github.com/The-OpenROAD-Project/OpenSTA/issues/208"}, {"user": "@vijayank88 it would be nice for the tarball at https://github.com/Precision-Innovations/OpenROAD/releases to include the source for `abc` and `OpenSTA` in order to make the build more readily reproducible."}, {"assistant": "@vijayank88 doesn't produce those builds - @vvbandeira would be the right person to help. That said those are submodules so you can easily do an init to get the code."}, {"user": "> you can easily do an init to get the code.\r\n\r\nsadly GitHub release tarballs don't include git metadata nor information, see: https://github.com/dear-github/dear-github/issues/214"}, {"assistant": "Is there any GH mechanism to do what you want?"}, {"assistant": "You can get GitHub release tarballs to include git information this way -> https://github.com/YosysHQ/yosys/pull/3138/files"}]} +{"num": 2154, "messages": [{"user": "When I try to install the OpenRoad into a new Machine, at the step: Build using support script './etc/Build.sh', I meet the problem 'collect2: error: ld returned 1 exit status' as below, How can I solve it? Thanks!\r\n![image](https://user-images.githubusercontent.com/88715865/184573502-74e61b2a-ac61-4f08-9ab2-c7a49b64582a.png)\r\n"}, {"assistant": "Did you use the dependency installer script? What version of tcl do you have? What OS are you using?"}, {"user": "Yeah, I have used it (including: ./etc/DependencyInstaller.sh -run and ./etc/DependencyInstaller.sh -dev); tclsh version is 8.6; OS is CentOS7."}, {"assistant": "@Elon-J can you run the following? If there is still an error, please upload the `build.log` file here.\r\n```\r\n./etc/Build.sh -clean 2>&1 | tee build.log\r\n```"}, {"user": "Yeah, there is still an error; this is the build.log file.\r\n\r\n[build.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9338897/build.log)\r\n"}, {"assistant": "@Elon-J \r\nTry these steps: \r\nI am not facing any issues with Centos07 installation\r\n```\r\nsource /opt/rh/devtoolset-8/enable\r\nsource /opt/rh/llvm-toolset-7.0/enable\r\ngit checkout master\r\ngit pull\r\ngit submodule init\r\ngit submodule update\r\ngit submodule sync\r\ngit clean -xdi\r\n./etc/Build.sh\r\n```"}, {"assistant": "Looking at the log and comparing with one from a successful run (also on a Centos7) on the same commit the only difference between our setups are:\r\n\r\n```\r\n# yours\r\n-- Boost found.\r\n-- Found Python3: /usr/local/lib/libpython3.8.a (found version \"3.8.12\") found components: Development\r\n-- Found FLEX: /usr/bin/flex (found version \"2.5.37\")\r\n# my\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version \"1.72.0\")\r\n-- Found Python3: /usr/include/python3.6m (found version \"3.6.8\") found components: Development Development.Module Development.Embed\r\n-- Found FLEX: /usr/local/bin/flex (found version \"2.6.4\")\r\n```\r\n\r\nThough I suspect these differences would not make any difference in compile. However, I also noticed that in your build there are no `.d` files or the `-MD -MF` compile flags -- these flags create the `.d` files which specify dependencies for the compiler. I have no clue why yours is behaving differently. You could try compiling with a single thread, but that might not solve the issue.\r\n\r\n```\r\n./etc/Build.sh -clean -threads=1 2>&1 | tee build-single.log\r\n```\r\n\r\nI do not see any issues with OpenROAD itself here, happy to try and help you but this looks like an issue with our setup/environment."}, {"assistant": "@vvbandeira @Elon-J I think it is something to do with the python version"}, {"assistant": "I tried this on a docker container (centos:centos7). I have same Boost version `/usr/local/lib/cmake/Boost-1.76.0/` and same FLEX version `flex 2.5.37` as @Elon-J . But I have the python version same as @vvbandeira `/usr/include/python3.6m/`. I am able to build the binary `OpenROAD v2.0-4634-g3342b36`\r\n\r\n"}, {"assistant": "And something that is notable is the conda package of the openroad.. it uses python3.7 unlike klayout conda package which uses python3.8. Try installing klayout from `conda install -c flaport klayout` after installing openroad package. You will see a message that openroad will be removed because of python version differences."}, {"assistant": "Thanks @saicharan0112 this should work. @Elon-J Please try it."}, {"assistant": "Here is the installation script for OpenFASoC flow which uses the conda installation approach to install openroad, sky130 pdk, yosys etc. \r\nhttps://github.com/idea-fasoc/OpenFASOC/blob/main/Dependencies.sh\r\nKlayout is installed from the .deb or .rpm packages based on the OS"}, {"user": "@saicharan0112, Thank you, Sai! Let me try it."}, {"assistant": "@Elon-J any updates? Were you able to fix the issue with the suggestion?"}, {"assistant": "@Elon-J \r\nAre you able to complete the installation?"}]} +{"num": 2157, "messages": [{"user": "Confession: I'm not sure if this is been a long-standing problem we've been working around before or if there's an oversight on my part, but:\r\n\r\n* OpenROAD e036ecf\r\n```\r\nOpenROAD e036ecfaca4bc0efe88a54085efcf0f562c48a6b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Setting RC values...\r\n[INFO RSZ-0027] Inserted 35 input buffers.\r\n[INFO RSZ-0028] Inserted 1 output buffers.\r\n[INFO RSZ-0058] Using max wire length 4269um.\r\n[INFO RSZ-0039] Resized 244 instances.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 1157.9 u\r\naverage displacement 2.3 u\r\nmax displacement 30.4 u\r\noriginal HPWL 4786.3 u\r\nlegalized HPWL 5851.1 u\r\ndelta HPWL 22 %\r\n\r\n[INFO DPL-0020] Mirrored 146 instances\r\n[INFO DPL-0021] HPWL before 5851.1 u\r\n[INFO DPL-0022] HPWL after 5637.3 u\r\n[INFO DPL-0023] HPWL delta -3.7 %\r\nWriting OpenROAD database to ./out.odb...\r\nWriting powered netlist to ./out.nl.v...\r\nWriting layout to ./out.def...\r\nWriting timing constraints to ./tmp/placement/8-resizer.sdc...\r\nmin_report\r\n\r\n===========================================================================\r\nreport_checks -path_delay min (Hold)\r\n============================================================================\r\nNo paths found.\r\nmin_report_end\r\nmax_report\r\n\r\n===========================================================================\r\nreport_checks -path_delay max (Setup)\r\n============================================================================\r\nNo paths found.\r\nmax_report_end\r\ncheck_report\r\n\r\n===========================================================================\r\nreport_checks -unconstrained\r\n============================================================================\r\nStartpoint: y (input port)\r\nEndpoint: _503_ (rising edge-triggered flip-flop)\r\nPath Group: (none)\r\nPath Type: max\r\nCorner: tt\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 ^ input external delay\r\n 0.00 0.00 0.00 ^ y (in)\r\n 1 0.00 y (net)\r\n 0.00 0.00 0.00 ^ input35/A (sky130_fd_sc_hd__clkbuf_1)\r\n 0.05 0.07 0.07 ^ input35/X (sky130_fd_sc_hd__clkbuf_1)\r\n 1 0.00 net35 (net)\r\n 0.05 0.00 0.07 ^ _206_/A (sky130_fd_sc_hd__clkbuf_4)\r\n 0.12 0.19 0.26 ^ _206_/X (sky130_fd_sc_hd__clkbuf_4)\r\n 10 0.04 _097_ (net)\r\n 0.12 0.00 0.27 ^ _207_/A (sky130_fd_sc_hd__clkbuf_4)\r\n 0.12 0.22 0.48 ^ _207_/X (sky130_fd_sc_hd__clkbuf_4)\r\n 10 0.04 _098_ (net)\r\n 0.12 0.00 0.48 ^ _316_/A (sky130_fd_sc_hd__clkbuf_4)\r\n 0.12 0.22 0.70 ^ _316_/X (sky130_fd_sc_hd__clkbuf_4)\r\n 10 0.04 _165_ (net)\r\n 0.12 0.00 0.70 ^ _364_/A1 (sky130_fd_sc_hd__a31o_1)\r\n 0.05 0.15 0.85 ^ _364_/X (sky130_fd_sc_hd__a31o_1)\r\n 1 0.00 _021_ (net)\r\n 0.05 0.00 0.85 ^ _503_/D (sky130_fd_sc_hd__dfrtp_1)\r\n 0.85 data arrival time\r\n-----------------------------------------------------------------------------\r\n(Path is unconstrained)\r\n\r\n\r\n\r\n===========================================================================\r\nreport_checks --slack_max -0.01\r\n============================================================================\r\nNo paths found.\r\ncheck_report_end\r\ncheck_slew\r\n\r\n===========================================================================\r\n report_check_types -max_slew -max_cap -max_fanout -violators\r\n============================================================================\r\n\r\n===========================================================================\r\nmax slew violation count 0\r\nmax fanout violation count 0\r\nmax cap violation count 0\r\n============================================================================\r\ncheck_slew_end\r\ntns_report\r\n\r\n===========================================================================\r\n report_tns\r\n============================================================================\r\ntns 0.00\r\ntns_report_end\r\nwns_report\r\n\r\n===========================================================================\r\n report_wns\r\n============================================================================\r\nwns 0.00\r\nwns_report_end\r\nworst_slack\r\n\r\n===========================================================================\r\n report_worst_slack -max (Setup)\r\n============================================================================\r\nworst slack INF\r\n\r\n===========================================================================\r\n report_worst_slack -min (Hold)\r\n============================================================================\r\nworst slack INF\r\nworst_slack_end\r\nclock_skew\r\n\r\n===========================================================================\r\n report_clock_skew\r\n============================================================================\r\nclock_skew_end\r\npower_report\r\n\r\n===========================================================================\r\n report_power\r\n============================================================================\r\nGroup Internal Switching Leakage Total\r\n Power Power Power Power (Watts)\r\n----------------------------------------------------------------\r\nSequential 2.69e-13 1.02e-13 5.59e-10 5.60e-10 35.5%\r\nCombinational 3.98e-13 4.19e-13 1.02e-09 1.02e-09 64.5%\r\nMacro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%\r\nPad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%\r\n----------------------------------------------------------------\r\nTotal 6.67e-13 5.21e-13 1.58e-09 1.58e-09 100.0%\r\n 0.0% 0.0% 99.9%\r\npower_report_end\r\narea_report\r\n\r\n===========================================================================\r\n report_design_area\r\n============================================================================\r\nDesign area 3625 u^2 45% utilization.\r\narea_report_end\r\nWriting OpenROAD database to ./out.odb...\r\nWriting powered netlist to ./out.nl.v...\r\nWriting layout to ./out.def...\r\nWriting timing constraints to ./tmp/placement/8-resizer.sdc...\r\n```\r\n\r\n\r\n\"Writing powered netlist\" is done via `write_verilog -include_pwr_gnd $::env(SAVE_POWERED_NETLIST)`, but the problem is the netlist generated is as follows:\r\n\r\n```verilog\r\n sky130_fd_sc_hd__buf_12 input1 (.A(clk),\r\n .X(net1));\r\n sky130_fd_sc_hd__clkbuf_1 input2 (.A(rst),\r\n .X(net2));\r\n sky130_fd_sc_hd__buf_1 input3 (.A(x[0]),\r\n .X(net3));\r\n sky130_fd_sc_hd__buf_1 input4 (.A(x[10]),\r\n .X(net4));\r\n sky130_fd_sc_hd__buf_1 input5 (.A(x[11]),\r\n .X(net5));\r\n sky130_fd_sc_hd__buf_1 input6 (.A(x[12]),\r\n .X(net6));\r\n```\r\n\r\nAs you can see, while most cells are okay, these particular cells are lacking their power and ground ports. Again- I'm not sure if I'm simply missing something or if there's a bug here, but help would be appreciated regardless.\r\n\r\n* Reproducible: https://www.dropbox.com/s/piz2ggy2yxljdry/repro.tar.gz?dl=0\r\n\r\nOriginal issue follows:\r\n\r\n---\r\n\r\n# `write_verilog -include_pwr_gnd` not working properly for buffers created by resizer\r\n\r\n* OpenROAD 273a9ac3a \r\n```\r\nOpenROAD v2.0-4585-g273a9ac3a \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Setting RC values...\r\n[INFO]: Configuring cts characterization...\r\n[INFO]: Performing clock tree synthesis...\r\n[INFO]: Looking for the following net(s): clk\r\n[INFO]: Running Clock Tree Synthesis...\r\n[INFO CTS-0049] Characterization buffer is: sky130_fd_sc_hd__clkbuf_8.\r\n[INFO CTS-0038] Number of created patterns = 50000.\r\n[INFO CTS-0038] Number of created patterns = 100000.\r\n[INFO CTS-0039] Number of created patterns = 137808.\r\n[INFO CTS-0084] Compiling LUT.\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 35 1 48 \r\n[WARNING CTS-0043] 4752 wires are pure wire and no slew degradation.\r\nTritonCTS forced slew degradation on these wires.\r\n[INFO CTS-0046] Number of wire segments: 137808.\r\n[INFO CTS-0047] Number of keys in characterization LUT: 1760.\r\n[INFO CTS-0048] Actual min input cap: 1.\r\n[WARNING CTS-0083] No clock nets have been found.\r\n[INFO CTS-0008] TritonCTS found 0 clock nets.\r\n[WARNING CTS-0082] No valid clock nets in the design.\r\n[INFO]: Repairing long wires on clock nets...\r\n[INFO RSZ-0058] Using max wire length 3048um.\r\nWriting OpenROAD database to ./out.odb...\r\nWriting powered netlist to ./out.nl.v...\r\nWriting timing constraints to ./results/cts/spm.sdc...\r\n```\r\n\r\n\"Writing powered netlist is done via\" `write_verilog -include_pwr_gnd $::env(SAVE_POWERED_NETLIST)`, but the problem is the netlist generated is as follows:\r\n\r\n```verilog\r\n sky130_fd_sc_hd__buf_12 input1 (.A(clk),\r\n .X(net1));\r\n sky130_fd_sc_hd__clkbuf_1 input2 (.A(rst),\r\n .X(net2));\r\n sky130_fd_sc_hd__buf_1 input3 (.A(x[0]),\r\n .X(net3));\r\n sky130_fd_sc_hd__buf_1 input4 (.A(x[10]),\r\n .X(net4));\r\n sky130_fd_sc_hd__buf_1 input5 (.A(x[11]),\r\n .X(net5));\r\n sky130_fd_sc_hd__buf_1 input6 (.A(x[12]),\r\n .X(net6));\r\n```\r\n\r\nAs you can see, while most cells are okay, these particular cells are lacking their power and ground ports. Again- I'm not sure if I'm simply missing something or if there's a bug here, but help would be appreciated regardless.\r\n\r\n[repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9351753/repro.tar.gz)\r\n\r\n"}, {"user": "Apologies-- I forgot the `make install`. Problem persists on OpenROAD 3342b3666."}, {"assistant": "input1 is already there in the input 11-spm.odb and is not generated by CTS. They would come from \"buffer_ports -inputs\" in resizer.tcl"}, {"assistant": "Please provide a test case from the correct step"}, {"assistant": "This looks like the new instances are not getting connected to the power rails, a call to global_connect (if set up correctly) would solve that. But we probably need to either ensure the new instances are connected correctly, we provide a mechanism to extract the power/ground connectivity, or the information in global connect is saved to the database so it can get called multiple times after the initial connections."}, {"assistant": "@arlpetergadfort for the near term we could use the kinda lame isWildConnected/setWildConnected that odb has already. Once we have UPF this will not be sufficient"}, {"assistant": "@ahmadelrouby please consider this in your UPF work"}, {"user": "Updated the issue in question- sorry it took me so long"}, {"assistant": "> Updated the issue in question- sorry it took me so long\r\n\r\nWhat do you mean by this? Does the link now point at a different reproducer?"}, {"user": "There's a dropbox link in the submission for the new reproducer."}, {"user": "Just wanna mention- I'm blocked on this for the ODB migration"}, {"assistant": "I'll give it some attention"}, {"assistant": "When reading DEF we would reprocess the power connections so that is why it used to work. With odb we just load the db and don't reprocess the connections. The best fix is to split scripts/openroad/pdn_cfg.tcl so that the add_global_connection section is separate. During pdn you would run both scripts (post-split) but at the end of the flow just run the add_global_connection script to reconnect any new standard cells so that they are powered in the Verilog.\r\n\r\nNote there should be a call to 'global_connect' after the add_global_connection calls. It doesn't seem to matter here for some reason but is more correct."}, {"assistant": "Never mind about the global_connect, it only applies if you use -defer"}, {"user": "Thank you- I'll report back after I try that"}, {"assistant": "@donn \r\nIs that resolved?"}]} +{"num": 2163, "messages": [{"user": "It seems that sending an empty design thru OpenROAD flow script:\r\n```\r\nmodule noop(clk);\r\n input clk;\r\n wire clk;\r\nendmodule\r\n```\r\nmakes GPL error out with a stacktrace:\r\n```\r\nStack trace:\r\n 0# 0x0000000000A86976 in /opt/conda/envs/silicon/bin/openroad\r\n 1# 0x00007F09253A1090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gpl::NesterovBase::initFillerGCells() in /opt/conda/envs/silicon/bin/openroad\r\n 3# gpl::NesterovBase::init() in /opt/conda/envs/silicon/bin/openroad\r\n 4# gpl::NesterovBase::NesterovBase(gpl::NesterovBaseVars, std::shared_ptr, utl::Logger*) in /opt/conda/envs/silicon/bin/openroad\r\n 5# gpl::Replace::initNesterovPlace() in /opt/conda/envs/silicon/bin/openroad\r\n 6# gpl::Replace::doNesterovPlace(int) in /opt/conda/envs/silicon/bin/openroad\r\n 7# 0x0000000000ADA84B in /opt/conda/envs/silicon/bin/openroad\r\n 8# TclNRRunCallbacks in /opt/conda/envs/silicon/bin/../lib/libtcl8.6.so\r\n 9# 0x00007F0925D061BF in /opt/conda/envs/silicon/bin/../lib/libtcl8.6.so\r\n10# Tcl_EvalEx in /opt/conda/envs/silicon/bin/../lib/libtcl8.6.so\r\n11# Tcl_Eval in /opt/conda/envs/silicon/bin/../lib/libtcl8.6.so\r\n12# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /opt/conda/envs/silicon/bin/openroad\r\n13# ord::tclAppInit(Tcl_Interp*) in /opt/conda/envs/silicon/bin/openroad\r\n14# Tcl_MainEx in /opt/conda/envs/silicon/bin/../lib/libtcl8.6.so\r\n15# main in /opt/conda/envs/silicon/bin/openroad\r\n16# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n17# 0x0000000000A80C02 in /opt/conda/envs/silicon/bin/openroad\r\n```\r\nI realize this is a limit case, but I'm curious if that's the expected behavior.\r\n[global_place_noop_asap7_base_2022-08-17_12-07.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9359367/global_place_noop_asap7_base_2022-08-17_12-07.tar.gz)\r\n"}, {"assistant": "crashes are never expected"}, {"assistant": "I don't know how you generated the packaging of this test case but it is not useable. \r\n\r\nError: read_liberty.tcl, 17 cannot read file ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_201020.lib.\r\n\r\nThere is no platforms directory. I tried linking one and then I get\r\n\r\n[ERROR ORD-0007] ./results/asap7/noop/base/3_2_place_iop.odb does not exist.\r\n\r\nPlease package a useable test case using make issue."}, {"user": "I had to pass `EXCLUDE_PLATFORM=1` otherwise the `make global_place_issue` command fails with:\r\n```\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n# Creating run-me-noop-asap7-base.sh script\r\n# Creating vars-noop-asap7-base.sh/tcl script\r\n# remove variables starting with a dot\r\n# remove non portable commands\r\n# remove QT variable\r\n# Archiving issue to global_place_noop_asap7_base_2022-08-18_02-29.tar.gz\r\ntar: ./platforms/asap7/*.cfg: Warning: Cannot stat: No such file or directory\r\n```\r\nshould I file a different bug about that?"}, {"assistant": "> I had to pass `EXCLUDE_PLATFORM=1` otherwise the `make global_place_issue` command fails with:\r\n> \r\n> ```\r\n> [INFO][FLOW] Using platform directory ./platforms/asap7\r\n> [INFO-FLOW] ASU ASAP7 - version 2\r\n> Default PVT selection: BC\r\n> # Creating run-me-noop-asap7-base.sh script\r\n> # Creating vars-noop-asap7-base.sh/tcl script\r\n> # remove variables starting with a dot\r\n> # remove non portable commands\r\n> # remove QT variable\r\n> # Archiving issue to global_place_noop_asap7_base_2022-08-18_02-29.tar.gz\r\n> tar: ./platforms/asap7/*.cfg: Warning: Cannot stat: No such file or directory\r\n> ```\r\n> \r\n> should I file a different bug about that?\r\n\r\nPlease do it in the ORFS repo so I can keep track, I will take a look as soon as I am able. Thanks."}, {"user": "> Please do it in the ORFS repo so I can keep track, I will take a look as soon as I am able. Thanks.\r\n\r\n@vvbandeira https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/561\r\n"}, {"assistant": "@proppy \r\nUnable to check as asap7 library got updated to `211120.lib.gz`. \r\nIf still there is a problem can you attach latest reproducible.?\r\n```\r\nError: read_liberty.tcl, 17 cannot read file ./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_201020.lib.\r\n```"}]} +{"num": 2173, "messages": [{"user": "It looks like we have the steps to install dependencies on MacOS in a GitHub action:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/f0685da2f7304ba8d0871377086486522990485a/.github/workflows/github-actions-macos.yml#L8-L45\r\n\r\nIs it possible to move this to [`etc/DependencyInstaller.sh`](https://github.com/The-OpenROAD-Project/OpenROAD/blob/f0685da2f7304ba8d0871377086486522990485a/etc/DependencyInstaller.sh)?\r\n\r\nI don't have immediate access to MacOS, but I can probably do so soon for a PR."}, {"assistant": "Sound good to me, it would be just the Install lemon (which can probably be reused from the current code in the installer) and the brew dependencies. The exports would be nice to print at the end as an action item for the user to set or add to their bashrc -- I would avoid trying to make the modification as part of the installer."}, {"assistant": "*whispers* https://github.com/donn/homebrew-lemon-graph"}, {"user": "@donn Any plans to upstream? :)"}, {"assistant": "What, and deal with Homebrew's arcane requirements for package notability? Nah, I'm good."}, {"user": "It looks like most requirements for upstream are manageable except for [\"be maintained (i.e. the last release wasn\u2019t ages ago\"](https://docs.brew.sh/Acceptable-Formulae) which we have no control over. Maybe someday someone can make an effort (there are still things being committed on the dev branch?)\r\n\r\n@maliberty can we fork @donn's repo and use it during the build process?"}, {"user": "@vvbandeira can you elaborate on how your MacOS build is set up? I tried manually installing but I run into issues related to #2097 \r\n\r\nThe latest `spdlog` available from homebrew is `1.10.0_1` and `fmt` is `9.1.0`. I have the following combinations of spdlog and fmt, and none of them worked:\r\n\r\n| `spdlog` | `fmt` |\r\n|------------|--------|\r\n| `1.10.0_1` | `9.1.0` |\r\n| `1.10.0_1` | `8.1.1` |\r\n| `1.9.2` | `9.1.0` |\r\n| `1.9.2` | `8.1.1` |\r\n\r\nMost of the compile errors occur inside the `fmt` headers such as\r\n```\r\n/usr/include/fmt/format.h:2493:38: error: expected unqualified-id\r\ntemplate constexpr bool isnan(T value) {\r\n```"}, {"assistant": "+1 - I thought this was just me. I also had trouble compiling OpenROAD for Mac recently but I assumed something was on my side because the CI worked and so I gave up."}, {"assistant": "Below is our working setup. On my machine, I installed the dependencies when they worked and never updated them by using `brew pin`. Eder recently broke his setup with a `brew upgrade` and reported that he was able to get the correct versions following this: https://remarkablemark.org/blog/2017/02/03/install-brew-package-version/\r\n\r\n```\r\n% brew info fmt\r\nfmt: stable 8.1.1 (bottled), HEAD\r\nOpen-source formatting library for C++\r\nhttps://fmt.dev/\r\n/opt/homebrew/Cellar/fmt/8.1.1_1 (27 files, 1MB) *\r\n Poured from bottle on 2022-03-14 at 17:47:37\r\nFrom: https://github.com/Homebrew/homebrew-core/blob/HEAD/Formula/fmt.rb\r\nLicense: MIT\r\n==> Dependencies\r\nBuild: cmake \u2718\r\n==> Options\r\n--HEAD\r\n Install HEAD version\r\n==> Analytics\r\ninstall: 68,721 (30 days), 140,452 (90 days), 608,689 (365 days)\r\ninstall-on-request: 1,967 (30 days), 2,941 (90 days), 9,449 (365 days)\r\nbuild-error: 2 (30 days)\r\n\r\n% brew info spdlog\r\nspdlog: stable 1.10.0 (bottled), HEAD\r\nSuper fast C++ logging library\r\nhttps://github.com/gabime/spdlog\r\n/opt/homebrew/Cellar/spdlog/1.9.2 (95 files, 1.4MB) *\r\n Poured from bottle on 2022-03-14 at 17:47:38\r\nFrom: https://github.com/Homebrew/homebrew-core/blob/HEAD/Formula/spdlog.rb\r\nLicense: MIT\r\n==> Dependencies\r\nBuild: cmake \u2718\r\nRequired: fmt \u2714\r\n==> Options\r\n--HEAD\r\n Install HEAD version\r\n==> Analytics\r\ninstall: 4,343 (30 days), 10,018 (90 days), 24,547 (365 days)\r\ninstall-on-request: 963 (30 days), 2,186 (90 days), 7,365 (365 days)\r\nbuild-error: 1 (30 days)\r\n```"}, {"assistant": "@eder-matheus can you confirm that the versions above are the same you installed? If not, please provide the version combination that worked for you. Thanks"}, {"assistant": "@vvbandeira I have the same fmt version, but a slightly different spdlog version. Check below:\r\n```\r\n%brew info spdlog\r\n==> spdlog: stable 1.10.0 (bottled), HEAD\r\nSuper fast C++ logging library\r\nhttps://github.com/gabime/spdlog\r\n/usr/local/Cellar/spdlog/1.10.0 (99 files, 1.5MB) *\r\n Poured from bottle on 2022-08-22 at 19:15:34\r\nFrom: https://github.com/Homebrew/homebrew-core/blob/HEAD/Formula/spdlog.rb\r\nLicense: MIT\r\n==> Dependencies\r\nBuild: cmake \u2714\r\nRequired: fmt \u2714\r\n==> Options\r\n--HEAD\r\n\tInstall HEAD version\r\n==> Analytics\r\ninstall: 4,343 (30 days), 10,018 (90 days), 24,547 (365 days)\r\ninstall-on-request: 963 (30 days), 2,186 (90 days), 7,365 (365 days)\r\nbuild-error: 1 (30 days)\r\n```"}, {"user": "I have already tried both of those combinations and they didn't work.\r\n\r\nWhat compiler versions are you using? I have `AppleClang 13.1.6.13160021`"}, {"user": "Also @vvbandeira why is your `spdlog` version `1.10.0` but it is installed at `/opt/homebrew/Cellar/spdlog/1.9.2`?\r\n\r\n> ```\r\n> spdlog: stable 1.10.0 (bottled), HEAD\r\n> Super fast C++ logging library\r\n> https://github.com/gabime/spdlog\r\n> /opt/homebrew/Cellar/spdlog/1.9.2 (95 files, 1.4MB) *\r\n> ```\r\n\r\nWhich also differs from @eder-matheus's `1.10.0`:\r\n> ```\r\n> /usr/local/Cellar/spdlog/1.10.0 (99 files, 1.5MB) *\r\n> ```\r\n"}, {"assistant": "> I have already tried both of those combinations and they didn't work.\r\n> \r\n> What compiler versions are you using? I have `AppleClang 13.1.6.13160021`\r\n\r\n@rovinski Here's my clang version:\r\n```\r\nApple clang version 13.1.6 (clang-1316.0.21.2.5)\r\nTarget: x86_64-apple-darwin21.6.0\r\nThread model: posix\r\nInstalledDir: /Library/Developer/CommandLineTools/usr/bin\r\n```"}, {"user": "Mine is the same as yours (except as part of Xcode). I have tried simply installing spdlog from source and that doesn't work either. \r\nI've compared build logs from my failing build and the passing CI and I can't find any differences in environment..."}, {"user": "For posterity, I finally got OpenROAD to compile on MacOS using `fmt 8.1.1_1` and `spdlog 1.9.2`. The issue was that my Xcode installation was messed up somehow. I was getting errors about `std::` functions not being found, which indicates there was some glibc problem. I uninstalled and reinstalled Xcode (`Apple clang version 13.1.6 (clang-1316.0.21.2.5)`) and it compiled all the way.\r\n\r\nI can now continue working on the dependency script \ud83d\udc4d "}]} +{"num": 2175, "messages": [{"user": "this isn't a question. it's merely an inquiry about openroad capabilities vs standalone opensta:\r\n\r\nsuppose that we have block `A`. block `A` has an instance of block `B` and block `B` has an instance of block `C`. so in short `A->B->C`.\r\n\r\nin opensta i would read std cell lib/liberty files followed by verilog views of all the blocks. i can also read a spef file of block `C` using `read_spef -path ..`. and i am capable of viewing nets and instance inside block `B` and `C`.\r\n\r\nmy question is, if possible, how can i achieve the same thing using openroad given that i have def, lef, verilog views for all said blocks?"}, {"assistant": "OpenSTA works on a kind of interface model. It allows downstream users of its library to replace its internal \"database\" with its host's \"database\". In OpenROAD's case this is OpenDB, and its a great system except for the fact that OpenDB doesn't support hierarchy.\r\n\r\nSince it's data model is only a thin wrapper around DEF it chooses to flatten everything into a single level, thus your `A -> B -> C` turns into just `A`. All the things inside B and C become part of A. Which is what I suspect you're running into."}, {"user": "thanks that's what i was suspecting as well. so for full static timing analysis for designs with multiple levels of hierarchy one should avoid OpenROAD and use standalone OpenSTA?"}, {"assistant": "We do have write_liberty which lets you generate a basic timing model for hierarchical rollup. Otherwise you would use opensta as you describe.\r\n\r\nIn the future we will support hierarchy in a similar fashion."}, {"user": "Ok thanks for the information\r\n"}]} +{"num": 2182, "messages": [{"user": "When trying to run files that use opendbpy, I get this error:\r\n`Traceback (most recent call last):\r\n File \"src/odb/test/python/08-write_lef_and_def_test.py\", line 1, in \r\n import opendbpy as odb\r\n File \"/usr/local/lib/python3.8/dist-packages/opendbpy/__init__.py\", line 1, in \r\n from ._opendbpy import *\r\nImportError: libtcl8.5.so: cannot open shared object file: No such file or directory`\r\nlibtcl8.5 appears to be obsolute, so I cannot install it, and installing libtcl8.6 does not fix the issue."}, {"assistant": "Did you build OR youself? If so, you must have it installed or you couldn't have linked OR in the first place."}, {"user": "I have built OR successfully both by hand and with the support script."}, {"assistant": "Then your LD_LIBRARY_PATH needs to point to the location of your tcl library."}, {"user": "I don't think the tcl library is installed. At least I cannot find it in `/usr/local/lib` or anywhere else."}, {"assistant": "You couldn't have built OR if it wasn't installed. When you run cmake do you see a line like:\r\n\r\n-- TCL library: /usr/lib64/libtcl.so\r\n"}, {"user": "Found it. I added it to the path, but am still getting the same error. I think because the version of libtcl installed when building is 3.6."}, {"assistant": "The cmake line above should tell you which one is being picked up. You can also use ldd on the executable to see what it is expecting."}, {"user": "When running cmake, it lists TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so, but looking in /usr/lib/x86_64-linux-gn it lists libtcl.so and libtcl8.6.so. Running ldd on the executable shows \"libtcl8.5.so => not found\"."}, {"assistant": "When it links openroad you can see the -L and -l it uses for tcl. What do you see?"}, {"user": "I don't see -L or -l anywhere in the build process."}, {"assistant": "Do you see a line with \"-o openroad\"? That is the link line, look for a mention of *libtcl*"}, {"user": "It lists /usr/lib/x86_64-linux-gnu/libtcl.so"}, {"assistant": "Are you running ldd on the same binary? That's what it is linked with..."}, {"user": "Running ldd for the openroad executable shows `libtcl8.6.so => /usr/lib/x86_64-linux-gnu/libtcl8.6.so`. The issue is with opendbpy which appears to want libtcl8.5.so"}, {"assistant": "What commit of OR are you using? "}, {"assistant": "```\r\n/usr/local/lib/python3.8/dist-packages/opendbpy/__init__.py\r\n```\r\n@gbrown40 did you install `opendbpy` with `pip install` or similar? This looks to be getting a system version of `opendbpy` not the version you built from the OR source code."}, {"user": "@vvbandeira I used pip to install opendbpy"}, {"user": "@maliberty I currently have commit 49fdec96d3cefaa9e5c3b39b6dd1e33bcc7f0d24 from August 3."}, {"assistant": "Hi @gbrown40, could you try with the latest commit. We recently merged #2375 which might help your issue. Also, you probably should remove the pip version since is very old -- it has not been updated in over a year."}, {"assistant": "Closing due to lack of activity. Please open a new issue in case the problem is still relevant and occurring with the latest commit."}]} +{"num": 2183, "messages": [{"user": "I have a design from OpenFASOC with two voltage domains (CORE and TEMP_ANALOG), and I'm trying to generate its power delivery network with the new tcl commands. When generating their voltage rings, both ground tracks are completely isolated (i.e. they're not being connected):\r\n\r\n![image](https://user-images.githubusercontent.com/75084099/185983346-744d9ded-b5a2-4e4f-bcff-8a0f4de9527c.png)\r\n\r\nPreferably, what I would like to achieve is for a metal strap to connect them together, like so (pardon my dreadful drawing):\r\n\r\n![image](https://user-images.githubusercontent.com/75084099/185983668-220a3cfd-9700-4453-a6a7-22cd2b892e8d.png)\r\n\r\nIs there a way to do this in pdngen?\r\n\r\n### To reproduce the case:\r\n\r\nDownload [reproducible_pdngen.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9396456/reproducible_pdngen.tar.gz) and source `script.tcl` in openroad (or openroad gui)\r\n\r\nI'm on OpenROAD commit no. 5ca26d8 from Aug 4 2022."}, {"assistant": "@maliberty @luccareinehr looking at it, it appears there is no way to connect the two (that would be an oversight).\r\nThere are two things here:\r\n1. need be able to connect two two grids (I think I know how to handle that)\r\n2. At present, PDNGEN will not put straps into other grids, so for how we would need to move the met5 part of the ring down to met3 until that is fixed. This I think would require changing the order of the builds in the grids, so that will probably take longer to fix since it can come with lots of unintended changes."}, {"user": "@arlpetergadfort Can you explain how to connect the two grids?\r\n\r\nBy the way, thanks for the help"}, {"assistant": "@luccareinehr I initially thought I would need to modify the code.\r\n\r\nIf I use the script below I can connect VSS between the two grids. The key here (and this could be a future improvement for PDNGEN) is to move the top layer of the ANALOG_TEMP grid to met4, so the CORE grid can place straps on met5 over that area. The second item needed is to tell the ANALOG_TEMP grip to connect from met4 to met5. Please try this out and let me know if there is anything else.\r\n\r\n```\r\n# Read odb file\r\nread_db design_before_pdn.odb\r\n\r\n# Add global connections\r\nadd_global_connection -net VDD -inst_pattern {temp_analog_1.*} -pin_pattern VPWR -power\r\nadd_global_connection -net VDD -inst_pattern {temp_analog_1.*} -pin_pattern VPB\r\nadd_global_connection -net VIN -inst_pattern {temp_analog_0.*} -pin_pattern VPWR -power\r\nadd_global_connection -net VIN -inst_pattern {temp_analog_0.*} -pin_pattern VPB\r\nadd_global_connection -net VSS -inst_pattern {.*} -pin_pattern VGND -ground\r\nadd_global_connection -net VSS -inst_pattern {.*} -pin_pattern VNB\r\n\r\n# Set voltage domains\r\n# TEMP_ANALOG region created with the create_voltage_domain command\r\nset_voltage_domain -name CORE -power VDD -ground VSS\r\nset_voltage_domain -region TEMP_ANALOG -power VIN -ground VSS\r\n\r\n# Standard cell grids\r\ndefine_pdn_grid -name stdcell -pins met5 -starts_with POWER -voltage_domains CORE\r\n\r\nadd_pdn_stripe -grid stdcell -layer met1 -width 0.49 -pitch 6.66 -offset 0 -extend_to_core_ring -followpins\r\nadd_pdn_ring -grid stdcell -layer {met4 met5} -widths {5.0 5.0} -spacings {2.0 2.0} -core_offsets {2.0 2.0}\r\nadd_pdn_stripe -grid stdcell -layer met4 -width 1.2 -pitch 56.0 -offset 2 -extend_to_core_ring\r\nadd_pdn_stripe -grid stdcell -layer met5 -width 1.6 -pitch 56.0 -offset 2 -extend_to_core_ring\r\n\r\nadd_pdn_connect -grid stdcell -layers {met4 met5}\r\nadd_pdn_connect -grid stdcell -layers {met1 met4}\r\n\r\ndefine_pdn_grid -name stdcell_analog -pins met4 -starts_with POWER -voltage_domains TEMP_ANALOG\r\n\r\nadd_pdn_stripe -grid stdcell_analog -layer met1 -width 0.49 -pitch 6.66 -offset 0 -extend_to_core_ring -followpins\r\nadd_pdn_ring -grid stdcell_analog -layer {met4 met3} -widths {5.0 5.0} -spacings {2.0 2.0} -core_offsets {2.0 2.0}\r\nadd_pdn_stripe -grid stdcell_analog -layer met4 -width 1.2 -pitch 56.0 -offset 2 -extend_to_core_ring\r\n\r\nadd_pdn_connect -grid stdcell_analog -layers {met4 met3}\r\nadd_pdn_connect -grid stdcell_analog -layers {met1 met4}\r\nadd_pdn_connect -grid stdcell_analog -layers {met4 met5}\r\n\r\n# Run pdngen\r\npdngen\r\n\r\n# Save resulting design\r\nwrite_db design_after_pdn.odb\r\n```"}, {"user": "@arlpetergadfort That gives a nice result, thank you!\r\n\r\n![image](https://user-images.githubusercontent.com/75084099/186936525-81777654-497b-412d-8a4f-0fabd35a7b55.png)\r\n\r\nMay I ask why pdngen works this way? Does it check for intercepting geometries of the same layer when connecting straps to a ring? So, for example, if a ring and a strap are both made of met4, they wouldn't get connected (like was happening in the original case)"}, {"assistant": "the macro grids generate a keepout area around them which is what prevents the met5 strap if you have the ring in met5. Otherwise, yes, it connects on the overlapping shapes based on the connect layers defined."}]} +{"num": 2187, "messages": [{"user": "Using the following test case OpenROAD reports no antenna violations, but magic reports some quite large ones. An example:\r\n\r\n```\r\nCell: fanout1050\r\nAntenna violation detected at plane metal3\r\nEffective antenna ratio 1584.67 > limit 400\r\nGate rect (15531 76759) to (15561 76887)\r\nAntenna rect (36813 76739) to (36879 76742)\r\n```\r\n\r\nI'm not sure if OpenROAD or magic is wrong.\r\n\r\nTest case: [antenna.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9398600/antenna.tar.gz)\r\n"}, {"assistant": "Anton noticed a drop in antenna violations with your recent changes. Please investigate"}, {"user": "I don't see any `THICKNESS` properties in the TLEF file. Could be a bug in open_pdks. Investigating."}, {"assistant": "They are there in sky130A. "}, {"user": "Recent shuttles are using sky130B, and that's where the issue is:\r\n\r\nhttps://github.com/RTimothyEdwards/open_pdks/pull/272"}, {"assistant": "@maliberty is there a defualt `THICKNESS` that should be used in cases like this? The current implementation uses 0 for the thickness and I can confirm that this is why no violations were reported. I experimented with various values for the `THICKNESS` and multiple violations arose."}, {"user": "Since this was a problem in the LEF file, one option might be to just return an error. A default value could mask the issue."}, {"user": "After fixing the tech LEF `THICKNESS` issues, I still see some rather large differences. In this example magic calculates the antenna violation to be about twice as much as OpenROAD:\r\n\r\n```\r\nmagic:\r\nCell: final_adder.U$$49\r\nAntenna violation detected at plane metal2\r\nEffective antenna ratio 1479.6 > limit 400\r\n```\r\n\r\n```\r\nOpenROAD:\r\n final_adder.U$$49/A (sky130_fd_sc_hd__ha_1)\r\n met2\r\n PAR: 759.75* Ratio: 400.00 (S.Area)\r\n```\r\n\r\n@rtimothyedwards I think there may be an error in how magic calculates antenna violations, at least if we want to match\r\nthe tech LEF. The antenna rule is:\r\n\r\n```\r\n ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;\r\n```\r\n\r\nWhich I think means we just sum up the side wall area of the layer in question, ignoring layers below it. Magic appears to sum up all connected layers, scaled by the antenna ratio limit for that layer:\r\n\r\n```\r\n for (i = 0; i < DBNumTypes; i++)\r\n {\r\n if (ExtCurStyle->exts_antennaRatio[i].ratioGate > 0)\r\n {\r\n anttotal += (double)antennaarea[i] /\r\n (double)ExtCurStyle->exts_antennaRatio[i].ratioGate;\r\n }\r\n if (ExtCurStyle->exts_antennaRatio[i].ratioGate > saveRatio)\r\n saveRatio = ExtCurStyle->exts_antennaRatio[i].ratioGate;\r\n }\r\n```\r\n\r\nThe LEF spec was somewhat confusing to read through, so I might have misunderstood the `ANTENNADIFFSIDEAREARATIO` statement."}, {"assistant": "The magic technology file specifies the antenna calculation method as \"partial\", which is supposed to check only the layer under investigation and not all layers below it (which is method \"cumulative\"). Your result suggests that the method type in the tech file is not being handled correctly, perhaps."}, {"assistant": "@ramezmoussa let's make it an error to not have THICKNESS specified as otherwise the analysis is meaningless."}, {"user": "I think we can close this now:\r\n- The PDK issue (missing `THICKNESS`) has now been fixed\r\n- OpenROAD now errors out when `THICKNESS` is missing or zero\r\n- Magic has been fixed to closer match the OpenROAD antenna checker results"}]} +{"num": 2189, "messages": [{"user": "Hi, \r\n\r\nIs there a recommended way to compile for GPU? CMake doesn't seem to find the correct libraries/executables\r\n\r\n**Commands Used**\r\nsudo ./etc/DependencyInstaller.sh -runtime; \\\r\nsudo ./etc/DependencyInstaller.sh -development; \\\r\n./etc/Build.sh `-cmake=\"-DGPU=true\r\n\r\n**Output**\r\n```\r\nCMake Error: Error required internal CMake variable not set, cmake may not be built correctly.\r\nMissing variable is:\r\nCMAKE_CUDA_DEVICE_LINK_EXECUTABLE\r\n```\r\n\r\n\r\nI've tried following this:\r\nhttps://cliutils.gitlab.io/modern-cmake/chapters/packages/CUDA.html \r\n\r\nBut more issues pop up.\r\n\r\nThanks!\r\n"}, {"assistant": "The GPU code is quite new and probably not worth the bother at this point. If you want to persist then you need to install CUDA on your machine which is not handled through the dependency installer."}, {"assistant": "You can install cuda from Nvidia's website. https://developer.nvidia.com/cuda-downloads"}, {"assistant": "@monibahmed Any luck getting it to work? Would love to have another user of this feature."}, {"user": "Yes, some luck install but its a manual hacking process. Trying to figure out some cmake flags"}, {"assistant": "If there is anything not working it would be great to try and get those fixes in the main project."}, {"assistant": "@monibahmed \r\nHave you implemented this?"}, {"user": "Closing issue as OpenLane2 and Nix seem to solve this problem"}]} +{"num": 2192, "messages": [{"user": "Segmentation error encountered when running test/regression flow\r\n------------------------------------------------------\r\nFlow\r\ngcd_nangate45 pass\r\ngcd_sky130hd pass\r\ngcd_sky130hs pass\r\nibex_sky130hd pass\r\nibex_sky130hs pass\r\naes_nangate45 pass\r\naes_sky130hd pass\r\naes_sky130hs pass\r\ntinyRocket_nangate45 pass\r\njpeg_sky130hs *ERROR* segmentation violation\r\njpeg_sky130hd *FAIL* GRT::ANT::errors 336 > 330\r\n------------------------------------------------------\r\nErrored 1/11\r\nFailed 1/11\r\nSee /home/onur/OpenROAD/test/results/diffs for differences\r\nSee /home/onur/OpenROAD/test/results for log files"}, {"assistant": "With what commit id? On what platform? This passes in our CI runs."}]} +{"num": 2202, "messages": [{"user": "@arlpetergadfort Came across this [slide deck](https://people.ece.ubc.ca/ameer/publications/Abdelhadi-Talk-2010May-GLSVLSI2010-ClockMeshSynthesis.pdf) on the creation of Clock Meshes. Seems like it makes CTS much easier at the cost of higher power. \r\n\r\nDo you think you and I could extend PDN to generate the clock mesh, and then we could hook up the CTS to this new clock distribution network?"}, {"assistant": "It also takes up more routing resource but is a valid strategy for some cases. There is a bunch of ongoing enhancement to the current CTS but I'm open to having further contributions so long as we coordinate to avoid collisions. We are far from optimal in our current approach and I think a lot of benefit will be realized with the current work."}, {"assistant": "@QuantamHD - @mkkassem used a clock mesh on the metal layer to fix the hold issues on the ChipIgnite-1 silicon."}, {"assistant": "@mguthaus from OpenRAM also had a poster on clock meshes on his wall when I last visited."}, {"assistant": "> @QuantamHD - @mkkassem used a clock mesh on the metal layer to fix the hold issues on the ChipIgnite-1 silicon.\r\n\r\nI believe it was more of adding ad-hoc crosslinks in the clock tree rather than a true mesh."}, {"assistant": "Note that delay calculation for meshes is much harder due to the multiple drivers and is not currently supported in sta. With CCS it would become possible."}, {"assistant": "@maliberty - I believe @mkkassem just did spice simulation of the clocks for STA analysis?"}, {"assistant": "@mithro yes but you need to build a flow to back annotate those results into sta so you can do optimization/signoff. That is the pre-CCS methodology. If we want to make this generally available someone will need to automate that."}, {"user": "I assumed we could link in ngspice to do that for us."}, {"assistant": "@maliberty - Is there a potential way to create a generic way to send things to a spice simulator and pull the timing information back into OpenROAD (even if extremely slow)?"}, {"assistant": "Is there some relationship with BigSpicy? https://github.com/google/bigspicy"}, {"assistant": "@QuantamHD I see why you would want to use PDN for this, but I think it would be better to augment CTS to be able to build the grid itself and we can work to expose the DRC checking in PDN to be able to build vias and the grid correctly?"}, {"assistant": "Perhaps there is some code that could be an odb utility which both could share"}, {"assistant": "@mithro I think that for a flow like this to work there would have to be a layer on top of the circuit simulator which sets up stage based runs. If the mesh is levelized from inputs to register leafs, each level can be run in parallel and in order with level 2 using slew waveforms from level one etc. From there an SDF can be generated which is fed into STA. This is a well known approach to clock mesh spice simulation which is scalable and accurate. These numbers can also be used as a baseline to measure STA accuracy when STA is enhanced to support meshes."}]} +{"num": 2207, "messages": [{"user": "Antenna repair wants to insert large numbers of antenna diodes on some of the nets in Microwatt. The issue is at its worst when large met4 wires feed a minimum size gate (eg a 1x strength sky130hd cell)\r\n\r\nAn example is net `_024410_`:\r\n\r\n![met4_antenna_violation](https://user-images.githubusercontent.com/2029971/187002032-6030d435-1bf2-49a4-af5a-6bd5500ad651.png)\r\n\r\nAntenna repair wants to insert 46 diodes. A few things stand out:\r\n- sky130hd only has a single size of antenna diode. Perhaps we need a larger one?\r\n- The tech LEF shows antenna diodes having very little effect past `0.0225um` of diffusion: \r\n```\r\nANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;\r\n```\r\nHard to say if this is correct. @rtimothyedwards confirms that it matches what came from Skywater, but we can't be sure if the magnitude of the effect past `0.0225um` is correct.\r\n- There was some discussion about adding dummy gate area. Do we need to add an option in OpenROAD antenna repair to do that? A combination of more gate area as well as antenna diodes might be able to fix this in a more efficient way.\r\n\r\nTest case: [antenna2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9436952/antenna2.tar.gz)\r\n"}, {"assistant": "@antonblanchard \r\nAntenna strategy got updated with latest OpenLane. Do you had chance to re-run this?"}]} +{"num": 2209, "messages": [{"user": "In a mixed-signal design from OpenFASoC with two voltage domains (VDD and VIN), I have some cells placed in a VDD grid that must also connect to the other domain's net (VIN). However, when running `global_route` and `detailed_route`, there's no route from these cells to the VIN voltage domain ring (generated via pdngen).\r\n\r\nThe two voltage domains:\r\n![image](https://user-images.githubusercontent.com/75084099/187049453-803188b9-d6f1-43f1-bc89-82a15764d720.png)\r\n\r\nThe cells that must connect to VIN (they're already in the VDD grid):\r\n![image](https://user-images.githubusercontent.com/75084099/187049639-3822bd07-e82c-4054-861c-b407e321ae83.png)\r\n\r\nThe resulting route after `global_route` and `detailed_route` are run (there is no route from the cells to the VIN ring):\r\n![image](https://user-images.githubusercontent.com/75084099/187049747-51bc4540-58d0-4630-aa3e-23f81d5ebf0d.png)\r\n\r\n**How to create this connection?**\r\n\r\n### To reproduce the case:\r\n- Download [reproduce_case_routing.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9438785/reproduce_case_routing.tar.gz)\r\n- Run `openroad` or `openroad -gui`\r\n- Source the `script.tcl` file \r\n\r\n\r\n"}, {"assistant": "Power nets are not routed by the signal routers (grt/drt). pdn doesn't create tracks. The description of this problem is very confusing."}, {"assistant": "This looks like the enhancement request for sroute that I keep asking for a spec for. Nothing will happen until this project has been properly defined. @msaligane "}, {"assistant": "I have no bandwidth and I am not great at writing specs. \n\n@luccareinehr can you work on that with @maliberty ."}, {"user": "@maliberty Sorry for the confusing description. What I meant was: there's a cell that should connect to a voltage domain it is not in (VIN), but the routing doesn't get generated.\r\n\r\nThere's a workaround for this where a second VIN net is created exactly in the same place as the original, but with a SIGNAL type (instead of POWER), and this new net gets connected to the cells in the other voltage domain instead. Then routing does get generated automatically, but I doubt this is the intended solution:\r\n\r\n![image](https://user-images.githubusercontent.com/75084099/187172405-15bfc118-3bc3-460e-be32-7daf49b919b1.png)"}, {"user": "@msaligane mentioned requesting the addition of a point-to-point router to OpenROAD, is this the enhancement request Matt mentions in his comment?"}, {"assistant": "Yes, I've had vague mention of wanting a p2p router but I want a specific set of requirements in a spec. It is not a small request."}, {"user": "@maliberty Indeed, I'll reserve time to talk to mehdi and give you a proper spec.\r\n\r\nAs for this issue, yes it could be solved with a p2p router, but I don't think that's the best solution. Afaik, OpenROAD is intended to be a no-human-in-the-loop flow, but in its current state, if there are multiple voltage domains in a design and a cell which has connections to more than one of them (and is not a level converter), it won't get routed automatically.\r\n\r\nPlease correct me if I misunderstood something, or if my request is not very clear. And thanks for the support"}, {"assistant": "> Afaik, OpenROAD is intended to be a no-human-in-the-loop flow\r\n\r\nFrom my understanding, OpenROAD is intended to be no-human-in-the-loop for digital designs. It's a lofty goal that still has a lot of work to be done.\r\n\r\n> if there are multiple voltage domains in a design and a cell which has connections to more than one of them (and is not a level converter), it won't get routed automatically.\r\n\r\nFor clarity, what you are describing is a special net router and is not typically used in digital flows. The use case for this would be for non-digital signals, as in your case. So as @maliberty said, it's not currently supported and would have to be implemented."}, {"user": "@rovinski Thank you for the clarification!\r\n\r\n@maliberty should I close this issue?"}, {"assistant": "You can rename this issue to ask for the for feature. "}, {"assistant": "The cell is connecting to a power ring not another cell. That is not the normal multi-power domain P&R use model. Even in proprietary tools this is a completely separate router because it is a totally different requirement from signal net routing."}, {"assistant": "@luccareinehr Could you potentially locate these cells in a third voltage domain and then connect it to the Vin from temperature sensor block?\r\n\r\nBeyond the routing issue I'm a little bit confused about how the pdn in the logic area is isolated from the Vin of the tempature block if you connect them together. Is there something I'm missing? "}, {"assistant": "Some comments on this feature:\r\n\r\nI've actually done this before in a taped-out chip when connecting an on-chip voltage regulator to the power rails of the regulated domain. So basically the same use case, but our regulator was a block, not a standard cell.\r\n\r\nIt becomes a very tricky problem because the main concern is not about DRVs or timing, but the IR drop across/peak current through the routing. We had to estimate the peak current draw by the power domain and size the routing large enough (using multiple connections) to ensure that electromigration and IR drop were minimized.\r\n\r\nSuch a tool in OpenROAD would be a large undertaking. A simple repurposing of the detailed router to perform NDR connections is possible, but risky from a design perspective because it wouldn't be able to optimize for power integrity."}, {"assistant": "@rovinski Could we make a small change to the router to have it replicate connections for power purposes as a quick hack? So in @luccareinehr's case we would create N duplicate nets to route to the power ring/grid. That's obviously not optimal, but would at least give designers a lever to be more or less conservative. \r\n\r\nWe could eventually run IR drop analysis and increase N until we were happy in future improvement. "}, {"assistant": "In this case, what is VIN supposed to be used for? Is this for a ring oscillator to modulate it's speed? How is VIN generated?\r\nI'm just wondering."}, {"assistant": "@QuantamHD The best first strategy would be to use a single connection with non default width (non-default routing / NDR). This strategy is still limited by the pin size on the block / cell which is generating the signal (i.e. if the pin is small, there is a practical limitation on how wide the metal route can be).\r\n\r\nCreating multiple connections is only necessary if the net resistance requirements can't be met with a single connection (e.g. the desired metal width is greater than the max allowable width, or the width is capped by the size of the source pin). In this case, the power source would need to have multiple pins or a pin which is larger than max width. This only occurs for larger power sinks and is likely not applicable to @luccareinehr's design (my design was a multicore processor).\r\n\r\nMy concern isn't that there's no quick hack to fix @luccareinehr's problem; the concern is that the tools would not have a way of recognizing and warning the user of potentially chip-breaking mistakes.\r\n\r\nIt would be possible to use the detailed router to provide a partial solution this problem (such as @luccareinehr's case) but a general solution to the problem (making N connections; verifying power integrity) would likely require significantly different algorithms. For what it's worth, I don't think even commercial tools handle this case automatically. It usually takes significant hand-holding to get the special router to generate clean, quality routing."}, {"user": "@QuantamHD Despite how the pdn looks in the images, only the VSS of both voltage domains are shorted!\r\n\r\n@arlpetergadfort Yes that's it, VIN modulates a ring oscillator. It is generated from a voltage drop in VDD after a couple of transistors in subthreshold regime, upon temperature variation (the design in question is a temperature sensor)"}]} +{"num": 2210, "messages": [{"user": "When running `tapcell` in a design that already has manually placed instances of stdcells, the tap cells simply ignore these instances, sometimes being placed on top of a std cell, which results in overlaps (and fails the `check_placement` command).\r\n\r\nTake this design, for example:\r\n![image](https://user-images.githubusercontent.com/75084099/187051421-1d694978-1ada-4aac-80a1-ce24578e382f.png)\r\n\r\nRunning `tapcell` results in overlapping instances:\r\n![image](https://user-images.githubusercontent.com/75084099/187051447-93a331f0-4be8-4c72-bf27-5314a9d57816.png)\r\n![image](https://user-images.githubusercontent.com/75084099/187051456-25e4f578-482a-47d2-bd7e-ca0d44e29ce6.png)\r\n\r\nThus failing the overlap check after running `check_placement`:\r\n```\r\n[WARNING DPL-0005] Overlap check failed (4).\r\n TAP_131 overlaps temp_analog_1.a_header_0\r\n TAP_136 overlaps temp_analog_1.a_header_2\r\n TAP_141 overlaps temp_analog_1.a_header_4\r\n TAP_147 overlaps temp_analog_1.a_header_6\r\n```\r\n\r\nIncreasing the distance between tapcells quickly solves the problem, but shouldn't `tapcell` go around stdcells if they're already present in the design?\r\n\r\n### To reproduce this case:\r\n- Download [reproduce_case_tapcell.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9438832/reproduce_case_tapcell.tar.gz)\r\n- Open OpenROAD or OpenROAD GUI\r\n- Source the `script.tcl` file\r\n "}, {"assistant": "This is just not implemented in tapcell. I don't think it has any concept of standard cell blockages."}, {"assistant": "Does the cell you are placing contain a tapcell? If not how will you avoid a well tap violation even if he tap is placed on either side?"}, {"assistant": "The tapcell tool assumes that the global placement will run after it. The tapcells have a specific spacing that needs to be respected, so they're placed as fixed instances. During the global and detailed placement, the std cells can be moved to avoid overlaps with the tapcells. That's why the tapcell tool is not looking for std cell blockages."}, {"user": "Yes, this stdcell has tap cells in it, but I understand it. Thank you all for the feedback"}]} +{"num": 2213, "messages": [{"user": "Our design uses a macro that is not made of standard cells and does not need/clashes with the stdcell power stripes that are generated on m1. How do I tell pdngen to not generate m1 stdcell power stripes below those macro instances?"}, {"assistant": "Do you have a blockages on m1 in your macro?"}, {"user": "I believe so, the lef contains\r\n\r\n```\r\nOBS\r\n Layer Metal1 ;\r\n RECT 0 0 width height ;\r\n```\r\n\r\n(where `width` and `height` are numbers that match the `SIZE` declaration). Should that be enough to have pdngen avoid the area?"}, {"assistant": "It sounds like it. Can you provide a test case?"}, {"user": "I wish I could provide some reproducible state, I'm ashamed to bother you with such low quality issues, but I'm not allowed to share anything.\r\n\r\nAnyway, the information that it should probably work is already helpful, because that's not the only \"weird\" issue we have with that macro. I'll ask the source of the macro to double-check their stuff.\r\n\r\nFor now, is there a manual way to add a blockage before PDN generation? The macro is placed manually anyway, so I know its exact position (and size)."}, {"assistant": "Can you also check if the cell sites under the macro are being removed? PDN shouldn't generate M1 rails for areas without cell sites. They are usually removed during the tapcell insertion step.\r\n\r\nIf there are M1 blockages in the macro, then it shouldn't be an issue with the blockage. Can you confirm that you are placing the macro before running PDN?"}, {"user": "> Can you also check if the cell sites under the macro are being removed?\r\n\r\nHow do I check? Sorry, I'm inexperienced.\r\n\r\n> They are usually removed during the tapcell insertion step.\r\n\r\nThat's interesting, because the PDK we use doesn't have tapcells, so the tap/decap insertion step is skipped. Could it be that the site removal is accidentally skipped too?\r\n\r\n> Can you confirm that you are placing the macro before running PDN?\r\n\r\nYes, the macro is definitely placed before PDN generation."}, {"assistant": "> > Can you also check if the cell sites under the macro are being removed?\r\n>\r\n> How do I check? Sorry, I'm inexperienced.\r\n\r\nStart the OpenROAD GUI (`openroad -gui`), turn on the visibility for \"Rows\", and see if there are cell rows present under the macro. You would want to turn off visibility for just about everything else so that you can see.\r\n\r\n> That's interesting, because the PDK we use doesn't have tapcells, so the tap/decap insertion step is skipped. Could it be that the site removal is accidentally skipped too?\r\n\r\nWhich flow are you using? OpenROAD-flow-scripts? OpenLane? Custom scripts?"}, {"user": "> Start the OpenROAD GUI (`openroad -gui`), turn on the visibility for \"Rows\", and see if there are cell rows present under the macro. You would want to turn off visibility for just about everything else so that you can see.\r\n\r\nThanks. The rows continue under the macro uninterrupted.\r\n\r\n> Which flow are you using? OpenROAD-flow-scripts? OpenLane? Custom scripts?\r\n\r\nWe are using OpenLane.\r\n\r\n"}, {"assistant": "> Thanks. The rows continue under the macro uninterrupted.\r\n\r\nAccording to the PDN README, this is a known limitation:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/96e57b5b48bfd6ef6874637f2ba2d27edbff026d/src/pdn/README.md?plain=1#L459-L465\r\n\r\nThe `tapcell` command calls `odb::cutRows()` in order to remove cell rows underneath macros.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/0c5910df6bfc6e6ef987d836c17c2d75688aba99/src/tap/src/tapcell.cpp#L123\r\n\r\nI'm not sure if this function is (easily) callable from the tcl shell. @maliberty thoughts on best solution?\r\n\r\n> > Which flow are you using? OpenROAD-flow-scripts? OpenLane? Custom scripts?\r\n> \r\n> We are using OpenLane.\r\n\r\nI don't believe OpenLane is designed to work with non-SkyWater PDKs at this time. You may want to explore using [OpenROAD-flow-scripts](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts) as an alternative due to it's compatibility with multiple PDKs.\r\n"}, {"assistant": "I think it would be very useful to expose the cutRows command to the tcl interface since I've had to cut rows before without wanting to add the tap cells at that time. maybe something like `cut_rows -halo_x -halo_y`"}, {"assistant": "How are your wells tied off in this PDK without any tapcells? \r\n\r\n@arlpetergadfort I'm fine with exposing cut_rows but I think it should refuse to cut anything containing a tapcell/endcap to prevent misuse."}, {"user": "I'll quote [this](https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html), because I struggle to formulate it myself:\r\n\r\n> Early days there was no concept of well tap cell, Standard cells were designed in such a way that each standard cell had nwell to VDD and p-substrate to VSS connection within the standard cell. But such a standard cell design had consumed more area and to save the area, later a concept of Tapless cell has evolved.\r\n\r\nGuess the PDK we use is \"old-school\"."}, {"assistant": "Very old school. We can add a row cutting command but as a workaround you could run tapcell with a small cell just to get the rows cut. You could either leave those \"tapcells\" or just delete them afterwards."}, {"user": "Thanks, I've specified a filler cell as the tapcell and it works beautifully."}]} +{"num": 2214, "messages": [{"user": "openroad is crashing when running `extract_parasitics` it starts with a bunch of these messages:\r\n```\r\nError 0: Internal Error: tmg_conn::detachTilePins: tilepin inside iterm. should not happen.\r\n```\r\nfollowed by this stack trace:\r\n```\r\nStack trace:\r\n 0# 0x0000000000C1AD66 in openroad\r\n 1# 0x00007FA4AEE80400 in /lib64/libc.so.6\r\n 2# odb::tmg_conn::copyWireIdToVisitedShorts(int) in openroad\r\n 3# odb::tmg_conn::addToWire(int, int, int, bool, bool) in openroad\r\n 4# odb::tmg_conn::treeReorder(bool, bool) in openroad\r\n 5# odb::tmg_conn::analyzeNet(odb::dbNet*, bool, bool, bool, int, int, bool) in openroad\r\n 6# odb::orderWires(odb::dbBlock*, bool, bool, int, int) in openroad\r\n 7# rcx::Ext::extract(rcx::Ext::ExtractOptions) in openroad\r\n 8# extract(char const*, int, double, float, int, int, int, char const*, bool, bool) in openroad\r\n 9# 0x000000000139C4BE in openroad\r\n10# 0x00007FA4B1BCDEB2 in /lib64/libtcl8.5.so\r\n11# 0x00007FA4B1C1236C in /lib64/libtcl8.5.so\r\n12# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n13# 0x00007FA4B1BCDEB2 in /lib64/libtcl8.5.so\r\n14# 0x00007FA4B1BCEF1E in /lib64/libtcl8.5.so\r\n15# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n16# TclEvalObjEx in /lib64/libtcl8.5.so\r\n17# 0x00007FA4B1BD5A65 in /lib64/libtcl8.5.so\r\n18# 0x00007FA4B1BCDEB2 in /lib64/libtcl8.5.so\r\n19# 0x00007FA4B1C1236C in /lib64/libtcl8.5.so\r\n20# 0x00007FA4B1C1A647 in /lib64/libtcl8.5.so\r\n21# TclEvalObjEx in /lib64/libtcl8.5.so\r\n22# 0x00007FA4B1BD51D0 in /lib64/libtcl8.5.so\r\n23# 0x00007FA4B1BCDEB2 in /lib64/libtcl8.5.so\r\n24# 0x00007FA4B1C1236C in /lib64/libtcl8.5.so\r\n25# 0x00007FA4B1C1A647 in /lib64/libtcl8.5.so\r\n26# TclEvalObjEx in /lib64/libtcl8.5.so\r\n27# 0x00007FA4B1C5527F in /lib64/libtcl8.5.so\r\n28# 0x00007FA4B1BCDEB2 in /lib64/libtcl8.5.so\r\n29# 0x00007FA4B1C1236C in /lib64/libtcl8.5.so\r\n30# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n31# 0x00007FA4B1BCDEB2 in /lib64/libtcl8.5.so\r\n32# 0x00007FA4B1BCEF1E in /lib64/libtcl8.5.so\r\n33# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n34# Tcl_Eval in /lib64/libtcl8.5.so\r\n35# ord::tclAppInit(Tcl_Interp*) in openroad\r\n36# Tcl_Main in /lib64/libtcl8.5.so\r\n37# main in openroad\r\n38# __libc_start_main in /lib64/libc.so.6\r\n39# 0x0000000000C17807 in openroad\r\n```\r\nI understand that the def file might be malformed. If that is the case, a better error message without a crash would be much more helpful. Attached is a def, a lef and the extraction rules file used. openroad version used is `4a99e88667b0840531ca0096c4fa0da8f80d6cb1`\r\n[test-case.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9440047/test-case.tar.gz)"}, {"assistant": "I don't think it is your problem but I noticed this ugly connection in housekeeping/mgmt_gpio_in[19]\r\n![image](https://user-images.githubusercontent.com/761514/187238218-bbbe181f-b42f-49f4-813d-67e12a4253b9.png)\r\n"}, {"assistant": "How was the routing generated? I see some odd shapes on met2 as well:\r\n![image](https://user-images.githubusercontent.com/761514/187241276-2d6af4b9-63a9-4c60-8482-51272a983631.png)\r\n"}, {"user": "This routing was done using very old version of openROAD. However, the def isn't directly generated by openROAD. It is output of magic from a gds."}, {"assistant": "In housekeeping/mgmt_gpio_in[19] I see multiple overlapping segs:\r\n```\r\n NEW met3 ( 1503955 4979300 ) ( 1525820 * )\r\n NEW met3 ( 1503955 4979300 ) ( 1525820 * )\r\n NEW met3 ( 1504260 4979300 ) ( 1525820 * )\r\n```\r\nthis triggers a bug in some of the most horrible code that is very hard to fix. While the tool shouldn't crash it will take a lot of effort to resolve this. I suggest you generate an updated routing that is more well formed in the short term."}, {"user": "@RTimothyEdwards this def was generated using magic. is there a workaround to merge shapes like the one above into a single shape?"}, {"user": "@maliberty i see that openroad successfully wrote a spef for the above testcase. is the quality of the spef affected by the original cause of the crash ?"}, {"assistant": "I don't know how you got a spef after it crashed. I would run it again."}, {"user": "I meant that I ran it again and the update prevented the crash and the extraction finished. I am wondering if after the crash fix the spef is valid."}, {"assistant": "As far as I know it is valid"}]} +{"num": 2220, "messages": [{"user": "Hi Folks,\r\n\r\nI've been successfully compiling/running openroad on a M1 MacBook Air using brew for the dependencies for a while now.\r\nA recent \"brew update\" seems to have broken the compile with spdlog: 1.10.0\r\n\r\n\r\n`[INFO FLW-0018] Compiling OpenROAD.\r\n-- OpenROAD version: v2.0-4818-g4174c3ad8\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 13.1.6.13160021\r\n-- Build type: RELEASE\r\n-- Install prefix: /Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: /opt/homebrew/opt/tcl-tk/include/tcl.h\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found version \"1.79.0\") \r\n-- spdlog: 1.10.0\r\n\r\n\r\n\r\n[ 70%] Built target abc\r\nIn file included from /Users/user/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/src/LoadBalancer.cc:34:\r\nIn file included from /Users/user/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include/utl/Logger.h:50:\r\nIn file included from /opt/homebrew/include/spdlog/spdlog.h:12:\r\nIn file included from /opt/homebrew/include/spdlog/common.h:45:\r\nIn file included from /opt/homebrew/include/spdlog/fmt/fmt.h:27:\r\n/opt/homebrew/include/fmt/core.h:1756:3: error: static_assert failed due to requirement 'formattable' \"Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt\"\r\n static_assert(\r\n ^\r\n/opt/homebrew/include/fmt/core.h:1777:10: note: in instantiation of function template specialization 'fmt::detail::make_value, const boost::asio::ip::address &>' requested here\r\n return make_value(val);\r\n ^\r\n/opt/homebrew/include/fmt/core.h:1899:23: note: in instantiation of function template specialization 'fmt::detail::make_arg, fmt::detail::type::custom_type, const boost::asio::ip::address &, 0>' requested here\r\n data_{detail::make_arg<\r\n ^\r\n/opt/homebrew/include/fmt/core.h:1918:10: note: in instantiation of function template specialization 'fmt::format_arg_store, boost::asio::ip::address, unsigned short, unsigned short>::format_arg_store' requested here\r\n return {FMT_FORWARD(args)...};\r\n ^\r\n/opt/homebrew/include/spdlog/logger.h:370:52: note: in instantiation of function template specialization 'fmt::make_format_args, const boost::asio::ip::address &, const unsigned short &, const unsigned short &>' requested here\r\n fmt::detail::vformat_to(buf, fmt, fmt::make_format_args(std::forward(args)...));\r\n ^\r\n/opt/homebrew/include/spdlog/logger.h:90:9: note: in instantiation of function template specialization 'spdlog::logger::log_' requested here\r\n log_(loc, lvl, fmt, std::forward(args)...);\r\n ^\r\n/opt/homebrew/include/spdlog/logger.h:96:9: note: in instantiation of function template specialization 'spdlog::logger::log' requested here\r\n log(source_loc{}, lvl, fmt, std::forward(args)...);\r\n ^\r\n/Users/user/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include/utl/Logger.h:111:16: note: in instantiation of function template specialization 'spdlog::logger::log' requested here\r\n logger_->log(spdlog::level::level_enum::off, message, args...);\r\n ^\r\n/Users/user/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/src/LoadBalancer.cc:47:16: note: in instantiation of function template specialization 'utl::Logger::report' requested here\r\n logger_->report(\"Worker {}/{} handled {} jobs\",\r\n ^\r\n1 error generated.\r\n`"}, {"assistant": "See #2097. I'm not exactly sure what the fix is, but the discussion there will probably help."}, {"assistant": "@vvbandeira how is this setup on our mac CI?"}, {"assistant": "Very similar to #2173 "}, {"assistant": "Just posted here: https://github.com/The-OpenROAD-Project/OpenROAD/issues/2173#issuecomment-1231700671\r\nTL;DR; we installed a version that worked a while back and did a `brew pin` to avoid upgrading and breaking things."}, {"assistant": "New versions of fmtlib are very picky about user defined types. In this case it's unhappy that DST is trying to print a boost IP address\r\n\r\nsrc/dst/src/LoadBalancer.cc\r\n```c++\r\n if (jobs_ != 0 && jobs_ % 100 == 0) {\r\n logger_->info(utl::DST, 7, \"Processed {} jobs\", jobs_);\r\n auto copy = workers_;\r\n while (!copy.empty()) {\r\n auto worker = copy.top();\r\n logger_->report(\"Worker {}/{} handled {} jobs\",\r\n worker.ip,\r\n worker.port,\r\n worker.priority);\r\n copy.pop();\r\n }\r\n }\r\n```\r\n\r\nworker.ip is a boost::ip::address which is why it's complaining. This needs to be converted to a string before adding it to the logger.\r\n"}, {"assistant": "It's not just this one instance, it's actually several of them. See the patch in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2097#issuecomment-1208952962."}, {"user": "Following the commands outlined in #2240 to install and fix an older, working combination of fmt/spdlog gets the compile working again."}]} +{"num": 2221, "messages": [{"user": "### Description\r\nI have successfully generated GDSII file with open lane for picorv32 with configuration using docker container.\r\nHowever when I try to get GDSII for Rocket chip with Tiny configuration I got error at step 15. \r\n\r\n```\r\n[STEP 15]\r\n[INFO]: Running Global Routing Resizer Timing Optimizations...\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/resizer_routing_timing.tcl\r\n[ERROR]: Exit code: 1\r\n[ERROR]: full log: designs/ExampleRocketSystem/runs/RUN_2022.06.03_12.54.37/logs/routing/15-resizer.log\r\n[ERROR]: Last 10 lines:\r\nmet2 Vertical 6182445 4937214 20.14%\r\nmet3 Horizontal 4121630 3293440 20.09%\r\nmet4 Vertical 2472978 1650582 33.26%\r\nmet5 Horizontal 824326 410880 50.16%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[ERROR GRT-0169] Net _0432988_: Invalid index for position (2590950, 3605250). Net degree: 6.\r\nError: resizer_routing_timing.tcl, 53 GRT-0169\r\nchild process exited abnormally\r\n\r\n```\r\n\r\n\r\nGoogling I came to the following config.tcl\r\n\r\n```\r\nset ::env(DESIGN_NAME) ExampleRocketSystem\r\nset ::env(FP_CORE_UTIL) 30\r\n\r\nset ::env(CELL_PAD) 2\r\n\r\nset ::env(PL_BASIC_PLACEMENT) 0\r\nset ::env(PL_TARGET_DENSITY) 0.3\r\n\r\nset ::env(GLB_RT_ADJUSTMENT) 0.2\r\n\r\nset ::env(PL_ROUTABILITY_DRIVEN) 1\r\n\r\n# Change if needed\r\nset ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n\r\n# Fill this\r\nset ::env(CLOCK_PERIOD) \"10.0\"\r\nset ::env(CLOCK_PORT) \"clk\"\r\n\r\nset filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl\r\nif { [file exists $filename] == 1} {\r\n source $filename\r\n}\r\n```\r\n\r\n\r\n\r\n### Environment\r\n```\r\ndocker --version\r\nDocker version 20.10.16, build aa7e414\r\n\r\n\r\nPlease run the following set of commands in the OpenLane folder:\r\n\r\npython3 ./env.py issue-survey\r\nKernel: Linux v5.4.0-113-generic\r\nDistribution: ubuntu 20.04\r\nPython: v3.8.10 (OK)\r\nContainer Engine: UNKNOWN vUNKNOWN (UNSUPPORTED)\r\nOpenLane Git Version: 2653e6e4d69c8a8270c494e2ce61cbfd151fae38\r\npip: INSTALLED\r\npip:venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n2653e6e 2022-05-25T19:27:29+02:00 Synthesis Parameters, More Report Metrics (#1105) - Arya Reais-Parsi - (HEAD -> master, tag: 2022.05.26_01.40.55, origin/master, origin/HEAD)\r\n4298bf2 2022-05-25T17:42:21+02:00 Remove `endcap_cpp` option from `tapcell` (#1085) - Anton Blanchard - ()\r\n601eede 2022-05-21T18:47:55+02:00 Support Multi-Arch Docker Builds (#1075) - Mohamed Gaber - (tag: 2022.05.22_02.07.28)\r\n```\r\n\r\n### Reproduction Material\r\n* Upload a tarball containing the relevant design.\r\nthe used command \r\n\r\n`./flow.tcl -design ExampleRocketSystem`\r\n\r\n### Expected behavior\r\n\r\nSuccessful GDSII generation\r\n\r\n\r\n\r\n[src.tar.gz](https://github.com/The-OpenROAD-Project/OpenLane/files/8842505/src.tar.gz)\r\n\r\n[openroad_issue_reproducible](https://github.com/Ryabkomv/openroad_issue_reproducible)"}, {"assistant": "@Ryabkomv \r\n`openroad_issue_reproducible` attachment missing\r\n\r\nOr share your repo link\r\n"}, {"assistant": "`Container Engine: UNKNOWN vUNKNOWN (UNSUPPORTED)` Also update docker version to latest one\r\n\r\n@Donn is possible to print `issue-survey` with existing container version followed by `UNKNOWN` message. So its easy to convey the message to user."}, {"user": "Added sources, and docker version\r\n\r\nI'm not sure why I get \r\n`Container Engine: UNKNOWN vUNKNOWN (UNSUPPORTED)`\r\n\r\nDocker version 20.10.16, build aa7e414 installed,\r\nalso I'm able to run docker hello-word\r\n\r\n```\r\nsudo docker run hello-world\r\nUnable to find image 'hello-world:latest' locally\r\nlatest: Pulling from library/hello-world\r\n2db29710123e: Pull complete \r\nDigest: sha256:80f31da1ac7b312ba29d65080fddf797dd76acfb870e677f390d5acba9741b17\r\nStatus: Downloaded newer image for hello-world:latest\r\n\r\nHello from Docker!\r\nThis message shows that your installation appears to be working correctly.\r\n\r\nTo generate this message, Docker took the following steps:\r\n 1. The Docker client contacted the Docker daemon.\r\n 2. The Docker daemon pulled the \"hello-world\" image from the Docker Hub.\r\n (amd64)\r\n 3. The Docker daemon created a new container from that image which runs the\r\n executable that produces the output you are currently reading.\r\n 4. The Docker daemon streamed that output to the Docker client, which sent it\r\n to your terminal.\r\n\r\nTo try something more ambitious, you can run an Ubuntu container with:\r\n $ docker run -it ubuntu bash\r\n\r\nShare images, automate workflows, and more with a free Docker ID:\r\n https://hub.docker.com/\r\n\r\nFor more examples and ideas, visit:\r\n https://docs.docker.com/get-started/\r\n```\r\n\r\n"}, {"assistant": "@Ryabkomv \r\nTest case attached is not valid format.\r\n`designs/ExampleRocketSystem/runs/RUN_2022.06.03_12.54.37/openroad_issue_reproducible` exist or not?\r\n\r\nIf not exist Please package a standalone test case with https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/using_or_issue.md"}, {"user": "> @Ryabkomv Test case attached is not valid format. `designs/ExampleRocketSystem/runs/RUN_2022.06.03_12.54.37/openroad_issue_reproducible` exist or not?\r\n> \r\n> If not exist Please package a standalone test case with https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/using_or_issue.md\r\n\r\nAdded corresponding folder as an archive at [github](https://github.com/Ryabkomv/openroad_issue_reproducible). It pretty lengthy."}, {"assistant": "@Ryabkomv \r\ncan you share me git repo link, where you found this project?"}, {"user": "> @Ryabkomv can you share me git repo link, where you found this project?\r\n\r\nhttps://github.com/chipsalliance/rocket-chip\r\nI used TinyConfig configuration"}, {"user": "> If you're using default configuration try increase `FP_CORE_UTIL` to 30 and try\r\n\r\nYes, I copied my config and `FP_CORE_UTIL` is already set to 30"}, {"assistant": "Can you share src/*.v files?\r\n"}, {"user": "> Can you share src/*.v files?\r\n\r\nThese files are already attached to the post, can you download them?"}, {"assistant": "`CLOCK_PORT` is `clock` right?"}, {"user": "> set ::env(CLOCK_PORT) \"clk\"\r\n\r\nShould be...\r\nSo you mean I need to change\r\n`set ::env(CLOCK_PORT) \"clk\"`\r\nfor\r\n`set ::env(CLOCK_PORT) \"clock\"`\r\nright?"}, {"assistant": "yes. I am also running at my end with changes. Will update you the status."}, {"user": "oh, looks like a stupid mistake...I will rerun the flow with updated config file.\r\nBy the way, Is there a way to run the flow step by step? Because the process is pretty time consuming, in case of failure at some stage I'm looking to make modifications in config.tcl and re-run just failed stage. "}, {"assistant": "Use `./flow.tcl -interactive`"}, {"user": "Still have an error at the same stage \r\n```\r\n[STEP 15]\r\n[INFO]: Running Global Routing Resizer Timing Optimizations...\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/resizer_routing_timing.tcl\r\n[ERROR]: Exit code: 1\r\n[ERROR]: full log: designs/ExampleRocketSystem/runs/RUN_2022.06.06_12.17.57/logs/routing/15-resizer.log\r\n[ERROR]: Last 10 lines:\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[WARNING GRT-0227] Reached 20 congestion iterations with less than 15% of reduction between iterations.\r\n[INFO GRT-0197] Via related to pin nodes: 3749275\r\n[INFO GRT-0198] Via related Steiner nodes: 160597\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 6224460\r\n[INFO GRT-0112] Final usage 3D: 33535666\r\n[ERROR GRT-0118] Routing congestion too high.\r\nError: resizer_routing_timing.tcl, 53 GRT-0118\r\nchild process exited abnormally\r\n```\r\n\r\n"}, {"assistant": "@maliberty Can you please look into this?"}, {"assistant": "@Ryabkomv Attach latest run test case"}, {"user": "I've made some changes in configuration, so will upload after the run will be completed. Or hopefully it will be successful."}, {"user": "Unfortunately got the issue at step 15.\r\nupdated test case at [git repository](https://github.com/Ryabkomv/openroad_issue_reproducible)"}, {"assistant": "@maliberty its failing during global routing resizer timing."}, {"assistant": "I am out this week, perhaps @luis201420 can help"}, {"assistant": "@luis201420 \r\ndo you had time to look into this issue?"}, {"assistant": "Yes, I got the following error:\r\n```\r\n[ERROR GRT-0169] Net _0428215_: Invalid index for position (2680650, 2176950). Net degree: 5.\r\n[ERROR GUI-0070] Error: resizer_routing_timing.tcl, 54 GRT-0169\r\n```\r\n@Ryabkomv I suggest you use the **set_routing_alpha 0** command before you call global route."}, {"assistant": "@donn \r\nIs there any configuration available to change `set_routing_alpha 0` in openlane flow?\r\n\r\n@luis201420 \r\nwhat is default setting for `set_routing_alpha`? In which scenario we need to pass this variable?"}, {"assistant": "The alpha=0 is a workaround to a router problem that we are fixing. You would need it when you hit this error."}, {"user": "Thanks for suggestion.\r\nI wonder if I need to set variable in config file? What is there name of the variable? Should it looks like:\r\n`set ::env(set_routing_alpha) 0 ?`\r\nI cannot see such variable in [this list](https://github.com/The-OpenROAD-Project/OpenLane/blob/master/configuration/README.md)"}, {"assistant": "@Ryabkomv \r\nCheck for following file in your local path: https://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/openroad/groute.tcl\r\n\r\nIn line 45 of `groute.tcl` add following lines and run the flow.\r\n`set_routing_alpha 0`"}, {"user": "Thank, will do"}, {"assistant": "@Ryabkomv \r\nupdated command format"}, {"user": "Got same error\r\n\r\n[STEP 15]\r\n[INFO]: Running Global Routing Resizer Timing Optimizations...\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/resizer_routing_timing.tcl\r\n[ERROR]: Exit code: 1\r\n[ERROR]: full log: designs/ExampleRocketSystem/runs/RUN_2022.06.16_06.21.38/logs/routing/15-resizer.log\r\n[ERROR]: Last 10 lines:\r\nmet2 Vertical 6182445 4528494 26.75%\r\nmet3 Horizontal 4121630 2882560 30.06%\r\nmet4 Vertical 2472978 1614742 34.70%\r\nmet5 Horizontal 824326 410880 50.16%\r\n\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[ERROR GRT-0169] Net _0430322_: Invalid index for position (1983750, 3805350). Net degree: 6.\r\nError: resizer_routing_timing.tcl, 53 GRT-0169\r\nchild process exited abnormally\r\n\r\n\r\npart of groute.tcl with set set_routing_alpha\r\n\r\n```\r\nsource $::env(SCRIPTS_DIR)/openroad/set_routing_layers.tcl\r\n\r\nset_macro_extension $::env(GLB_RT_MACRO_EXTENSION)\r\n**set ::env(set_routing_alpha) 0**\r\nsource $::env(SCRIPTS_DIR)/openroad/layer_adjustments.tcl\r\n\r\nset arg_list [list]\r\nlappend arg_list -congestion_iterations $::env(GLB_RT_OVERFLOW_ITERS)\r\nlappend arg_list -verbose\r\nif { $::env(GLB_RT_ALLOW_CONGESTION) == 1 } {\r\n lappend arg_list -allow_congestion\r\n}\r\n\r\n```"}, {"assistant": "@Ryabkomv \r\nIts a mistake. \r\n\r\njust use `set_routing_alpha 0`"}, {"user": "oh, sorry\r\n"}, {"user": "still failing at the same step with the same error"}, {"assistant": "@luis201420 \r\nIf I use `set_routing_alpha 0` before `global_route` command openroad crashed.\r\n```\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0103] Extra Run for hard benchmark.\r\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = int; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = int&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nStack trace:\r\n 0# 0x0000000000BF53D6 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 1# 0x00007FD67ADD0400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# boost::stacktrace::detail::this_thread_frames::collect(void const**, unsigned long, unsigned long) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 5# 0x0000000002724FC2 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 6# grt::FastRouteCore::updateRouteType1(int, grt::TreeNode const*, int, int, int, int, int, grt::TreeEdge*, int, int) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 7# grt::FastRouteCore::mazeRouteMSMD(int, int, float, int, int, bool, int, float, int, int, int) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 8# grt::FastRouteCore::run() in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 9# grt::GlobalRouter::findRouting(std::vector >&, int, int) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n10# grt::GlobalRouter::globalRoute() in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n11# 0x0000000001416B8F in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n```"}, {"assistant": "@vijayank88 I cloned the [github repository](https://github.com/Ryabkomv/openroad_issue_reproducible).\r\nI unzipped the file **openroad_issue_reproducible.tar.gz** and I ran openROAD on the directory \"openroad_issue_reproducible/home/ryabko/OpenLane/designs/ExampleRocketSystem/runs/RUN_2022.06.07_10.44.44/openroad_issue_reproducible/\":\r\n`./openroad -no_init run.tcl`\r\nAnd I got the error:\r\n```\r\n[ERROR GRT-0169] Net _0428215_: Invalid index for position (2680650, 2176950). Net degree: 5.\r\n[ERROR GUI-0070] Error: resizer_routing_timing.tcl, 54 GRT-0169\r\n```\r\nThen, I added on **openlane/scripts/openroad/resizer_routing_timing.tcl** file before the global_route command (Line 53-54) the `set_routing_alpha 0` command to solve the invalid index error.\r\nWith this command the design finishes the extra overflow iterations without problems but in the end the output gives **Routing congestion too high**."}, {"assistant": "@luis201420 What is the next step here? "}, {"assistant": "@vijayank88 create a new GH with the ORFS crash you see on this test case. Please re-test and If there is no congestion issues close this one."}, {"user": "Dear all, I'm little bit lost. Is there a way to resolve the issue? \r\nIs so, can you kindly let me know the needed config file for that?\r\nIn my case I still not able to pass stage 15. \r\nI tried default config for rocket chip and still have issue. The only successes was with picorv32 processor and simple components."}, {"assistant": "@Ryabkomv \r\nDo you have any idea how much clock frequency it can be operated?"}, {"user": "This is good question. I used 10 MHz. I thought it is pretty reasonable. Never used less. \r\nIs it too fast?"}, {"assistant": "I tried with 30ns = 33.33MHz. Its passing with ORFS flow.\r\nOpenLane Its crashed during global routing. Need to debug that."}, {"assistant": "Final routing view from ORFS flow.\r\n![mini_rocket_gui](https://user-images.githubusercontent.com/85787913/176108061-f4c94c7f-b94d-47f2-bc5d-015360f4c7cb.png)\r\n"}, {"user": "By the way, can I obtain GDSII with ORFS flow (some step by step instruction)? I think it will be more involved, but if OpenLane can't help at the moment I will try."}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts"}, {"assistant": "> @vijayank88 create a new GH with the ORFS crash you see on this test case. Please re-test and If there is no congestion issues close this one.\r\n\r\n@dralabeing With ORFS flow mini rocket chip routing got completed. I think that crash due to memory issue. took 25GB RAM for post routing timing check and report."}, {"user": "By the way,\r\nin my case I have about 500Gb of RAM"}, {"assistant": "@Ryabkomv using either DFFRAM or OpenRAM for those arrays would likely help a lot."}, {"assistant": "Your placement density is quite high:\r\n![image](https://user-images.githubusercontent.com/761514/176254234-47d0850a-7378-4aea-bc86-b776ddd20b0b.png)\r\n\r\nYou said you used PL_TARGET_DENSITY=0.3 & FP_CORE_UTIL=.3 but this is much denser than that. I only see ~8k of instances from optimization and 26k of CTS instances out of your 1.1M instances so it doesn't seem like OR added much.\r\n\r\nI feel the problem is upstream of global routing as it is getting a very dense design. Would you provide the logs for all the steps of the flow?"}, {"user": "Can you let me know which file you need?\r\n I think I provided the link [link](https://github.com/Ryabkomv/openroad_issue_reproducible) to the tarball with all possible logs along with config file containing all used parameters."}, {"assistant": "@Ryabkomv **no** that tarball does not contain all log files."}, {"user": "Please, let me know which log file you need. Where are they located?\nSorry for my questions, but I am a newbie with OpenLane, just using step by\nstep instructions.\n\n\u0432\u0442, 28 \u0438\u044e\u043d. 2022 \u0433. \u0432 22:44, Matt Liberty ***@***.***>:\n\n> @Ryabkomv *no* that tarball does not\n> contain all log files.\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@Ryabkomv \r\n`designs/ExampleRocketSystem/runs/LatestRUNtag/logs`\r\nZip complete `logs` directory and upload here.\r\nExample: `designs/ExampleRocketSystem/runs/RUN_2022.06.16_06.21.38/logs/`"}, {"user": "Ok, I've cleaned all the runs history, so will make a fresh run and upload\nin a few hours.\n\n\u0441\u0440, 29 \u0438\u044e\u043d. 2022 \u0433. \u0432 10:22, vijayan ***@***.***>:\n\n> @Ryabkomv \n> designs/ExampleRocketSystem/runs/LatestRUNtag/logs\n> Zip complete logs directory and upload here.\n> Example: designs/ExampleRocketSystem/runs/RUN_2022.06.16_06.21.38/logs/\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"user": "Please, use the [link ](https://github.com/Ryabkomv/openroad_issue_reproducible)to find all the file with log folder."}, {"user": "Guys, were the logs I uploaded enough? Any others files needed?"}, {"assistant": "@Ryabkomv Have you checked your RTL to update memory blocks with OpenRAM/DFFRAM change?\r\nBy updating that we can save run time as well"}, {"user": "No, I didn't. I thought rocket project can be synthetized as it is.\r\nIs the a simple way to update to OpenRAM/DFFRAM.\r\nShould it be done through config file?"}, {"assistant": "Source RTL update can't do with config.tcl."}, {"user": "Ok,\r\nso in summary, current version of OpenLane can't synthetize rocket core with the [source ](https://github.com/chipsalliance/rocket-chip) as it is, right?\r\nAnd the workaround is to dive into RTL?\r\n\r\nJust for information, my interest in RISC-V is not about designing come advanced cores, I want to use standard core and supplement it with photonic accelerator through ROCC interface. So I did not expect to modify any RTL, just work on GDSII files from RISC-V and photonic chip to connect corresponding wires."}, {"assistant": "@Ryabkomv \r\nPlease don't mislead it.\r\nOpenLane synthesize the current rocket core. Its facing issue while running global routing.\r\nSo i am asking whether is it possible to optimize source RTL?"}, {"user": "I'm sorry if I said something wrong. I meant the process of getting GDSII from open source rocket core written in chisel.\r\nI will check what is DFFRAM/OpenRAM and how to use it in the project. RTL is a huge verilog file, If I will be able to find step by step instruction I will do. Otherwise it seems impossible in reasonable time with my background.\r\n"}, {"assistant": "@vijayank88 We should treat the RTL as fixed in this case. Could you try it with a lower utilization?"}, {"assistant": "Sorry I've been off on an important task. I looked at 8-resizer.log and I see\r\n```\r\n[INFO ODB-0131] Created 1098296 components and 6431219 component-terminals.\r\n...\r\n[INFO RSZ-0038] Inserted 4000 buffers in 3063 nets.\r\n[INFO RSZ-0039] Resized 790199 instances.\r\n```\r\nThe vast majority of your gates are needing to be upsized. This is likely to be the cause of the excess congestion (unfortunately it doesn't record the area increase due to sizing). \r\n\r\nIn 7-global.log you have a fair number of ERC violations.\r\n```\r\nmax slew violation count 86353\r\nmax fanout violation count 2\r\nmax cap violation count 14436\r\n```\r\nIts odd that at the end of synthesis you have very few (730 max slew). Something seem off that synthesis see such a different view. Perhaps there is an issue with wire load models?\r\n\r\nAlso a fair amount of slack repair needed:\r\n```\r\ntns -664458.94\r\nwns -22.90\r\n```\r\nafter CTS I see:\r\n```\r\nmax fanout violation count 19090\r\n```\r\nI'm not sure why the max fanout is set to 5 but it seems quite low. It does seem to be an OL default rather than specific to your design.\r\n\r\nIn all it seems like the design requires a lot of repair and that is increasing the utilization. Are you trying to hit a particularly high frequency? The debugging really needs to look at the full flow. Is there a repo with the full design collateral necessary to run OL?"}, {"assistant": "> I'm not sure why the max fanout is set to 5 but it seems quite low. It does seem to be an OL default rather than specific to your design.\r\n\r\nI think 5 is overly pessimistic. I've been overriding the default, and using 10 (which still may be low)."}, {"assistant": "I created https://github.com/The-OpenROAD-Project/OpenLane/pull/1187"}, {"assistant": "> @vijayank88 We should treat the RTL as fixed in this case. Could you try it with a lower utilization?\r\n\r\n@tspyrou \r\nYes. I am using RTL as it is and Re-Running the flow again with changes suggested above. Update you once got flow status."}, {"assistant": "@Ryabkomv \r\nUpdate openlane to latest commit. \r\nhttps://github.com/The-OpenROAD-Project/OpenLane/pull/1172/files merge this update into `scripts/openroad/replace.tcl`\r\nUse following `config.tcl` will resolve congestion issue and started running DRT now.\r\n```\r\n# User config\r\nset ::env(DESIGN_NAME) ExampleRocketSystem\r\nset ::env(FP_SIZING) \"absolute\"\r\nset ::env(DIE_AREA) {0.0 0.0 6937.49 6948.21}\r\nset ::env(CORE_AREA) {5.52 10.88 6931.7 6935.04}\r\nset ::env(FP_CORE_UTIL) 0.01\r\nset ::env(DIODE_INSERTION_STRATEGY) {4}\r\n\r\nset ::env(CELL_PAD) 1\r\n\r\n#set ::env(PL_BASIC_PLACEMENT) 0\r\nset ::env(PL_TARGET_DENSITY) 0.22\r\n\r\nset ::env(GLB_RT_ADJUSTMENT) 0.15\r\n\r\n#set ::env(PL_ROUTABILITY_DRIVEN) 1\r\n# Change if needed\r\nset ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n\r\n# Fill this\r\nset ::env(CLOCK_PERIOD) \"30.0\"\r\nset ::env(CLOCK_PORT) \"clock\"\r\nset ::env(SYNTH_MAX_FANOUT) 10\r\nset filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl\r\nif { [file exists $filename] == 1} {\r\n\tsource $filename\r\n```"}, {"user": "Hello.\r\nNow I have crush at the 7th stage.\r\nI have updated [repo ](https://github.com/Ryabkomv/openroad_issue_reproducible)with my new replace.tcl and uploaded the log file of the corresponding stage."}, {"assistant": "@Ryabkomv \r\ncan you try with above config.tcl that I attached?\r\n\r\nAlso please update openlane repo to latest.\r\n\r\nAt my end currently flow fail at Magic DRC Check at stage 37:\r\n```\r\nDRC style is now \"drc(full)\"\r\nLoading DRC CIF style.\r\n[INFO]: COUNT: 6\r\n[INFO]: Should be divided by 3 or 4\r\n[INFO]: DRC Checking DONE (/openlane/designs/ExampleRocketSystem/runs/Jul08_run1/reports/signoff/drc.rpt)\r\n[INFO]: Saving mag view with DRC errors (/openlane/designs/ExampleRocketSystem/runs/Jul08_run1/results/signoff/ExampleRocketSystem.drc.mag)\r\nWarning: I/O error in writing file \"/openlane/designs/ExampleRocketSystem/runs/Jul08_run1/results/signoff/ExampleRocketSystem.drc.mag\"\r\n[INFO]: Saved\r\n```\r\n\r\nDRC report:\r\n```\r\nExampleRocketSystem\r\n----------------------------------------\r\nMetal3 minimum area < 0.24um^2 (met3.6)\r\n----------------------------------------\r\n 1603.625um 3912.540um 1603.955um 3912.545um\r\n 1603.625um 3912.520um 1603.860um 3912.540um\r\n 1603.625um 3912.240um 1603.650um 3912.520um\r\n 1603.625um 3912.220um 1603.860um 3912.240um\r\n 1603.625um 3912.215um 1604.170um 3912.220um\r\n 1603.640um 3912.150um 1604.170um 3912.215um\r\n----------------------------------------\r\n[INFO]: COUNT: 6\r\n[INFO]: Should be divided by 3 or 4\r\n```"}, {"user": "@vijayank88 \r\nI have updated OpenLane following the [instruction](https://github.com/The-OpenROAD-Project/OpenLane#updating-openlane).\r\nWhen I run `make test` I got error with updated [replace.tcl](https://github.com/The-OpenROAD-Project/OpenLane/blob/0aa9439bab9a8e5081678f04aff760704d369780/scripts/openroad/replace.tcl). So I think current issue with this file. Can you confirm that the file is correct?\r\nWith the old one (before modification) all tests are passed.\r\nAnd I do use the config.tcl you've sent."}, {"assistant": "@Ryabkomv \r\nJust run your project. \r\n`make test` fail maybe reason with CELL_PAD update. Ignore that fail as of now."}, {"user": "I'm sorry, but after OpenLane update I've got new issue:\r\nThe version of openroad_app installed in the enviroment does not match the one required by OpenLane flow scripts.\r\n\r\nShould I update the docker container which includes oenroad?"}, {"assistant": "do you know how to update `openroad_app` commit right?\r\n\r\nTry this way: `OPENLANE_IMAGE_NAME=efabless/openlane:current make mount`"}, {"user": "In my case the crush at step 7 (Global placement)"}, {"assistant": "@Ryabkomv \r\nAs per your log still using `OpenROAD 79a46b62da64bbebc18f06b20c42211046de719a ` commit.\r\n\r\nI suggested to use latest commit from [OpenROAD](https://github.com/The-OpenROAD-Project/OpenROAD): `b3f2819780aa0a6e0000f0fd6f5b76fd951ceb52` \r\n\r\nIf you don't know how to do it, update your OpenLane repo to latest [2022.07.06_01.50.05](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.07.06_01.50.05) and try again"}, {"user": "I have updated OpenLane yesterday. log file was not updated. Now I've [updated ](https://github.com/Ryabkomv/openroad_issue_reproducible/blob/master/7-global.log)it"}, {"assistant": "Just debugged through teams meeting, `config.tcl` settings over-written by `sky130A_sky130_fd_sc_hd_config.tcl` configuration as its contains some default setting. @Ryabkomv removed those configuration and running the flow. Hope this time able to get final routing results."}, {"assistant": "@Ryabkomv \r\nAny updates on flow status?"}, {"user": "It is on step The-OpenROAD-Project/OpenLane#39 now. DRC check\n\nOn Fri, 15 Jul 2022, 15:01 vijayan, ***@***.***> wrote:\n\n> @Ryabkomv \n> Any updates on flow status?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"user": "Finally I got error on step 41 CVC/\r\n`There are hold violations in the design at the typical corner`"}, {"assistant": "I did not read the whole thread. But I noticed some abnormalities worth investigating:\r\n1. CLOCK_PORT is supposed to be \"clock\". Assuming RockerChip uses Chisel default clock naming. I burnt there a couple of times.\r\n2. the density is set to the corresponding value as the FP CORE UTIL. This may cause congestion issues down the line. I would recommend increasing the density to be at least 10% higher than core util. In the design according to the logs, the density is much higher than it is set to.\r\n3. Always create a new design using -init_design. Copy pasting some designs folder may cause the wrong files to be picked, which you did not intend to. Burnt there many times.\r\n4. the RocketChip is supposed to have the RAM cells replaced with tech-specific. Otherwise, the area usage is going to be insane => long runtimes and a lot of memory usage. It generates the config file, which can be used to configure the DFFRAM. "}, {"assistant": "@Ryabkomv \r\nCan you share `logs/signoff/xx-parasitics_sta.log`?"}, {"user": "I have updated all [logs](https://github.com/Ryabkomv/openroad_issue_reproducible/blob/master/logs.tar.gz)"}, {"user": "> I did not read the whole thread. But I noticed some abnormalities worth investigating:\r\n> \r\n> 1. CLOCK_PORT is supposed to be \"clock\". Assuming RockerChip uses Chisel default clock naming. I burnt there a couple of times.\r\n> 2. the density is set to the corresponding value as the FP CORE UTIL. This may cause congestion issues down the line. I would recommend increasing the density to be at least 10% higher than core util. In the design according to the logs, the density is much higher than it is set to.\r\n> 3. Always create a new design using -init_design. Copy pasting some designs folder may cause the wrong files to be picked, which you did not intend to. Burnt there many times.\r\n> 4. the RocketChip is supposed to have the RAM cells replaced with tech-specific. Otherwise, the area usage is going to be insane => long runtimes and a lot of memory usage. It generates the config file, which can be used to configure the DFFRAM.\r\n\r\n@armleo thanks for your suggestions.\r\nCan you kindly share the link with the example to use DFFRAM with Rocket Core?"}, {"assistant": "@Ryabkomv \r\nAs per guideline one issue to be discussed at a time.\r\n\r\nAs congestion issue got fixed and this issue should be closed. You can open a new issue if there is any other problem.\r\n\r\nRegarding hold violation fix:\r\n```\r\nset ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\nset ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n```\r\nChange the margin as per `wns` and re-run the flow to meet timing.\r\nIf no other issues mean, you may close this issue."}, {"user": "@vijayank88 you suggested to set fixed size of the chip. I wonder if it is appropriate way? For me, with no experience in VLSI, I hardly can imagine how to calculate the size before starting the flow."}, {"assistant": "```\r\nset ::env(FP_SIZING) \"absolute\"\r\nset ::env(DIE_AREA) {0.0 0.0 6937.49 6948.21}\r\nset ::env(CORE_AREA) {5.52 10.88 6931.7 6935.04}\r\n```\r\nRemoving above lines from `config.tcl` OpenLane flow uses relative size based die area calculation.\r\n\r\nWith our initial try tool calculated value is:\r\n```\r\n\r\nset ::env(DIE_AREA) {0.0 0.0 5937.49 5948.21}\r\nset ::env(CORE_AREA) {5.52 10.88 5931.7 5935.04}\r\n```\r\n\r\nNow you can try to optimize design as per need"}, {"assistant": "@vijayank88 @Ryabkomv Please confirm that this design completes successfully both in ORFS and OL with the provided config and close this issue."}, {"user": "I started the flow with the changes mentioned by @vijayank88.\r\nAlso I make clock duration 100 to relax time constrains.\r\nAnd I remove absolute sizing."}, {"user": "It looks I'm lost.\r\nWith new parameters the flow crushes at stage 6 because of low disk space. It uses more than 300 GB!!! I've only commented absolute sizing and added:\r\n```\r\nset ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\nset ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n```\r\n"}, {"assistant": "@Ryabkomv \r\nCheck `runs` directory. Keep only previous successful `RUNSxxx` and remove fail RUNS. So you will get free space."}, {"user": "Thanks, but I do remove all the runs. Only the last run consumes >300GB"}, {"assistant": "@Ryabkomv \r\nAny updates?"}, {"user": "Well, I'm still trying to succeed by changing config parameters, but I have no successful run completed yet!\r\n \r\nI'm curious if it possible to add an example of successful application of OpenLane with some default parameters to Rocket core generated by chipyard?\r\n\r\nI'm not sure if my case so specific, or only VLSI experts can use such tools? I mean try and failure adjusting parameters in config file.\r\n\r\nBy commenting absolute `FP_SIZING ` the flow fails with disk space error. RUN folder consumes more than 300 GB, can you suggest why it happens?\r\n\r\nI returned back absolute sizing and started the flow with the only change \r\n\r\n```\r\nset ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\nset ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n```"}, {"assistant": "@Ryabkomv \r\nGoto `OpenLane` folder and do following to commit latest updates from OpenLane.\r\n```\r\ngit checkout master\r\ngit pull\r\nmake\r\n```\r\nIf you're running out of memory remove previous `runs` from your directory.\r\nAs per latest OpenLane use following `config.tcl` to run rocket chip\r\n```\r\n# User config\r\nset ::env(DESIGN_NAME) ExampleRocketSystem\r\n\r\n# Change if needed\r\nset ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n\r\n# Fill this\r\nset ::env(CLOCK_PERIOD) \"30.0\"\r\nset ::env(CLOCK_PORT) \"clock\"\r\nset ::env(SYNTH_MAX_FANOUT) 10\r\n\r\nset ::env(FP_CORE_UTIL) 0.01\r\nset ::env(PL_TARGET_DENSITY) 0.22\r\nset ::env(DIODE_INSERTION_STRATEGY) {4}\r\nset ::env(DPL_CELL_PADDING) 1\r\nset ::env(GRT_ADJUSTMENT) 0.15\r\n\r\n```"}, {"user": "@vijayank88 thanks a lot.\r\n\r\nBy the way, the disk space issue arise from the fact of huge (not typical to any previous run) size of the current run folder not because I have low disk space.\r\n\r\nAnyway I will follow suggested changes ones the current run get finished."}, {"assistant": "I sorry I haven't had time to help with this more. I think we need an examination of why this seems to require such heroics to get this block through. @vijayank88 would you summarize the issues that have needed workarounds"}, {"assistant": "@maliberty \r\nThis design got successfully completed with our end and at user end its failed with 0.1 wns of hold violation.\r\nWhile user trying to optimize and failed to complete the flow.\r\nSo its kept open to close by user and they are trying to get final gds without any violations.\r\nThere is nothing pending on our side."}, {"assistant": "@vijayank88 I'm looking at what we can learn to make this easier. This process has taken over a month to complete and appears that the final result involves a fair amount of manual knob setting."}, {"assistant": "@Ryabkomv \r\nAny updates on timing violations?\r\n"}, {"user": "@vijayank88 \r\nwith the above mentioned suggestion\r\n```\r\nset ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\nset ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n```\r\n\r\nflow failed at the exact same step. \r\nI think it is not the scope of this issue, and more painful work is needed on violations investigation.\r\n\r\nBut I still wonder, why the flow generates enormous size of the `result ` folder (>300GB ) when I do not use `absolute ` sizing and as my disk size is 500 GB it finally fails, compared to several GB with suggested by you manual hack of fixed sizes of the chip and core. I'm not VLSI expert, is it usual way to provide the tool the size of the chip?"}, {"assistant": "@vijayank88 are you able to reproduce this large result?"}, {"assistant": "@maliberty \r\nThis my results directory disk usgare: `35GB`\r\n```\r\n149M\tresults/synthesis\r\n169M\tresults/floorplan\r\n477M\tresults/placement\r\n750M\tresults/cts\r\n6.1G\tresults/routing\r\n0\tresults/eco\r\n15G\tresults/signoff\r\n188K\tresults/final/lef\r\n3.0G\tresults/final/mag\r\n136K\tresults/final/maglef\r\n1.6G\tresults/final/def\r\n3.1G\tresults/final/gds\r\n790M\tresults/final/verilog/gl\r\n790M\tresults/final/verilog\r\n425M\tresults/final/spi/lvs\r\n425M\tresults/final/spi\r\n1.3G\tresults/final/spef\r\n443M\tresults/final/sdf\r\n140K\tresults/final/sdc\r\n11G\tresults/final\r\n35G\tresults\r\n```\r\n@Ryabkomv Can you share `du -h runs/tag/results` ?"}, {"user": "@vijayank88 I should re-run the flow as I have deleted the folder.\r\nHave you completed the flow successfully without absolute sizing?\r\n\r\n "}, {"assistant": "35Gb vs 500 is quite a difference. Can you two sort of what is going on?"}, {"user": "@maliberty I started the flow and will update with the folder sizes and `config.tcl` once it fails"}, {"user": "115G\tresults/floorplan\r\n4 ,0K\tresults/cts\r\n4 ,0K\tresults/routing\r\n4 ,0K\tresults/signoff\r\n4 ,0K\tresults/placement\r\n4 ,0K\tresults/eco\r\n115G\tresults/\r\n\r\n\r\n\r\n158G RUN_2022.08.01_10.31.29/issue_reproducible/openlane/designs/ExampleRocketSystem/runs\r\n158G RUN_2022.08.01_10.31.29/issue_reproducible/openlane/designs/ExampleRocketSystem\r\n158G RUN_2022.08.01_10.31.29/issue_reproducible/openlane/designs\r\n158G RUN_2022.08.01_10.31.29/issue_reproducible/openlane\r\n13M RUN_2022.08.01_10.31.29/issue_reproducible/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib\r\n13M RUN_2022.08.01_10.31.29/issue_reproducible/pdk/sky130A/libs.ref/sky130_fd_sc_hd\r\n13M RUN_2022.08.01_10.31.29/issue_reproducible/pdk/sky130A/libs.ref\r\n13M RUN_2022.08.01_10.31.29/issue_reproducible/pdk/sky130A\r\n13M RUN_2022.08.01_10.31.29/issue_reproducible/pdk\r\n158G RUN_2022.08.01_10.31.29/issue_reproducible\r\n273G RUN_2022.08.01_10.31.29/\r\n\r\nconfig.tcl inside runs/tag/\r\n```\r\nset ::env(PDK_ROOT) {/home/ryabko/OpenLane/pdks}\r\nset ::env(BASE_SDC_FILE) {/openlane/scripts/base.sdc}\r\nset ::env(BOTTOM_MARGIN_MULT) {4}\r\nset ::env(CARRY_SELECT_ADDER_MAP) {/home/ryabko/OpenLane/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/csa_map.v}\r\nset ::env(CELLS_LEF) {/home/ryabko/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef}\r\nset ::env(CELLS_LEF_OPT) {/home/ryabko/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef}\r\nset ::env(CELL_CLK_PORT) {CLK}\r\nset ::env(CELL_PAD) {1}\r\nset ::env(CELL_PAD_EXCLUDE) {sky130_fd_sc_hd__tap* sky130_fd_sc_hd__decap* sky130_ef_sc_hd__decap* sky130_fd_sc_hd__fill*}\r\nset ::env(CHECK_ASSIGN_STATEMENTS) {0}\r\nset ::env(CHECK_UNMAPPED_CELLS) {1}\r\nset ::env(CLK_BUFFER) {sky130_fd_sc_hd__clkbuf_4}\r\nset ::env(CLK_BUFFER_INPUT) {A}\r\nset ::env(CLK_BUFFER_OUTPUT) {X}\r\nset ::env(CLOCK_BUFFER_FANOUT) {16}\r\nset ::env(CLOCK_PERIOD) {30.0}\r\nset ::env(CLOCK_PORT) {clock}\r\nset ::env(CLOCK_TREE_SYNTH) {1}\r\nset ::env(CLOCK_WIRE_RC_LAYER) {met5}\r\nset ::env(CONFIGS) {/openlane/configuration/extraction.tcl /openlane/configuration/synthesis.tcl /openlane/configuration/routing.tcl /openlane/configuration/general.tcl /openlane/configuration/cts.tcl /openlane/configuration/checkers.tcl /openlane/configuration/placement.tcl /openlane/configuration/lvs.tcl /openlane/configuration/floorplan.tcl}\r\nset ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_2}\r\nset ::env(CTS_CLK_MAX_WIRE_LENGTH) {0}\r\nset ::env(CTS_DISABLE_POST_PROCESSING) {0}\r\nset ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {0}\r\nset ::env(CTS_MAX_CAP) {1.53169}\r\nset ::env(CTS_REPORT_TIMING) {1}\r\nset ::env(CTS_ROOT_BUFFER) {sky130_fd_sc_hd__clkbuf_16}\r\nset ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {50}\r\nset ::env(CTS_SINK_CLUSTERING_SIZE) {25}\r\nset ::env(CTS_SQR_CAP) {0.258e-3}\r\nset ::env(CTS_SQR_RES) {0.125}\r\nset ::env(CTS_TARGET_SKEW) {200}\r\nset ::env(CTS_TECH_DIR) {N/A}\r\nset ::env(CTS_TOLERANCE) {100}\r\nset ::env(DATA_WIRE_RC_LAYER) {met2}\r\nset ::env(DECAP_CELL) {sky130_ef_sc_hd__decap_12 sky130_fd_sc_hd__decap_8 sky130_fd_sc_hd__decap_6 sky130_fd_sc_hd__decap_4 sky130_fd_sc_hd__decap_3}\r\nset ::env(DEFAULT_MAX_TRAN) {0.75}\r\nset ::env(DEF_UNITS_PER_MICRON) {1000}\r\nset ::env(DESIGN_CONFIG) {/openlane/designs/ExampleRocketSystem/config.tcl}\r\nset ::env(DESIGN_DIR) {/openlane/designs/ExampleRocketSystem}\r\nset ::env(DESIGN_IS_CORE) {1}\r\nset ::env(DESIGN_NAME) {ExampleRocketSystem}\r\nset ::env(DETAILED_ROUTER) {tritonroute}\r\nset ::env(DIODE_CELL) {sky130_fd_sc_hd__diode_2}\r\nset ::env(DIODE_CELL_PIN) {DIODE}\r\nset ::env(DIODE_INSERTION_STRATEGY) {4}\r\nset ::env(DIODE_PADDING) {2}\r\nset ::env(DRC_EXCLUDE_CELL_LIST) {/home/ryabko/OpenLane/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells}\r\n```\r\n\r\n\r\nconfig.tcl \r\n\r\n\r\n```\r\nset ::env(DESIGN_NAME) ExampleRocketSystem\r\n#set ::env(FP_SIZING) \"absolute\"\r\n#set ::env(DIE_AREA) {0.0 0.0 6937.49 6948.21}\r\n#set ::env(CORE_AREA) {5.52 10.88 6931.7 6935.04}\r\nset ::env(FP_CORE_UTIL) 0.01\r\n\r\nset ::env(CELL_PAD) 1\r\n\r\nset ::env(PL_BASIC_PLACEMENT) 0\r\nset ::env(PL_TARGET_DENSITY) \"0.22\"\r\n\r\nset ::env(GLB_RT_ADJUSTMENT) 0.15\r\n\r\n#set ::env(PL_ROUTABILITY_DRIVEN) 1\r\n#set ::env(SYNTH_STRATEGY) \"AREA 0\"\r\n# Change if needed\r\nset ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n\r\n# Fill this\r\nset ::env(CLOCK_PERIOD) \"30.0\"\r\nset ::env(CLOCK_PORT) \"clock\"\r\n#set ::env(CLOCK_NET) \"clock\"\r\nset ::env(DIODE_INSERTION_STRATEGY) {4}\r\n#set ::env(GLB_RT_MAXLAYER) 5\r\n#set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.2\r\n\r\n#set ::env(GLB_RT_ADJUSTMENT) 0.25\r\n#set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 1\r\n#set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n#set ::env(GBL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n#set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) 1\r\nset ::env(SYNTH_MAX_FANOUT) 10\r\nset ::env(QUIT_ON_MAGIC_DRC) 0\r\nset filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl\r\nif { [file exists $filename] == 1} {\r\n source $filename\r\n}\r\n\r\n```\r\n"}, {"assistant": "@Ryabkomv \r\n`make survey || python3 ./env.py issue-survey` can you post log of this"}, {"user": "```\r\npython3 ./env.py issue-survey\r\nKernel: Linux v5.4.0-122-generic\r\nDistribution: ubuntu 20.04\r\nPython: v3.8.10 (OK)\r\nContainer Engine: docker v20.10.17 (OK)\r\nOpenLane Git Version: b718fd064063333703734ce3ae8bb56aa6810b0b\r\npip: INSTALLED\r\npip:venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\nb718fd0 2022-07-05T10:05:16+03:00 Remove stale comment about power pin and net being the same (#1184) - Anton Blanchard - (HEAD -> master, tag: 2022.07.06_01.50.05, origin/master, origin/HEAD)\r\nb63f46b 2022-07-05T08:35:35+03:00 Added missing `-log` to `scrot_klayout` call (#1182) - Syndace - ()\r\nf9b5781 2022-07-01T16:04:31+02:00 Fix a bug with `-overwrite` (#1171) - Anton Blanchard - (tag: 2022.07.02_01.38.08)\r\n\r\n```"}, {"assistant": "> @Ryabkomv Goto `OpenLane` folder and do following to commit latest updates from OpenLane.\r\n> \r\n> ```\r\n> git checkout master\r\n> git pull\r\n> make\r\n> ```\r\n> \r\n> If you're running out of memory remove previous `runs` from your directory. As per latest OpenLane use following `config.tcl` to run rocket chip\r\n> \r\n> ```\r\n> # User config\r\n> set ::env(DESIGN_NAME) ExampleRocketSystem\r\n> \r\n> # Change if needed\r\n> set ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n> \r\n> # Fill this\r\n> set ::env(CLOCK_PERIOD) \"30.0\"\r\n> set ::env(CLOCK_PORT) \"clock\"\r\n> set ::env(SYNTH_MAX_FANOUT) 10\r\n> \r\n> set ::env(FP_CORE_UTIL) 0.01\r\n> set ::env(PL_TARGET_DENSITY) 0.22\r\n> set ::env(DIODE_INSERTION_STRATEGY) {4}\r\n> set ::env(DPL_CELL_PADDING) 1\r\n> set ::env(GRT_ADJUSTMENT) 0.15\r\n> ```\r\n\r\n@Ryabkomv \r\nI'm trying to help you, but you missing important updates and follow-up message from my side.\r\n\r\nPlease update OpenLane commits to latest and run the flow again with changes above mentioned configuration.\r\n\r\nThere was bug in the OpenLane commit you're using `2022-07-05` and its causing huge space utilized for `issue_reproducible`.\r\n\r\nIts difficult for all to understand what's current issue. So i'm closing this issue and open new issue and there is any issue wrt flow completion.\r\n\r\nThanks."}, {"user": "I'm sorry I was missing to update the OpenLane.\r\nI have updated and changed config.tcl. "}, {"user": "Ok, same crush due to enormous disk space usage!\r\n\r\n```\r\npython3 ./env.py issue-survey\r\nKernel: Linux v5.4.0-122-generic\r\nDistribution: ubuntu 20.04\r\nPython: v3.8.10 (OK)\r\nContainer Engine: docker v20.10.17 (OK)\r\nOpenLane Git Version: 4476a58407d670d251aa0be6a55e5391bb181c4e\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n4476a58 2022-07-29T16:03:10+02:00 Sky130 Enhancements (#1240) - Mohamed Gaber - (HEAD -> master, tag: 2022.07.30, origin/master, origin/HEAD)\r\n4f63c8c 2022-07-27T17:26:27+02:00 Various CI Fixes (#1235) - Mohamed Gaber - (tag: 2022.07.28)\r\n0b55101 2022-07-26T20:37:20+02:00 [BOT] Update openroad_app (#1219) - Openlane Bot - (tag: 2022.07.27)\r\n---\r\nGit Remotes\r\n\r\norigin\thttps://github.com/The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\thttps://github.com/The-OpenROAD-Project/OpenLane.git (push)\r\n```"}, {"assistant": "please open a new issue"}, {"user": "Guys, I don't want to be impolite, English is not my first language, so if you think my message has an intention to insult somebody, this is not correct, and may seem due to my immature English. You did a great product!\r\n\r\nI tried to get the result for almost 2 months! I applied all suggested hacks in files somewhere deep inside installation. And still I had no one successful completion of the flow.\r\n\r\nSo I want to ask the question one more time: is there any example of successful application of OpenLane for real world processor? I try to generate the simplest Rocket core even not thinking about multy-core BOOM processor. I understand I'm not using OpenRam or DFFRAM which can save area and load on the flow [several times](https://github.com/AUCOHL/DFFRAM), but again, I'm using simplest single Rocket core with 1 kB cash.\r\n\r\nMaybe OpenLane is not mature for huge designs and it works well for processor sizes like pico32 provided as an example? This is perfectly fine, but I will not try to fight with impossible, saving lot of my and yours time. \r\nOr I just so unlucky..."}, {"assistant": "@Ryabkomv \r\nCan you share gmail ID? \r\nI will share my final results, what I generated with OpenLane flow.\r\nWe already had one teams meeting to help you on fixing `config.tcl` issue. But what is happening remotely at your end, we can't understand.\r\n\r\nAll I can say is with OpenLane tag [2022.07.30](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.07.30) I'm able to complete routing and signoff check still running(More than 48 Hrs)"}, {"user": "my gmail ID is RyabkoMV"}, {"assistant": "Here is final GDS file:\r\n![Screenshot from 2022-08-03 13-35-59](https://user-images.githubusercontent.com/85787913/182557273-d52ece37-fbad-45b1-bc18-7545047b6f46.png)\r\n"}, {"assistant": "If it is help we do have a nightly test design that is rocket based, see\r\n\r\nhttps://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/1605/execution/node/656/log/?consoleFull\r\n\r\nIt is run on nangate45 rather than skywater. We also run many other riscv cores like ibex, swerv-wrapper, and black parrot."}, {"user": "@maliberty \r\nwhat you provide with a link is open road flow script.\r\nAs I have understood from this issue, this is different from OpenLane, as the design succeeded with open road failed with OpenLane.\r\n\r\nsearch for:\r\nI tried with 30ns = 33.33MHz. Its passing with ORFS flow.\r\nOpenLane Its crashed during global routing. Need to debug that.\r\n\r\nin this issue."}, {"assistant": "@Ryabkomv can you show me the contents of the floorplanning folder and its file sizes? Nothing in the floorplanning stage should be that large."}, {"assistant": "I'm going to reopen this for the purposes of digging a bit deeper into the root causes of why this design is giving so much trouble closing.\r\n\r\nI have been tied up with other issues so I'm just getting time now to jump in. I'm sorry I couldn't help earlier in the process."}, {"user": "@QuantamHD \r\n```\r\nryabko@nanophotonics:~/OpenLane$ du -h designs/ExampleRocketSystem/runs/RUN_2022.08.02_07.42.11/results/floorplan/\r\n115G\tdesigns/ExampleRocketSystem/runs/RUN_2022.08.02_07.42.11/results/floorplan/\r\nryabko@nanophotonics:~/OpenLane$ ls -l designs/ExampleRocketSystem/runs/RUN_2022.08.02_07.42.11/results/floorplan/\r\ntotal 119781104\r\n-rw-r--r-- 1 root root 122536061461 \u0430\u0432\u0433 2 17:09 ExampleRocketSystem.def\r\n```\r\n\r\n"}, {"assistant": "Wow that's a very large DEF... I don't think I've actually seen one this large to be honest.\r\n\r\n@Ryabkomv could you use the less command to view that file. \r\n\r\n$ less ExampleRocketSystem.def\r\n\r\nScroll through a bit and see if there's something of similar pattern that's consuming the space.\r\n\r\nCould you also try to compress the file with tar or bzip2, and see if you can upload it Google drive?\r\n\r\n@maliberty that seems like some kind of bug."}, {"assistant": "Also do you know roughly how many standard cells are in the rocket core you generated?"}, {"assistant": "Your statement that you are using \"1 kB cash\" is not quite accurate. \r\n```\r\ndata_arrays_0_ext: reg [31:0] ram [4095:0];\r\ntag_array_ext: reg [20:0] ram [63:0];\r\ndata_arrays_0_0_ext: reg [31:0] ram [1023:0];\r\nmem_ext: reg [31:0] ram [1023:0];\r\n```\r\nIn your yosys log I see:\r\n```\r\n Number of cells: 633680\r\n $_MUX_ 359438\r\n sky130_fd_sc_hd__dfxtp_2 175917\r\n```\r\nYou have a huge amount of registers and muxes. The version of tinyRocket we run in ORFS/nangate45 with RAMs has less than 10k or each. Admittedly it is not exactly the same netlist but it isn't this wildly different.\r\n\r\nI think you have dismissed a real issue here. \r\n[Note that we have run multi-core BOOM in ORFS though it is not part of our nightly build due to size.]"}, {"assistant": "Total instances in ng45/tinyRocket is 25k"}, {"assistant": "In data_arrays_0_ext\r\n```\r\n always @(posedge RW0_clk)\r\n if (RW0_en && RW0_wmode) begin\r\n for(i=0;i<4;i=i+1) begin\r\n if(RW0_wmask[i]) begin\r\n ram[RW0_addr][i*8 +: 8] <= RW0_wdata[i*8 +: 8];\r\n end\r\n end\r\n end\r\n```\r\nis going to generate a lot of muxes. Similar code exists in data_arrays_0_0_ext. These are behavioral models and not great for synthesizing."}, {"assistant": "Anton was on the money with: \r\n![image](https://user-images.githubusercontent.com/761514/182689297-dc1f346d-ac33-4eb3-9e55-3bde517a74fe.png)\r\n"}, {"user": "I admit, that using flip flops is much more resource consuming.\n\nI have generated sample memory with OpenRam, so will try to use it some day\nonce understand how to do that.\n\nIf the synthesis of such design with flip flops is not possible, or not\nsupposed to be, or not guaranteed, just let me know.\n\n\nOn Wed, 3 Aug 2022, 22:07 Matt Liberty, ***@***.***> wrote:\n\n> Anton was on the money with:\n> [image: image]\n> \n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "@maliberty I'm 100% for making this a stress test of OpenROAD. We should make it work even though DFFRAM might potentially be a way out. "}, {"assistant": "@maliberty Is 633680 the total number of mapped cells in the design? If so that seems on the smaller side of things."}, {"assistant": "For comparison I gutted the 4 memory modules and then yosys shows:\r\n```\r\n Number of cells: 61932\r\n```\r\nso virtual the whole design consists of the memories."}, {"assistant": "I looked at the log files you uploaded a while back and the flow goes through P&R to the end. I thought this was a failing run. Do you have a current setup for this that shows the problem?"}, {"assistant": "I am running this as it to get a sense of the problem based on the config provided. However I feel the real problem here is lowering the barrier to dropping in a RAM so that there is no incentive to go do such an unproductive path. There is no way this can scale up to anything useful beyond the \"1k cache\".\r\n\r\n@QuantamHD its not that large for a stress test and quite uninteresting as a design. I would rather stress test with a more meaningful design than endless ff & muxes."}, {"assistant": "Why is the DEF so large though? That makes no sense for this scale after floorplanning no less"}, {"assistant": "This is what I get in my run:\r\n```\r\n -rw-r--r-- 1 mliberty mliberty 177147824 Aug 3 14:23 ExampleRocketSystem.def\r\n```\r\nHow do you produce the DEF with that size?"}, {"assistant": "@maliberty \r\n\r\nThe design got completed in my gcp machine without changing RTL as @tspyrou suggestion. Please let me know if you need any results or logs.\r\n```\r\n[INFO]: Calculating runtime...\r\n[INFO]: Saving runtime environment...\r\n[INFO]: Generating final set of reports...\r\n[INFO]: Created manufacturability report at 'designs/ExampleRocketSystem/runs/Aug01/reports/manufacturability.rpt'.\r\n[INFO]: Created metrics report at 'designs/ExampleRocketSystem/runs/Aug01/reports/metrics.csv'.\r\n[WARNING]: There are max slew violations in the design at the typical corner. Please refer to 'designs/ExampleRocketSystem/runs/Aug01/reports/signoff/31-rcx_sta.slew.rpt'.\r\n[WARNING]: There are max fanout violations in the design at the typical corner. Please refer to 'designs/ExampleRocketSystem/runs/Aug01/reports/signoff/31-rcx_sta.slew.rpt'.\r\n[INFO]: There are no hold violations in the design at the typical corner.\r\n[INFO]: There are no setup violations in the design at the typical corner.\r\n[SUCCESS]: Flow complete.\r\n[INFO]: Note that the following warnings have been generated:\r\n[WARNING]: There are max slew violations in the design at the typical corner. Please refer to 'designs/ExampleRocketSystem/runs/Aug01/reports/signoff/31-rcx_sta.slew.rpt'.\r\n[WARNING]: There are max fanout violations in the design at the typical corner. Please refer to 'designs/ExampleRocketSystem/runs/Aug01/reports/signoff/31-rcx_sta.slew.rpt'.\r\n```\r\n\r\nTotal run time is: 58h47m23s0ms\r\nRouting run time: 14h4m48s0ms\r\ncell coutn: 751692\r\nRun directory disk usage: 56GB"}, {"user": "Let me summarize the history.\r\nI started to dive into OpenLane with simple designs of ALU and small processors like pico32. It was successful!\r\n\r\nThen I tried on Rocket core with tiny config. It failed at step 15 due to some reasons. I was suggested to modify config file, however still it failed at step 15 but with different errors.\r\n\r\nThen I was suggested to modify https://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/openroad/groute.tcl. Still the flow was not succesfull.\r\n\r\nI had a teams call with vijayank88, and he found that pdk files overwrite config data. We just modified pdk *.tcl file.\r\n\r\nFinally vijayank88 suggested config file, which allows me to get up to step 41 or so stopping due to hold violations.\r\nThis is not your job to resolve hold violations, but anyway, vijayank88 suggested some changes to config which doesn't help at my side.\r\n\r\n**Important: last modifications used absolute sizing suggested by vijayank88**\r\n\r\n```\r\nset ::env(FP_SIZING) \"absolute\"\r\nset ::env(DIE_AREA) {0.0 0.0 6937.49 6948.21}\r\nset ::env(CORE_AREA) {5.52 10.88 6931.7 6935.04}\r\n```\r\nif I do not use absolute size, I got this enormous file size. The reason is not clear, but with my early attempts I do not use absolute sizing and I do not get such huge file size (however I updated OpenLane).\r\n\r\ncurrent config file:\r\n```\r\n# User config\r\nset ::env(DESIGN_NAME) ExampleRocketSystem\r\n#set ::env(FP_SIZING) \"absolute\"\r\n#set ::env(DIE_AREA) {0.0 0.0 6937.49 6948.21}\r\n#set ::env(CORE_AREA) {5.52 10.88 6931.7 6935.04}\r\nset ::env(FP_CORE_UTIL) 0.01\r\n\r\n#set ::env(CELL_PAD) 1\r\n\r\n#set ::env(PL_BASIC_PLACEMENT) 0\r\nset ::env(PL_TARGET_DENSITY) \"0.22\"\r\n\r\n#set ::env(GLB_RT_ADJUSTMENT) 0.15\r\n\r\n#set ::env(PL_ROUTABILITY_DRIVEN) 1\r\n#set ::env(SYNTH_STRATEGY) \"AREA 0\"\r\n# Change if needed\r\nset ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/*.v]\r\n\r\n# Fill this\r\nset ::env(CLOCK_PERIOD) \"30.0\"\r\nset ::env(CLOCK_PORT) \"clock\"\r\n#set ::env(CLOCK_NET) \"clock\"\r\nset ::env(DIODE_INSERTION_STRATEGY) {4}\r\n#set ::env(GLB_RT_MAXLAYER) 5\r\n#set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.2\r\n\r\n#set ::env(GLB_RT_ADJUSTMENT) 0.25\r\n#set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 1\r\n#set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n#set ::env(GBL_RESIZER_HOLD_SLACK_MARGIN) {0.1}\r\n#set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) 1\r\nset ::env(SYNTH_MAX_FANOUT) 10\r\n#set ::env(QUIT_ON_MAGIC_DRC) 0\r\nset ::env(DPL_CELL_PADDING) 1\r\nset ::env(GRT_ADJUSTMENT) 0.15\r\n\r\nset filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl\r\nif { [file exists $filename] == 1} {\r\n source $filename\r\n}\r\n```\r\nthese are first lines from ExampleRocketSystem.def\r\n\r\n```\r\nVERSION 5.8 ;\r\nDIVIDERCHAR \"/\" ;\r\nBUSBITCHARS \"[]\" ;\r\nDESIGN ExampleRocketSystem ;\r\nUNITS DISTANCE MICRONS 1000 ;\r\nDIEAREA ( 0 0 ) ( 291667100 291677820 ) ;\r\nROW ROW_0 unithd 5520 10880 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_1 unithd 5520 13600 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_2 unithd 5520 16320 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_3 unithd 5520 19040 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_4 unithd 5520 21760 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_5 unithd 5520 24480 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_6 unithd 5520 27200 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_7 unithd 5520 29920 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_8 unithd 5520 32640 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_9 unithd 5520 35360 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_10 unithd 5520 38080 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_11 unithd 5520 40800 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_12 unithd 5520 43520 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_13 unithd 5520 46240 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_14 unithd 5520 48960 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_15 unithd 5520 51680 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_16 unithd 5520 54400 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_17 unithd 5520 57120 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_18 unithd 5520 59840 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_19 unithd 5520 62560 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_20 unithd 5520 65280 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_21 unithd 5520 68000 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_22 unithd 5520 70720 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_23 unithd 5520 73440 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_24 unithd 5520 76160 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_25 unithd 5520 78880 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_26 unithd 5520 81600 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_27 unithd 5520 84320 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_28 unithd 5520 87040 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_29 unithd 5520 89760 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_30 unithd 5520 92480 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_31 unithd 5520 95200 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_32 unithd 5520 97920 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_33 unithd 5520 100640 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_34 unithd 5520 103360 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_35 unithd 5520 106080 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_36 unithd 5520 108800 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_37 unithd 5520 111520 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_38 unithd 5520 114240 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_39 unithd 5520 116960 FS DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_40 unithd 5520 119680 N DO 634034 BY 1 STEP 460 0 ;\r\nROW ROW_41 unithd 5520 122400 FS DO 634034 BY 1 STEP 460 0 ;\r\n:\r\n\r\n```\r\n\r\n\r\n"}, {"user": "Also I updated [log files](https://github.com/Ryabkomv/openroad_issue_reproducible/blob/master/logs.tar.gz) from the last run"}, {"assistant": "Thanks for the history. I feel that too much time is being spent on pursuing a path that is known to give a suboptimal result even if you manage to get over all the hurdles. Dropping in a ram (dff or openram) would likely solve many of your issues and give a better result, likely with less effort."}, {"assistant": "@Ryabkomv \r\nAny progress on this at your end?"}, {"user": "If I understand last message right way, I was suggested not to use open\nlane for such big number of gates. Is anything expected from my side in\nthis regard?\n\nOn Tue, 23 Aug 2022, 10:04 vijayan, ***@***.***> wrote:\n\n> @Ryabkomv \n> Any progress on this at your end?\n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "As Anton and I both suggested earlier you should switch to real rams for your memories. This block is unnecessarily dense due to your decision not to. \r\n\r\nOL should also drop checking max fanout at the end of the flow as I've also suggested.\r\n\r\nThe need for the alpha=0 workaround will eventually be addressed in OR but isn't a blocking issue. "}, {"assistant": "Note that @vijayank88 already was able to complete the flow a long time back. I think you are misstating things in saying \"I was suggested not to use openlane for such big number of gates\". Nobody suggested that."}, {"assistant": "Y'all have fun with this- this has the longest history of any OpenLane issue by far and it has nothing to do with OpenLane anymore."}, {"assistant": "@donn the OL relevant piece is \"OL should also drop checking max fanout at the end of the flow as I've also suggested.\""}, {"assistant": "> I did not read the whole thread. But I noticed some abnormalities worth investigating:\r\n> \r\n> 1. CLOCK_PORT is supposed to be \"clock\". Assuming RockerChip uses Chisel default clock naming. I burnt there a couple of times.\r\n> 2. the density is set to the corresponding value as the FP CORE UTIL. This may cause congestion issues down the line. I would recommend increasing the density to be at least 10% higher than core util. In the design according to the logs, the density is much higher than it is set to.\r\n> 3. Always create a new design using -init_design. Copy pasting some designs folder may cause the wrong files to be picked, which you did not intend to. Burnt there many times.\r\n> 4. the RocketChip is supposed to have the RAM cells replaced with tech-specific. Otherwise, the area usage is going to be insane => long runtimes and a lot of memory usage. It generates the config file, which can be used to configure the DFFRAM.\r\n\r\nHi, I want to ask how to use the DFFRAM or openRAM in the ORFS flow to place and route a Rocket core?"}, {"assistant": "You would run openram to generate the ram and then add the LEF to the P&R flow with the gds used for the final gds generation. There is no direct link between the two."}, {"assistant": "@JiaDYuan \r\nHave you updated your source file with OpenRAM? "}]} +{"num": 2222, "messages": [{"user": "Hi,\r\n\r\nour tech LEF has two rules for spacing of vias: a general one and one for spacing in arrays. This is (roughly) what the relevant entries look like:\r\n\r\n```\r\nLAYER via4\r\n ...\r\n SPACING 0.25 ;\r\n SPACING 0.35 ADJACENTCUTS 3 WITHIN 0.4 ;\r\n ...\r\nEND via4\r\n```\r\n\r\nWe are generating rather large power rings that are connected with via arrays of more than 10x10 vias, and the vias are spaced with 0.25, when they should be spaced with 0.35 according to the second rule."}, {"user": "I just realized that the documentation states that VIARULES are used for the array generation. Those are present in the technology LEF too:\r\n\r\n```\r\nViaRULE via4Array GENERATE\r\n LAYER metal4 ;\r\n ENCLOSURE 0.050 0.005 ;\r\n\r\n LAYER metal5 ;\r\n ENCLOSURE 0.050 0.005 ;\r\n\r\n LAYER via4 ;\r\n RECT -0.095 -0.095 0.095 0.095 ;\r\n SPACING 0.480 BY 0.480 ;\r\n RESISTANCE 20.0 ;\r\nEND via4Array\r\n```"}, {"assistant": "I suspect you are correct. Would you be able to put together a testcase for us?"}, {"assistant": "@maliberty does ODB store the second spacing? It does not appear to do so (atleast from my looking around)"}, {"assistant": "@arlpetergadfort they are there in the horribly named getV54SpacingRules(). I'm going fix up that API so it is reachable from TCL"}, {"assistant": "@Syndace I think I have an update that corrects this in: #2229"}, {"user": "wow, that was blazingly fast, thank you! What's the best way for me to test this within OpenLane? Do I compile OpenROAD outside and then move the binary into the container?"}, {"assistant": "@Syndace I don't use OpenLane so I don't know. Maybe @maliberty or @donn can help with that."}, {"assistant": "You'll need to update the commit id in dependencies/tool_metadata.yml and then update the tools by using the steps in https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docker/README.md"}, {"user": "Sorry for the delay, the vias are spaced correctly with the newest commit, thanks!"}]} +{"num": 2231, "messages": [{"user": "Hi, \r\n\r\nI'm trying to perform placement optimization with the global_place and detailed_place function. But I'm seeing that the result has always all the components placed north. \r\nI'm trying to figure out what to change in order to allow for all possible orientations. \r\n\r\nI thought it could be the SITE and ROW configuration. I could be totally wrong.\r\n\r\nThe site config is : \r\nSITE FreePDK45_38x28_10R_NP_162NW_34O\r\n CLASS core ;\r\n SIZE 6 BY 6 ;\r\nEND FreePDK45_38x28_10R_NP_162NW_34O\r\n\r\nand the Rows : \r\n\r\nROW CORE_ROW_0 FreePDK45_38x28_10R_NP_162NW_34O 0 0 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_1 FreePDK45_38x28_10R_NP_162NW_34O 0 12000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_2 FreePDK45_38x28_10R_NP_162NW_34O 0 24000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_3 FreePDK45_38x28_10R_NP_162NW_34O 0 36000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_4 FreePDK45_38x28_10R_NP_162NW_34O 0 48000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_5 FreePDK45_38x28_10R_NP_162NW_34O 0 60000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_6 FreePDK45_38x28_10R_NP_162NW_34O 0 72000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_7 FreePDK45_38x28_10R_NP_162NW_34O 0 84000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_8 FreePDK45_38x28_10R_NP_162NW_34O 0 96000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_9 FreePDK45_38x28_10R_NP_162NW_34O 0 108000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_10 FreePDK45_38x28_10R_NP_162NW_34O 0 120000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_11 FreePDK45_38x28_10R_NP_162NW_34O 0 132000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_12 FreePDK45_38x28_10R_NP_162NW_34O 0 144000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_13 FreePDK45_38x28_10R_NP_162NW_34O 0 156000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_14 FreePDK45_38x28_10R_NP_162NW_34O 0 168000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_15 FreePDK45_38x28_10R_NP_162NW_34O 0 180000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_16 FreePDK45_38x28_10R_NP_162NW_34O 0 192000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_17 FreePDK45_38x28_10R_NP_162NW_34O 0 204000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_18 FreePDK45_38x28_10R_NP_162NW_34O 0 216000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_19 FreePDK45_38x28_10R_NP_162NW_34O 0 228000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_20 FreePDK45_38x28_10R_NP_162NW_34O 0 240000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_21 FreePDK45_38x28_10R_NP_162NW_34O 0 252000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_22 FreePDK45_38x28_10R_NP_162NW_34O 0 264000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_23 FreePDK45_38x28_10R_NP_162NW_34O 0 276000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_24 FreePDK45_38x28_10R_NP_162NW_34O 0 288000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_25 FreePDK45_38x28_10R_NP_162NW_34O 0 300000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_26 FreePDK45_38x28_10R_NP_162NW_34O 0 312000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_27 FreePDK45_38x28_10R_NP_162NW_34O 0 324000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_28 FreePDK45_38x28_10R_NP_162NW_34O 0 336000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_29 FreePDK45_38x28_10R_NP_162NW_34O 0 348000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_30 FreePDK45_38x28_10R_NP_162NW_34O 0 360000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_31 FreePDK45_38x28_10R_NP_162NW_34O 0 372000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_32 FreePDK45_38x28_10R_NP_162NW_34O 0 384000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_33 FreePDK45_38x28_10R_NP_162NW_34O 0 396000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_34 FreePDK45_38x28_10R_NP_162NW_34O 0 408000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_35 FreePDK45_38x28_10R_NP_162NW_34O 0 420000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_36 FreePDK45_38x28_10R_NP_162NW_34O 0 432000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_37 FreePDK45_38x28_10R_NP_162NW_34O 0 444000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_38 FreePDK45_38x28_10R_NP_162NW_34O 0 456000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_39 FreePDK45_38x28_10R_NP_162NW_34O 0 468000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_40 FreePDK45_38x28_10R_NP_162NW_34O 0 480000 N DO 42 BY 1 STEP 12000 0 ;\r\nROW CORE_ROW_41 FreePDK45_38x28_10R_NP_162NW_34O 0 492000 N DO 42 BY 1 STEP 12000 0 ;\r\n \r\n"}, {"assistant": "@SkanderMarsit \r\nCan you please attach the reproducible test case?"}, {"user": "Hey @vijayank88, thanks for your help.\r\n\r\nHere are the LEF and DEF files as well as a TCL file to load them and call global_placement and detailed_placement.\r\n\r\n[test.def.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/9469688/test.def.txt)\r\n[test.lef.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/9469689/test.lef.txt)\r\n[test.tcl.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/9469690/test.tcl.txt)\r\n\r\nI added a .txt in the filename in order to upload, you can just delete the txt extension to reproduce the testcase. \r\n\r\n"}, {"assistant": "Have you tried design with this stable flow: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/global_place.tcl ?"}, {"assistant": "The instance orientation will match the row orientation and all of yours are N. How did you generate the rows?"}, {"assistant": "after detailed placement:\r\n![OR_2231](https://user-images.githubusercontent.com/85787913/188078414-9e064cee-e485-44f8-a992-39bc4ecce4df.png)\r\n"}, {"user": "Thank you @maliberty very much. I generate the rows manually. I'm actually performing a conversion from one file forrmat to LEF/DEF in order to perform the RePlace Automatic placement. \r\nThen, If I understand correctly, I should review define rows for every orientation, (4 of them) or should I only define the N and FS.\r\n\r\nThank you @vijayank88 as well, that's how it turns out to look like, mine is a bit different, maybe because I didn't use -routability-driven mode in the global_placement. \r\n\r\nI also have another question. Like you see in the placement result photo, the components are very close to one another, which make routabilty difficult or impossible. Do you know if there is any settings or options to set like the clearance value or the spacing to increase the spacing between component and disallow very close components."}, {"assistant": "@SkanderMarsit \r\nRefer this document: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/mpl/README.md#commands\r\nUse `-halo` and `-channel` switch for spacing"}, {"assistant": "Only two orientations after normally used"}, {"user": "@maliberty Thanks for the response. I took inspiration ftom other DEF files and I defined my ROWS by alterning N and FS orientations. But the result seem to be the same as only North oriented rows. \r\n\r\n@vijayank88 Thanks for the precious suggestion. But when running the macro_placement command I get and error :\r\n[ERROR MPL-0095] Snap layer 4 is not a routing layer.\r\n[ERROR GUI-0070] MPL-0095\r\n\r\nThe LEF, ad TCL file are left unchanged. \r\nHere is the new DEF file.\r\n[test.def.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/9488113/test.def.txt)\r\n"}, {"assistant": "The components in your def are not in your lef so there is nothing to place:\r\n```\r\nCOMPONENTS 10 ;\r\n- D1 LED:generic-LED1608 ;\r\n- P1 pin-head:pinhead-1X08 ;\r\n- P2 pin-head:pinhead-1X08::1 ;\r\n- SW1 SMD_PUSHSWITCH:SKRPACE010 ;\r\n- P3 GroveCon:GROVE ;\r\n- U1 AMW037:AMW037 ;\r\n- C1 Capacitor_SMD:C_0805_2012Metric ;\r\n- C3 Capacitor_SMD:C_0603_1608Metric ;\r\n- R2 Capacitor_SMD:C_0603_1608Metric ;\r\n- R8 Resistor_SMD:R_0603_1608Metric ;\r\nEND COMPONENTS\r\n```\r\n"}, {"assistant": "[WARNING ODB-0092] error: unknown library cell referenced (LED:generic-LED1608) \r\nfor instance (D1)\r\n[WARNING ODB-0092] error: unknown library cell referenced (pin-head:pinhead-1X08\r\n) for instance (P1)\r\n[WARNING ODB-0092] error: unknown library cell referenced (pin-head:pinhead-1X08::1) for instance (P2)\r\n[WARNING ODB-0092] error: unknown library cell referenced (SMD_PUSHSWITCH:SKRPACE010) for instance (SW1)\r\n[WARNING ODB-0092] error: unknown library cell referenced (GroveCon:GROVE) for instance (P3)\r\n[WARNING ODB-0092] error: unknown library cell referenced (AMW037:AMW037) for instance (U1)\r\n[WARNING ODB-0092] error: unknown library cell referenced (Capacitor_SMD:C_0805_2012Metric) for instance (C1)\r\n\r\netc"}, {"user": "Sorry I messed up I didn't double check the file before posting.\r\nHere's the right one.\r\n[test.def.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/9504239/test.def.txt)\r\n\r\n"}, {"assistant": "I see an MX instance now:\r\n![image](https://user-images.githubusercontent.com/761514/188999510-8c8a6abe-124e-4331-99be-d5442d4a490f.png)\r\n\r\nWhat is the issue?"}, {"user": "Hey @maliberty Thanks for your precious help. \r\nI first thought that MX was the same thing as R0 but after verification It turns out they are not the same. MX, as far as I understood is the result of flipping the component.\r\nI also would like to allow R90 R180 etc... \r\nDoes it have to do with the SITE not having any symmetry.\r\nMy SIte Definition being:\r\nSITE FreePDK45_38x28_10R_NP_162NW_34O\r\n CLASS core ;\r\n SIZE 6 BY 6 ;\r\nEND FreePDK45_38x28_10R_NP_162NW_34O\r\nI can add a symmetry axis.\r\nDoes the shape of the SITE affect the orientation? "}, {"assistant": "R90 would make no sense as your power rails wouldn't connect. The site can define symmetry (eg https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/d43e3289253003b32fbe327c160ebc879777fcbd/flow/platforms/nangate45/lef/NangateOpenCellLibrary.tech.lef#L771) as can the cell (eg https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/d43e3289253003b32fbe327c160ebc879777fcbd/flow/platforms/nangate45/lef/NangateOpenCellLibrary.macro.lef#L40)"}, {"assistant": "Both are needed to enable flipping. "}, {"assistant": "Staled out."}]} +{"num": 2232, "messages": [{"user": "Hi,\r\n\r\nthere seems to be a specific scenario in which the AREA requirement in the tech LEF for Metal2 is not met. Our technology LEF has\r\n\r\n```\r\nLAYER Metal2\r\n ...\r\n AREA 0.144 ;\r\n ...\r\nEND Metal2\r\n```\r\n\r\nThis minimum area requirement seems to be respected, except in the following scenario: when a wire has to be via'd from Metal1 to Metal3, a Via1 is generated, a small island on Metal2, and a Via2. In this case, we sometimes see Metal2 islands that are a little smaller than the required 0.144um\u00b2:\r\n\r\n![2022-09-01-094415_1920x1080_scrot](https://user-images.githubusercontent.com/5029529/187860383-7d6b3d50-58cc-451c-b69f-87a576f6dcb9.png)\r\n\r\n(yellow is Via1, purple is Via2, blue is Metal2)\r\n\r\nWith Metal1 and Metal3 enabled:\r\n\r\n![2022-09-01-095218_1920x1080_scrot](https://user-images.githubusercontent.com/5029529/187861912-021c0612-af22-42df-af25-e54d2fac7bb7.png)\r\n\r\nThe sources/PDK are under NDA. I'll try my best to provide a reproducible setup using an open-source PDK ASAP, but wanted to get the description out now, in case someone already has an idea what the cause could be from this information alone."}, {"assistant": "This is a common scenario and the router creates patch metal to meet min area. You can see the same in sky130hd. \r\n\r\n@tspyrou can arrange support under NDA if required."}, {"user": "> This is a common scenario and the router creates patch metal to meet min area. You can see the same in sky130hd.\r\n\r\nI'm sorry, I don't quite get what you're trying to say here. The router does not seem to create patch metal to meet the minimum area (correctly), since there are islands below minimum area in the final output GDS.\r\n\r\n"}, {"assistant": "I saying this is already a feature of the router not an enhancement. If it doesn't work in your case it is something unusual that I can't address without seeing the data."}, {"user": "Okay got it, thanks. I'll get back to this later."}, {"user": "This one was on us, sorry."}]} +{"num": 2233, "messages": [{"user": "Hi!\r\nI found, that in GUI 'report_design_area_metrics' command is proposed in autocompletion list, but it does nothing.\r\nHowever, 'report_design_area' command works (according to the documentation). But it is absent in autocompletion command list."}, {"assistant": "report_design_area_metrics is intended for storing into the metrics file not generating user output. I'll fix the issue that report_design_area is not part of autocomplete."}, {"user": "> report_design_area_metrics is intended for storing into the metrics file\r\n\r\nThen it would be good to write something in output, for example, that file with metrics had been saved."}, {"assistant": "It doesn't actually write the file but just stores the value in an internal db for collection with other metrics. Its not a command people will generally use interactively."}]} +{"num": 2236, "messages": [{"user": "This test case produces a path begining:\r\n```\r\nStartpoint: driver_sel[1] (input port)\r\nEndpoint: scan_select (output port clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 ^ input external delay\r\n 0.00 0.00 ^ driver_sel[1] (in)\r\n```\r\nhowever there is no set_input_delay on driver_sel[1] so no path is expected. @tspyrou confirms that there is no expected default inpupt delay.\r\n\r\n[bug.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9471872/bug.tar.gz)\r\n\r\n[From a slack discussion with user tnt]"}, {"user": "The user has worked around this with a set_false_path but was confused by its presence. It is an async input."}, {"assistant": "There is no requirement that input have a set_input_delay to report a path from the input.\r\nThe reported external delay is 0.0 because there is no set_input_delay.\r\nThis is not a bug, it is the intended behavior."}, {"assistant": "I discovered that this is inconsistent with paths from inputs without set_input_delay to registers.\r\nAs of OpenSTA commit \r\naf35dfb 2022-09-23 paths output ports respect sta_input_port_default_clock\r\nthe path will not be reported unless sta_input_port_default_clock is 1."}]} +{"num": 2243, "messages": [{"user": "The `GF180MCU` PDK has the SpacingRange features (?) in its `techlef` file. It would be good for the OpenROAD tooling to support this.\r\n\r\nSee report from @kareefardi @ https://github.com/RTimothyEdwards/open_pdks/issues/277"}, {"assistant": "The related rule appears to be here:\r\n```\r\nLAYER Metal1\r\n # ...\r\n\r\n MINWIDTH 0.230 ; # Mn.1 (n=1)\r\n WIDTH 0.230 ; # Mn.1 (n=1)\r\n SPACING 0.230 ; # Mn.2a (n=1)\r\n SPACING 0.300 RANGE 10.005 999.00 ; # Mn.2b\r\n \r\n # ...\r\nEND Metal1\r\n```\r\n\r\nThis will very rarely if ever impact DRT correctness because it only applies to metals with width > 10.005 um, which is way out of range of the 0.230 um default width.\r\n\r\nThat being said, since we support spacing tables, I don't think this should be too difficult to implement?"}, {"assistant": "This is only going to be relevant for pdngen @arlpetergadfort "}, {"assistant": "@maliberty the warning about not being supported is in DRT:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/5140e61103f7c01ccad05718f746fc598c0581bb/src/drt/src/io/io.cpp#L1633-L1634"}, {"assistant": "@maliberty if it's implemented in https://github.com/The-OpenROAD-Project/OpenROAD/blob/bbf00fa504cb847866c9f91d641a4a0ad53f45ed/src/odb/include/odb/db.h#L7032\r\nPDNGEN would honor it."}, {"assistant": "I don't think this represents a real barrier to gf180 bring up. PDN supports it and drt will only hit it with some extreme NDR of the sort we never use. I'll leave it open but as a low priority."}, {"assistant": "As GF180 implemented with OpenROAD flow, is the issue still relevant?"}, {"assistant": "We still don't support it in drt but I don't think this will ever get to the top of the priority list."}]} +{"num": 2244, "messages": [{"user": "* Using OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e\r\n\r\n```\r\nOpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Setting RC values...\r\n[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1. \r\n[INFO]: Setting signal max routing layer to: met5 and clock max routing layer to met5. \r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 460 2720\r\n[INFO GPL-0004] CoreAreaLxLy: 5520 10880\r\n[INFO GPL-0005] CoreAreaUxUy: 306360 310080\r\n[INFO GPL-0006] NumInstances: 1098\r\n[INFO GPL-0007] NumPlaceInstances: 0\r\n[INFO GPL-0008] NumFixedInstances: 935\r\n[INFO GPL-0009] NumDummyInstances: 163\r\n[INFO GPL-0010] NumNets: 72\r\n[INFO GPL-0011] NumPins: 144\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 312060 322780\r\n[INFO GPL-0014] CoreAreaLxLy: 5520 10880\r\n[INFO GPL-0015] CoreAreaUxUy: 306360 310080\r\n[INFO GPL-0016] CoreArea: 90011328000\r\n[INFO GPL-0017] NonPlaceInstsArea: 42691481600\r\n[INFO GPL-0018] PlaceInstsArea: 0\r\n[INFO GPL-0019] Util(%): 0.00\r\n[INFO GPL-0020] StdInstsArea: 0\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG residual: 0.00000012 HPWL: 28227480\r\n[InitialPlace] Iter: 2 CG residual: 0.00000012 HPWL: 28227480\r\n[InitialPlace] Iter: 3 CG residual: 0.00000012 HPWL: 28227480\r\n[InitialPlace] Iter: 4 CG residual: 0.00000012 HPWL: 28227480\r\n[InitialPlace] Iter: 5 CG residual: 0.00000012 HPWL: 28227480\r\nStack trace:\r\n 0# 0x0000000000C78EE6 in openroad\r\n 1# 0x00007FA00AD18400 in /lib64/libc.so.6\r\n 2# gpl::NesterovBase::initFillerGCells() in openroad\r\n 3# gpl::NesterovBase::init() in openroad\r\n 4# gpl::NesterovBase::NesterovBase(gpl::NesterovBaseVars, std::shared_ptr, utl::Logger*) in openroad\r\n 5# gpl::Replace::initNesterovPlace() in openroad\r\n 6# gpl::Replace::doNesterovPlace(int) in openroad\r\n 7# 0x0000000000CCA2E1 in openroad\r\n 8# 0x00007FA00DA65EB2 in /lib64/libtcl8.5.so\r\n 9# 0x00007FA00DAAA36C in /lib64/libtcl8.5.so\r\n10# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n11# 0x00007FA00DA65EB2 in /lib64/libtcl8.5.so\r\n12# 0x00007FA00DAAA36C in /lib64/libtcl8.5.so\r\n13# 0x00007FA00DAB2647 in /lib64/libtcl8.5.so\r\n14# TclEvalObjEx in /lib64/libtcl8.5.so\r\n15# 0x00007FA00DAED27F in /lib64/libtcl8.5.so\r\n16# 0x00007FA00DA65EB2 in /lib64/libtcl8.5.so\r\n17# 0x00007FA00DAAA36C in /lib64/libtcl8.5.so\r\n18# 0x00007FA00DAB2647 in /lib64/libtcl8.5.so\r\n19# TclEvalObjEx in /lib64/libtcl8.5.so\r\n20# 0x00007FA00DA6D1D0 in /lib64/libtcl8.5.so\r\n21# 0x00007FA00DA65EB2 in /lib64/libtcl8.5.so\r\n22# 0x00007FA00DAAA36C in /lib64/libtcl8.5.so\r\n23# 0x00007FA00DAB2647 in /lib64/libtcl8.5.so\r\n24# TclEvalObjEx in /lib64/libtcl8.5.so\r\n25# 0x00007FA00DA70F00 in /lib64/libtcl8.5.so\r\n26# 0x00007FA00DA65EB2 in /lib64/libtcl8.5.so\r\n27# 0x00007FA00DAAA36C in /lib64/libtcl8.5.so\r\n28# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n29# 0x00007FA00DA65EB2 in /lib64/libtcl8.5.so\r\n30# 0x00007FA00DAAA36C in /lib64/libtcl8.5.so\r\n31# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n32# 0x00007FA00DA65EB2 in /lib64/libtcl8.5.so\r\n33# 0x00007FA00DA66F1E in /lib64/libtcl8.5.so\r\n34# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n35# Tcl_Eval in /lib64/libtcl8.5.so\r\n36# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n37# ord::tclAppInit(Tcl_Interp*) in openroad\r\n38# Tcl_Main in /lib64/libtcl8.5.so\r\n39# main in openroad\r\n40# __libc_start_main in /lib64/libc.so.6\r\n41# 0x0000000000C75967 in openroad\r\n```\r\n\r\nReproducible: [repro.tar.gz](https://www.dropbox.com/s/xj7jbmoprmsizzw/repro.tar.gz?dl=0)"}, {"assistant": "I'll fix it but is it intentional that this design has no placeable objects (just 2 macros)?"}, {"user": "Yes- it's a basic macro placement test in OpenLane. We've had that for a while now."}, {"assistant": "I don't see why this would be a new problem as the code in question is old."}, {"user": "That's... interesting. That means I likely dropped a fill step or something then. I'll take a look."}, {"user": "Okay, so, here's the deal: when the design is loaded from a DEF, this happens:\r\n\r\n```\r\n[WARN] All instances are FIXED\r\n[WARN] No need to use replace\r\n[WARN] Skipping...\r\n```\r\n\r\nWhen it's loaded from ODB, this crash occurs. That means it's not able to tell that all instances are FIXED when the database is loaded for some reason."}, {"assistant": "I don't have enough data to say if that is a problem in your scripts or in OR."}, {"user": "Would providing two reproducibles suffice?"}, {"assistant": "I think so"}, {"user": "Here's the working one from master: [repro_working.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9493473/repro_working.tar.gz)\r\n\r\nYou can compare it with the broken one from the ODB branch."}, {"assistant": "In def out we map:\r\n```\r\n case dbPlacementStatus::LOCKED:\r\n case dbPlacementStatus::FIRM: {\r\n fprintf(_out, \" + FIXED ( %d %d ) %s\", x, y, orient);\r\n break;\r\n }\r\n```\r\nwhere LOCKED is mapped to FIXED. You are checking:\r\n```\r\n\tif { $placement_status != \"FIRM\" } {\r\n```\r\nhowever when you use odb the original LOCKED type is preserved and this check fails. It would be better to use\r\n```\r\n if { ![$inst isFixed] } {\r\n```"}, {"user": "Thanks, that was the last problem I was having. ODB is just waiting on a review for the merge now."}]} +{"num": 2247, "messages": [{"user": "Hi,\r\n\r\nI'm installing on Ubuntu 22.04, and the etc/DependencyInstaller.sh is failing when trying to install qt5-default. This package is obsolete in ubuntu 22.04 but all its dependencies can be fulfilled with:\r\nsudo apt-get install qtbase5-dev qtchooser qt5-qmake qtbase5-dev-tools\r\n\r\nRunning this above command and commenting out the qt5-default dependency on line 147 of DependencyInstaller.sh allows me to compile and run ok.\r\n\r\nThanks,\r\nAnthony"}, {"assistant": "Thanks, @anthonyjwall I will try to get to this soon. But I will close this as a duplicate of #1922 "}, {"user": "Sorry, didn't see #1922! Thanks @vvbandeira! "}]} +{"num": 2250, "messages": [{"user": "Description:\r\nWith OpenLane tag: [2022.08.25](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.08.25) and OpenROAD commit: 4a99e88667b0840531ca0096c4fa0da8f80d6cb1 MPW design syntacore 5Hrs took to complete routing.\r\n\r\nWith OpenLane tag: [2022.09.05](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.09.05) and OpenROAD commit: 4174c3ad802d2ac1d04d387d2c4b883903f6647e its took 27Hrs to complete routing\r\n\r\nMPW CI link with 2Hrs routing: https://jenkins.openroad.tools/blue/organizations/jenkins/OpenLane-MPW-CI-Public%2Fproduction-small-3/detail/main/16/pipeline/650\r\n\r\nMPW CI link with 27Hrs routing: https://jenkins.openroad.tools/blue/organizations/jenkins/OpenLane-MPW-CI-Public%2Fproduction-small-3/detail/PR-80/1/pipeline/674\r\n\r\n@maliberty please assign to right person to debug this.\r\n\r\nLet me know if need more details on this.\r\n\r\nThanks"}, {"assistant": "Can you test a single OL tag with the two versions? Its hard to have two moving variables."}, {"user": "@maliberty \r\nI just checked between all OpenLane tags till latest.\r\nThis issue starts from OpenLane [2022.09.03](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.09.03) tag. \r\nTill OpenLane [2022.09.02](https://github.com/The-OpenROAD-Project/OpenLane/releases/tag/2022.09.02) tag its routing time was 5Hrs.\r\n\r\nFor both the tag OpenROAD commit is same 4174c3ad802d2ac1d04d387d2c4b883903f6647e\r\nSo its not a router issue. Something else causing the issue.\r\n\r\n@donn Any suggestion how to trace and fix this runtime issue?"}, {"assistant": "no"}, {"assistant": "@vijayank88 would you package the drt step in both cases and I'll see if I can spot why it might be slower. Likely the real problem is upstream but maybe we can find a hint"}, {"user": "Here is 5Hr routing test case: \r\n[issue_reproducible_0209.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9558285/issue_reproducible_0209.zip)\r\n\r\n27Hrs routing test case: \r\n[issue_reproducible_0809.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9558301/issue_reproducible_0809.zip)\r\n"}, {"assistant": "Almost certainly due to an update in Yosys. The standard cell count has gone from 92546 to 94380."}, {"assistant": "Even so it is not enough to explain such a large jump in routing time. The congestion is a bit higher but it isn't crazy."}, {"assistant": "I looked at the runs and the slow one get stuck on a small number of hard DRVs. Some I think should be fixable but it is in general hard.\r\n"}, {"assistant": "Osama will take this on as part of his router improvement work but I don't think it represents any simple bug. \r\n\r\n@vijayank88 It would helpful to locate the upstream source of the additional instances that are increasing congestion. It could be yosys or a change in settings or pdk."}, {"user": "@maliberty \r\nI confirm only change is wrt., Yosys update only.\r\nI'll share the netlist with changes.\r\n[netlist.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9572595/netlist.zip)\r\n"}, {"user": "> Here is 5Hr routing test case: [issue_reproducible_0209.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9558285/issue_reproducible_0209.zip)\r\n> \r\n> 27Hrs routing test case: [issue_reproducible_0809.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9558301/issue_reproducible_0809.zip)\r\n\r\n@osamahammad21 did you had chance to reproduce this?"}]} +{"num": 2252, "messages": [{"user": "See https://github.com/The-OpenROAD-Project/OpenROAD/issues/2252#issuecomment-1241722732\r\n\r\nOriginal post:\r\n\r\nI have created a padframe using [the `initialize_padframe` family of commands](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/pad/doc/README.md) and a core power ring with `add_pdn_ring` and the `-connect_to_pads` flag set. Sadly, while the frame and the grid individually look beautiful, the padframe doesn't end up connected to the core power net:\r\n\r\n![padframe-pdngen-issue](https://user-images.githubusercontent.com/5029529/189153831-c7bfbc5b-fcd9-4435-87af-9423f1113470.png)\r\n\r\nIs there a (working) example of a padframe connected to the core power net somewhere? Do you know of any caveats one needs to think of to make the connections appear?\r\n\r\nThis is how I've defined the power net (adjusted version of OpenLane's [pdn_cfg.tcl](https://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/openroad/pdn_cfg.tcl)):\r\n\r\n```TCL\r\n# stdcell power pins\r\nadd_global_connection \\\r\n -net VDD \\\r\n -pin_pattern VDD \\\r\n -power\r\n\r\nadd_global_connection \\\r\n -net VSS \\\r\n -pin_pattern VSS \\\r\n -ground\r\n\r\n# padframe core power pins\r\nadd_global_connection \\\r\n -net VDD \\\r\n -pin_pattern VDDCORE \\\r\n -power\r\n\r\nadd_global_connection \\\r\n -net VSS \\\r\n -pin_pattern VSSCORE \\\r\n -ground\r\n\r\n# SRAM power pins\r\nadd_global_connection \\\r\n -net VDD \\\r\n -pin_pattern VDD! \\\r\n -power\r\n\r\nadd_global_connection \\\r\n -net VDD \\\r\n -pin_pattern VDDARRAY! \\\r\n -power\r\n\r\nadd_global_connection \\\r\n -net VSS \\\r\n -pin_pattern VSS! \\\r\n -ground\r\n\r\n# core voltage domain\r\nset_voltage_domain -name CORE -power VDD -ground VSS\r\n\r\n# stdcell grid\r\ndefine_pdn_grid \\\r\n -name stdcell_grid \\\r\n -starts_with POWER \\\r\n -pins \"Metal4 Metal5\"\r\n\r\n# stripes on Metal4 and Metal5\r\nadd_pdn_stripe \\\r\n -grid stdcell_grid \\\r\n -layer Metal4 \\\r\n -width $::env(FP_PDN_VWIDTH) \\\r\n -pitch $::env(FP_PDN_VPITCH) \\\r\n -offset $::env(FP_PDN_VOFFSET) \\\r\n -starts_with POWER \\\r\n -extend_to_core_ring\r\n\r\nadd_pdn_stripe \\\r\n -grid stdcell_grid \\\r\n -layer Metal5 \\\r\n -width $::env(FP_PDN_HWIDTH) \\\r\n -pitch $::env(FP_PDN_HPITCH) \\\r\n -offset $::env(FP_PDN_HOFFSET) \\\r\n -starts_with POWER \\\r\n -extend_to_core_ring\r\n\r\nadd_pdn_connect \\\r\n -grid stdcell_grid \\\r\n -layers \"Metal4 Metal5\"\r\n\r\n# stdcell power rails on Metal1\r\nadd_pdn_stripe \\\r\n -grid stdcell_grid \\\r\n -layer Metal1 \\\r\n -width $::env(FP_PDN_RAIL_WIDTH) \\\r\n -followpins \\\r\n -starts_with POWER \\\r\n -extend_to_core_ring\r\n\r\nadd_pdn_connect \\\r\n -grid stdcell_grid \\\r\n -layers \"Metal1 Metal4\"\r\n\r\n# core power rings\r\nadd_pdn_ring \\\r\n -grid stdcell_grid \\\r\n -layers \"Metal4 Metal5\" \\\r\n -widths \"30 30\" \\\r\n -spacings \"5 5\" \\\r\n -core_offset \"30 30\" \\\r\n -connect_to_pads\r\n\r\nadd_pdn_ring \\\r\n -grid stdcell_grid \\\r\n -layers \"Metal4 Metal5\" \\\r\n -widths \"30 30\" \\\r\n -spacings \"5 5\" \\\r\n -core_offset \"100 100\" \\\r\n -connect_to_pads\r\n\r\n# SRAM grid and power ring\r\ndefine_pdn_grid \\\r\n -macro \\\r\n -name macro \\\r\n -cells SRAM \\\r\n -starts_with POWER \\\r\n -halo \"70 70\"\r\n\r\nadd_pdn_connect \\\r\n -grid macro \\\r\n -layers \"Metal4 Metal5\"\r\n\r\nadd_pdn_ring \\\r\n -grid macro \\\r\n -layers \"Metal3 Metal4\" \\\r\n -widths \"20 20\" \\\r\n -spacings \"5 5\" \\\r\n -core_offset \"5 5\"\r\n\r\nadd_pdn_connect \\\r\n -grid macro \\\r\n -layers \"Metal3 Metal4\"\r\n```\r\nAnd this is how the padframe is defined:\r\n\r\n```TCL\r\n# I/O cells\r\ndefine_pad_cell \\\r\n -name padcell_io \\\r\n -type io \\\r\n -cell_name padcell_io \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -pad_pin_name PAD\r\n\r\ndefine_pad_cell \\\r\n -name padcell_i \\\r\n -type i \\\r\n -cell_name padcell_i \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -pad_pin_name PAD\r\n\r\n# Power cells\r\ndefine_pad_cell \\\r\n -name vddpad \\\r\n -type vddpad \\\r\n -cell_name vddpad \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -pad_pin_name VDDPAD\r\n\r\ndefine_pad_cell \\\r\n -name vddcore \\\r\n -type vddcore \\\r\n -cell_name vddcore \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -pad_pin_name VDDCORE\r\n\r\ndefine_pad_cell \\\r\n -name gndpad \\\r\n -type vsspad \\\r\n -cell_name gndpad \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -pad_pin_name VSSPAD\r\n\r\ndefine_pad_cell \\\r\n -name gndcore \\\r\n -type vsscore \\\r\n -cell_name gndcore \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -pad_pin_name VSSCORE\r\n\r\n# Corner cells\r\ndefine_pad_cell \\\r\n -name corner \\\r\n -corner \\\r\n -cell_name corner \\\r\n -orient { ll R0 lr R90 ur R180 ul R270 } \\\r\n -physical_only\r\n\r\n# Filler cells\r\ndefine_pad_cell \\\r\n -name filler10u \\\r\n -fill \\\r\n -cell_name filler10u \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -physical_only\r\n\r\ndefine_pad_cell \\\r\n -name filler4u \\\r\n -fill \\\r\n -cell_name filler4u \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -physical_only\r\n\r\ndefine_pad_cell \\\r\n -name filler2u \\\r\n -fill \\\r\n -cell_name filler2u \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -physical_only\r\n\r\ndefine_pad_cell \\\r\n -name filler1u \\\r\n -fill \\\r\n -cell_name filler1u \\\r\n -orient { bottom R0 right R90 top R180 left R270 } \\\r\n -physical_only\r\n\r\n# Padring options\r\nset_padring_options \\\r\n -type wirebond \\\r\n -power { VDD VDDPAD } \\\r\n -ground { VSS VSSPAD } \\\r\n -allow_filler_overlap\r\n\r\n# Pads\r\nadd_pad -edge right -signal VDDPAD -inst_name u_vddpad_e0 -type vddpad\r\nadd_pad -edge right -signal VSSPAD -inst_name u_gndpad_e0 -type vsspad\r\nadd_pad -edge right -signal VDD -inst_name u_vddcore_e0 -type vddcore\r\nadd_pad -edge right -signal VSS -inst_name u_gndcore_e0 -type vsscore\r\nadd_pad -edge right -signal p_jtag_tms -inst_name u_jtag_tms -type i\r\nadd_pad -edge right -signal p_jtag_tdi -inst_name u_jtag_tdi -type i\r\nadd_pad -edge right -signal p_jtag_tdo -inst_name u_jtag_tdo -type io\r\nadd_pad -edge right -signal p_jtag_tck -inst_name u_jtag_tck -type i\r\nadd_pad -edge right -signal VDDPAD -inst_name u_vddpad_e1 -type vddpad\r\nadd_pad -edge right -signal VSSPAD -inst_name u_gndpad_e1 -type vsspad\r\nadd_pad -edge right -signal VDD -inst_name u_vddcore_e1 -type vddcore\r\nadd_pad -edge right -signal VSS -inst_name u_gndcore_e1 -type vsscore\r\n\r\nadd_pad -edge left -signal VDDPAD -inst_name u_vddpad_w0 -type vddpad\r\nadd_pad -edge left -signal VSSPAD -inst_name u_gndpad_w0 -type vsspad\r\nadd_pad -edge left -signal VDD -inst_name u_vddcore_w0 -type vddcore\r\nadd_pad -edge left -signal VSS -inst_name u_gndcore_w0 -type vsscore\r\nadd_pad -edge left -signal p_uart_txd -inst_name u_uart_txd -type io\r\nadd_pad -edge left -signal p_uart_rxd -inst_name u_uart_rxd -type i\r\nadd_pad -edge left -signal VDDPAD -inst_name u_vddpad_w1 -type vddpad\r\nadd_pad -edge left -signal VSSPAD -inst_name u_gndpad_w1 -type vsspad\r\nadd_pad -edge left -signal VDD -inst_name u_vddcore_w1 -type vddcore\r\nadd_pad -edge left -signal VSS -inst_name u_gndcore_w1 -type vsscore\r\n\r\nadd_pad -edge top -signal VDDPAD -inst_name u_vddpad_n0 -type vddpad\r\nadd_pad -edge top -signal VSSPAD -inst_name u_gndpad_n0 -type vsspad\r\nadd_pad -edge top -signal VDD -inst_name u_vddcore_n0 -type vddcore\r\nadd_pad -edge top -signal VSS -inst_name u_gndcore_n0 -type vsscore\r\nadd_pad -edge top -signal VDDPAD -inst_name u_vddpad_n1 -type vddpad\r\nadd_pad -edge top -signal VSSPAD -inst_name u_gndpad_n1 -type vsspad\r\nadd_pad -edge top -signal VDD -inst_name u_vddcore_n1 -type vddcore\r\nadd_pad -edge top -signal VSS -inst_name u_gndcore_n1 -type vsscore\r\n\r\nadd_pad -edge bottom -signal VDDPAD -inst_name u_vddpad_s0 -type vddpad\r\nadd_pad -edge bottom -signal VSSPAD -inst_name u_gndpad_s0 -type vsspad\r\nadd_pad -edge bottom -signal VDD -inst_name u_vddcore_s0 -type vddcore\r\nadd_pad -edge bottom -signal VSS -inst_name u_gndcore_s0 -type vsscore\r\nadd_pad -edge bottom -signal p_clk -inst_name u_clk -type i\r\nadd_pad -edge bottom -signal VDDPAD -inst_name u_vddpad_s1 -type vddpad\r\nadd_pad -edge bottom -signal VSSPAD -inst_name u_gndpad_s1 -type vsspad\r\nadd_pad -edge bottom -signal VDD -inst_name u_vddcore_s1 -type vddcore\r\nadd_pad -edge bottom -signal VSS -inst_name u_gndcore_s1 -type vsscore\r\n\r\n# Initialize the padring\r\ninitialize_padring\r\n```\r\n\r\nThis is about as much as I can provide you, since the PDK is under NDA sadly."}, {"assistant": "@arlpetergadfort have you done this in your work?"}, {"assistant": "@maliberty yes. You can look at the test in: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/pdn/test/pads_black_parrot.tcl\r\nThe setup looks correct, but connecting to the pads is only possible if there are connections specified, so they might need to add a connection from metal2 to metal4/5 if that is where the pins are located on the pads. "}, {"user": "Thanks, that's what I was missing. I added connections from Metal4/Metal5 to Metal7, which is where the power pads have their pins, and at least the horizontal power pads are now connected to the core power rings.\r\n\r\nThe vertical power pads are not connected though, which I guess has to do with Metal7 being a horizontal routing layer. How does that work for black parrot? It looks like the power pads have their pins on metal4. Does pdngen route \"above\" the pins on metal5 and then via down to them?"}, {"user": "I've talked to a professional and it seems commercial tools like Cadence ignore the routing direction of layers while connecting power pads. This might be something to consider for `-connect_to_pads`."}, {"assistant": "@Syndace I think it would be relatively easy to implement something like that if it would be helpful."}, {"user": "It would be very helpful, for now I've connected the vertical pads by hand, which isn't too much fun :D I'll rename the issue to reflect it's new goal."}, {"assistant": "@Syndace this PR (https://github.com/The-OpenROAD-Project/OpenROAD/pull/2284) should solve the issue of not connecting on some edges."}]} +{"num": 2253, "messages": [{"user": "When I define out corner padcell using\r\n\r\n```TCL\r\ndefine_pad_cell \\\r\n -name corner \\\r\n -corner \\\r\n -cell_name corner \\\r\n -orient { ll R0 lr R90 ur R180 ul R270 } \\\r\n -physical_only\r\n```\r\n\r\nit's in the correct position but rotated incorrectly. When I try to adjust the rotation by 180\u00b0 as follows:\r\n\r\n```TCL\r\ndefine_pad_cell \\\r\n -name corner \\\r\n -corner \\\r\n -cell_name corner \\\r\n -orient { ll R180 lr R270 ur R0 ul R90 } \\\r\n -physical_only\r\n```\r\n\r\nthe cell is rotated correctly, but positioned outside of the chip area:\r\n\r\n![corner-rotation-bug](https://user-images.githubusercontent.com/5029529/189156662-8f381782-ceac-4fb4-b54b-b6b306172d55.png)\r\n\r\nI guess the origin of the cell needs to be adjusted/is adjusted incorrectly after rotation."}, {"assistant": "Can you provide a test case or is this under NDA?"}, {"user": "NDA, but I'll try to build an open-source test case. Will report back when I'm done."}, {"user": "Okay, this can be trivially reproduced with one of the tests. Change into `src/pad/test`, modify line 99 of `tcl_interface.tcl`:\r\n\r\n```\r\n@@ -96,7 +96,7 @@ define_pad_cell \\\r\n define_pad_cell \\\r\n -name PAD_CORNER \\\r\n -corner \\\r\n- -orient {ll R0 lr R90 ur R180 ul R270} \\\r\n+ -orient {ll R90 lr R180 ur R270 ul R0} \\\r\n -physical_only\r\n \r\n define_pad_cell \\\r\n```\r\n\r\nand run `openroad -gui tcl_interface.tcl`. The GUI will open, run the test and show the following:\r\n\r\n![corner-rotation-bug](https://user-images.githubusercontent.com/5029529/189345083-33122ea6-d5c5-4948-bb7f-33c9e86fda19.png)\r\n\r\nI know this isn't really a \"test case\", just instructions for reproducing. Is that enough for you or do you want me to prepare something else?"}, {"assistant": "I think that is enough; I'll give it a try.\r\n@Colin-Holehouse any ideas?"}, {"assistant": "Fixed with https://github.com/The-OpenROAD-Project/OpenROAD/pull/2272"}, {"user": "Can confirm this is fixed, thanks!"}]} +{"num": 2260, "messages": [{"user": "How could we expose OpenRoad's global router with a python API?\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/grt/README.md \r\n\r\nhttps://github.com/gdsfactory/gdsfactory/issues/57"}, {"assistant": "We are slowing proceeding with a python API for OR. What is your use model that can't be served with tcl?"}, {"user": "the use model is to support an analog/photonics flow where you can write PCells in python\r\nSee [gdsfatory docs](https://gdsfactory.github.io/gdsfactory/README.html)\r\n\r\nA python API for OpenRoad will increase many other use cases as well and lower the entry barrier for new users"}, {"assistant": "The value of a python api is clear and work has started as I said. I'm just asking if this is blocking for you in some way."}, {"assistant": "We could prioritize global routing next in that process."}, {"assistant": "@joamatab I looked at your use case and I think you might want to consider a different approach.\r\n\r\nFastRoute (the global router) generates a list of \"routing guides\" based on the goal of avoiding routing congestion. It is essentially a maze solver which operates at the granularity of a GCell (where GCell = a box of ~10 M3 tracks).\r\n\r\nTritonRoute (the detailed router) uses these routing guides as inputs and generates actual metal routes which obey DRC rules (as best as possible).\r\n\r\nThe two are meant to work together to create routing solutions. It is possible to use just FastRoute, but then you would have to alter your routing tool to use FastRoute's route guides as an input.\r\n\r\nOn the other hand, I don't think it's known how well FastRoute/TritonRoute handle bus-like routes as shown in https://github.com/gdsfactory/gdsfactory/issues/57#issue-920645244. We don't have many (any?) test designs like this since OpenROAD is mainly a digital integrated circuit tool.\r\n\r\n> minimize number of waveguide crossings\r\n> keep path length match between groups of routes\r\n\r\nThe tools don't have any concept of these goals. The current cost functions generally optimize for 1) DRC avoidance 2) Layer-change avoidance 3) wire length minimization. It may inadvertently meet your goals if the solutions line up.\r\n\r\nAlso I looked at https://github.com/gdsfactory/gdsfactory a little bit and I don't fully understand the types of designs it's meant to be used for, but I saw (I think) routing solutions that have curves in them. Our tools definitely don't support this and have no plan to. We operate exclusively with manhattan-style routing."}, {"assistant": "Custom routing is quite a different thing from P&R routing. It is unlikely that pcells are going to work the way you want"}, {"assistant": "related #1812 #1849"}]} +{"num": 2268, "messages": [{"user": "I starting hitting this after an update to ORFS - commit 90afa768f292 (\"metrics: add clock skew report\"). The attached test case fails with:\r\n\r\n```\r\nError: floorplan.tcl, 101 OverflowError in method 'metric_float', argument 2 of type 'float'\r\n```\r\n\r\nClock skew metrics before CTS seem of little use, but we probably shouldn't fall in a heap. I added:\r\n\r\n```\r\nputs [worst_clock_skew -setup]\r\nputs [worst_clock_skew -hold]\r\n```\r\n\r\nand I get:\r\n\r\n```\r\n1.0000000190432691e+42\r\n1.0000000190432691e+42\r\n```\r\n\r\nThat's a lot of skew.\r\n\r\nTest case: [floorplan_multiply_adder_asap7_base_2022-09-14_14-55.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9562953/floorplan_multiply_adder_asap7_base_2022-09-14_14-55.tar.gz)\r\n"}, {"assistant": "@vvbandeira We should move clock_skew inside the include_erc block of report_metrics.\r\n"}, {"assistant": "I am running into this as well. It's preventing me from running very simple designs for the upcoming tutorial.\r\n\r\nEven with removing the offending commands, I see:\r\n```\r\n==========================================================================\r\ncheck_antennas\r\n--------------------------------------------------------------------------\r\n[WARNING ANT-0011] -report_violating_nets is deprecated.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO ANT-0002] Found 0 net violations.\r\n[INFO ANT-0001] Found 0 pin violations.\r\n[INFO FLW-0007] clock clk period 5.000000\r\n[INFO FLW-0008] Clock clk period -950000041162928859976620080891667939328.000\r\n[INFO FLW-0009] Clock clk slack 1000000043329398871556523614962693701632.000\r\n[ERROR STA-0414] period '-9.500000411629289e+38' is not a positive float.\r\nError: write_ref_sdc.tcl, 34 STA-0414\r\n\r\n```"}, {"assistant": "I'll fix the flow to not report clock skew before cts is run. I'll leave this for @jjcherry56 to give a more reasonable result if someone does run it manually."}, {"assistant": "It doesn't have anything to do with running cts and moving report_clock_skew will not help.\r\nThe issue is there are no capture registers to measure skew to, just output pins, which the command reports:\r\n% report_clock_skew\r\nClock clk\r\nNo launch/capture paths found.\r\n\r\nI updated opensta to return 0 in this case but did not update openroad."}, {"assistant": "@rovinski it looks like you don't have any clock constraints at all."}, {"assistant": "Leaving this open until sta is updated"}, {"assistant": "@jjcherry56 My case was actually that there was a clock constraint, but there were no input/output constraints and no reg->reg paths, only in->reg and reg->out. https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/594 fixes `Error: floorplan.tcl, 101 OverflowError in method 'metric_float', argument 2 of type 'float'` and https://github.com/The-OpenROAD-Project/OpenSTA/commit/18f11a302958ea6814cd1d711a18ec3eab3c1184 fixes `[ERROR STA-0414] period '-9.500000411629289e+38' is not a positive float.`. Adding input/output constraints also fixes the second error.\r\nThanks!"}]} +{"num": 2270, "messages": [{"user": "I was looking through STA output for one of my designs, and noticed a few places where the fan out looks incorrect, and also some places where the connectivity appears wrong:\r\n\r\n\r\n```\r\nStartpoint: a[49] (input port clocked by clk)\r\nEndpoint: _573_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 54.00 54.00 ^ input external delay\r\n 0.00 0.00 54.00 ^ a[49] (in)\r\n 1 1.12 a[49] (net)\r\n 0.40 0.13 54.13 ^ input44/A (BUFx2_ASAP7_75t_R)\r\n 13.46 16.81 70.93 ^ input44/Y (BUFx2_ASAP7_75t_R)\r\n 1 2.60 net44 (net)\r\n 13.48 0.28 71.21 ^ fanout925/A (BUFx12f_ASAP7_75t_R)\r\n 20.71 20.94 92.15 ^ fanout925/Y (BUFx12f_ASAP7_75t_R)\r\n 8 20.50 net925 (net)\r\n 27.95 6.33 98.48 ^ fanout922/A (BUFx16f_ASAP7_75t_R)\r\n 25.50 28.49 126.97 ^ fanout922/Y (BUFx16f_ASAP7_75t_R)\r\n 16 35.34 net922 (net)\r\n 29.62 4.91 131.88 ^ U$$3266/A (INVx1_ASAP7_75t_R)\r\n 10.49 10.30 142.17 v U$$3266/Y (INVx1_ASAP7_75t_R)\r\n 1 0.58 notblock$10211[2] (net)\r\n 10.49 0.04 142.21 v U$$3267/A1 (AO33x2_ASAP7_75t_R)\r\n 35.10 51.16 193.37 v U$$3267/Y (AO33x2_ASAP7_75t_R)\r\n 2 7.21 sel_0$10212 (net)\r\n 36.21 3.39 196.77 v fanout443/A (BUFx12f_ASAP7_75t_R)\r\n 21.00 29.01 225.78 v fanout443/Y (BUFx12f_ASAP7_75t_R)\r\n 11 21.92 net443 (net)\r\n 21.05 0.65 226.43 v fanout442/A (BUFx12f_ASAP7_75t_R)\r\n 17.43 21.67 248.10 v fanout442/Y (BUFx12f_ASAP7_75t_R)\r\n 16 21.59 net442 (net)\r\n 65.81 20.36 268.45 v U$$3283/A2 (AO22x1_ASAP7_75t_R)\r\n 17.70 41.38 309.84 v U$$3283/Y (AO22x1_ASAP7_75t_R)\r\n 1 1.67 t$10221 (net)\r\n 17.70 0.17 310.01 v U$$3284/A (XOR2x1_ASAP7_75t_R)\r\n 27.76 28.76 338.77 v U$$3284/Y (XOR2x1_ASAP7_75t_R)\r\n 1 2.13 booth_b48_m7 (net)\r\n 27.76 0.20 338.97 v dadda_fa_1_55_6/B (FAx1_ASAP7_75t_R)\r\n 33.87 26.06 365.02 ^ dadda_fa_1_55_6/CON (FAx1_ASAP7_75t_R)\r\n 8 0.70 con$451 (net) <-- fan out is actually 1\r\n 33.87 0.03 365.06 ^ U$$4938/A (INVx1_ASAP7_75t_R)\r\n 21.01 18.20 383.26 v U$$4938/Y (INVx1_ASAP7_75t_R)\r\n 1 2.21 c$1810 (net)\r\n 21.01 0.17 383.43 v dadda_fa_2_56_2/B (FAx1_ASAP7_75t_R)\r\n 96.37 54.65 438.08 ^ dadda_fa_2_56_2/CON (FAx1_ASAP7_75t_R) \r\n 8 4.62 con$1807 (net) <-- fan out is actually 1\r\n 80.90 41.46 479.54 v dadda_fa_2_56_2/SN (FAx1_ASAP7_75t_R) <-- SN is not connected to CON above\r\n 1 2.78 sn$1808 (net)\r\n 80.91 0.67 480.20 v U$$5891/A (INVx1_ASAP7_75t_R)\r\n 35.85 30.41 510.61 ^ U$$5891/Y (INVx1_ASAP7_75t_R)\r\n 1 1.95 s$3617 (net)\r\n 35.85 0.17 510.78 ^ dadda_fa_3_56_3/A (FAx1_ASAP7_75t_R)\r\n 27.05 44.35 555.13 v dadda_fa_3_56_3/SN (FAx1_ASAP7_75t_R)\r\n 1 0.80 sn$3616 (net)\r\n 27.05 0.07 555.20 v U$$6781/A (INVx1_ASAP7_75t_R)\r\n 20.39 17.46 572.66 ^ U$$6781/Y (INVx1_ASAP7_75t_R)\r\n 1 1.83 s$5266 (net)\r\n 20.40 0.18 572.84 ^ dadda_fa_4_56_2/CI (FAx1_ASAP7_75t_R)\r\n 31.35 35.50 608.34 ^ dadda_fa_4_56_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.70 sn$5263 (net)\r\n 31.35 0.02 608.36 ^ U$$7519/A (INVx1_ASAP7_75t_R)\r\n 15.84 14.60 622.96 v U$$7519/Y (INVx1_ASAP7_75t_R)\r\n 1 1.53 s$6650 (net)\r\n 15.84 0.07 623.03 v dadda_fa_5_56_1/CI (FAx1_ASAP7_75t_R)\r\n 31.36 19.88 642.91 ^ dadda_fa_5_56_1/CON (FAx1_ASAP7_75t_R)\r\n 8 0.65 con$6646 (net) <-- fan out is actually 1\r\n 31.36 0.03 642.94 ^ U$$8110/A (INVx1_ASAP7_75t_R)\r\n 19.73 17.21 660.15 v U$$8110/Y (INVx1_ASAP7_75t_R)\r\n 1 2.12 c$7580 (net)\r\n 19.73 0.17 660.32 v dadda_fa_6_57_0/B (FAx1_ASAP7_75t_R)\r\n 40.01 28.01 688.33 ^ dadda_fa_6_57_0/CON (FAx1_ASAP7_75t_R)\r\n 8 1.40 con$7577 (net) <-- fan out is actually 1\r\n 24.07 17.02 705.34 v dadda_fa_6_57_0/SN (FAx1_ASAP7_75t_R) <-- SN is not connected to CON above\r\n 1 0.70 sn$7578 (net)\r\n 24.07 0.04 705.39 v U$$8499/A (INVx1_ASAP7_75t_R)\r\n 17.15 15.10 720.49 ^ U$$8499/Y (INVx1_ASAP7_75t_R)\r\n 1 1.52 s$8206 (net)\r\n 17.15 0.07 720.56 ^ dadda_fa_7_57_0/CI (FAx1_ASAP7_75t_R)\r\n 32.61 35.35 755.91 ^ dadda_fa_7_57_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.75 sn$8203 (net)\r\n 32.61 0.05 755.96 ^ U$$8755/A (INVx1_ASAP7_75t_R)\r\n 11.71 11.29 767.25 v U$$8755/Y (INVx1_ASAP7_75t_R)\r\n 1 0.65 s$10947 (net)\r\n 11.71 0.04 767.30 v _573_/D (DFFLQNx2_ASAP7_75t_R)\r\n 767.30 data arrival time\r\n\r\n 540.00 540.00 clock clk' (fall edge)\r\n 0.00 540.00 clock source latency\r\n 0.00 0.00 540.00 ^ clk (in)\r\n 1 6.22 clk (net)\r\n 7.69 2.43 542.43 ^ clkbuf_0_clk/A (BUFx8_ASAP7_75t_R)\r\n 12.15 22.56 564.99 ^ clkbuf_0_clk/Y (BUFx8_ASAP7_75t_R)\r\n 2 4.35 clknet_0_clk (net)\r\n 12.31 0.67 565.65 ^ clkbuf_1_0__f_clk/A (BUFx8_ASAP7_75t_R)\r\n 25.03 29.67 595.32 ^ clkbuf_1_0__f_clk/Y (BUFx8_ASAP7_75t_R)\r\n 4 14.38 clknet_1_0__leaf_clk (net)\r\n 26.55 3.28 598.60 ^ clkbuf_leaf_8_clk/A (BUFx8_ASAP7_75t_R)\r\n 42.01 40.27 638.87 ^ clkbuf_leaf_8_clk/Y (BUFx8_ASAP7_75t_R)\r\n 16 27.61 clknet_leaf_8_clk (net)\r\n 42.99 3.62 642.49 ^ _186_199/A (INVx3_ASAP7_75t_R)\r\n 9.36 8.42 650.91 v _186_199/Y (INVx3_ASAP7_75t_R)\r\n 1 0.39 net1528 (net)\r\n 9.36 0.03 650.94 v _573_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 0.00 650.94 clock reconvergence pessimism\r\n -11.71 639.23 library setup time\r\n 639.23 data required time\r\n-----------------------------------------------------------------------------\r\n 639.23 data required time\r\n -767.30 data arrival time\r\n-----------------------------------------------------------------------------\r\n -128.07 slack (VIOLATED)\r\n```\r\n\r\nTest case: [sta-issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9565716/sta-issue.tar.gz)\r\n"}, {"assistant": "You are going to have to be more specific about exactly what number in what line appears to be incorrect and why you think it is incorrect."}, {"assistant": "The path is annotated with exactly what is wrong "}, {"assistant": "The issue is really a broken lib file.\r\nSome of the cells have timing arcs between output pins.\r\nWhat you are seeing is not incorrect connectivity but timing arcs from input to output to output on the same instance,\r\nlike dadda_fa_6_57_0/B -> CON -> SN. The liberty should not have the output to output timing arcs.\r\nThey should be removed.\r\n\r\nPending OR PR 2279 (which may take a while to clear CI) fixes the fanout reporting to tolerate this situation and\r\ngenerate the warnings shown below.\r\n\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 13177, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 13210, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 13243, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 13276, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 13309, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 13342, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 13375, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 14771, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 14804, timing group from output port.\r\n[WARNING STA-0164] asap7sc7p5t_SIMPLE_RVT_TT_nldm_201020.lib line 14837, timing group from output port.\r\n"}, {"user": "Thanks @jjcherry56 I submitted https://github.com/The-OpenROAD-Project/asap7/issues/38 to track the ASAP7 issue. I tested the fix, and it resolves all my issues. Thanks!"}, {"assistant": "dc11f5970 fixes the fanout reporting and adds warnings for output to output timing arcs. but looks like you have to live with the goofy library"}]} +{"num": 2275, "messages": [{"user": "Use standard naming for variables (ie snake case). \r\n\r\n_Originally posted by @maliberty in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2267#discussion_r971275243_"}, {"assistant": "@osamahammad21 \r\nAny update on this?"}, {"user": "@vijayank88 This is a low priority issue at the moment. It's not done yet. It would be a good first issue for new comers."}]} +{"num": 2276, "messages": [{"user": "This script\r\n\r\n```tcl\r\nset clock_ports {}\r\nforeach clock [all_clocks] {\r\n foreach pin [$clock sources] {\r\n lappend clock_ports [$pin port] \r\n }\r\n}\r\n\r\nforeach input [all_inputs] {\r\n set is_clock 0\r\n foreach clock_port $clock_ports {\r\n if {[$clock_port bus_name] == [$input bus_name]} {\r\n set is_clock 1\r\n }\r\n }\r\n if $is_clock == 1{\r\n puts $is_clock\r\n }\r\n}\r\n```\r\n\r\nWill cause openROAD GUI to immediately exit when run after reading in a design"}, {"assistant": "@QuantamHD is this design dependent? I don't get this behavior.\r\nBut I do get:\r\n```\r\n>>> set clock_ports {}\r\n... foreach clock [all_clocks] {\r\n... foreach pin [$clock sources] {\r\n... lappend clock_ports [$pin port] \r\n... }\r\n... }\r\n... \r\n... foreach input [all_inputs] {\r\n... set is_clock 0\r\n... foreach clock_port $clock_ports {\r\n... if {[$clock_port bus_name] == [$input bus_name]} {\r\n... set is_clock 1\r\n... }\r\n... }\r\n... if $is_clock == 1{\r\n... puts $is_clock\r\n... }\r\n... }\r\n[ERROR GUI-0070] invalid command name \"==\"\r\n```"}, {"user": "I haven't tried it with other designs. I did have a database loaded at the time."}, {"assistant": "@QuantamHD could you upload a test case that replicates it for you? I can't seem to get it on my end."}, {"user": "Let me see what I can do."}, {"assistant": "This is not valid tcl:\r\n\r\n if $is_clock == 1{\r\n\r\nit should be if { $is_clock == 1 } {"}, {"assistant": "It is hard to imagine how this tcl code would have any effect on the GUI"}, {"user": "It's an uncaught syntax error from the looks of it. The code above has syntax errors in it, but those errors appear to not be caught"}, {"user": "However, only I seem to be able to reproduce it"}, {"assistant": "@QuantamHD if there is a stack trace that might be helpful."}, {"assistant": "@QuantamHD I'm able to get a stack trace if I add your code to a script at startup and run openroad with `-gui` `-exit`, are you adding the -exit? this should be fixed either way"}, {"assistant": "@QuantamHD does this prevent the issue you are seeing? https://github.com/The-OpenROAD-Project/OpenROAD/pull/2285"}, {"assistant": "@QuantamHD still relevant?"}, {"user": "I'll try again."}, {"assistant": "@QuantamHD \r\nWaiting for your update to resolve it."}]} +{"num": 2277, "messages": [{"user": "I'm looking at differences between grt parasitic resistance estimates and the final spef parasitics. An example in the attached test case is net `_065_`. `write_net_rc_script.tcl` creates a CSV file with this information:\r\n\r\n```\r\n_065_,2.279e+00,1.214e-17,4.689e+00,2.605e-17,3.707e+01,1.200e-17,M2,0.194,M3,0.0,M4,0.0,M5,0.0,M6,0.0,M7,0.0\r\n```\r\n\r\nSpecifically:\r\n\r\n```\r\ngrt: 4.689 Ohms\r\nspef: 37.07 Ohms\r\n```\r\n\r\nThe global routing guide looks like:\r\n\r\n```\r\n_065_\r\n(\r\n23760 10260 24300 10800 M1\r\n23760 10260 24300 10800 M2\r\n)\r\n```\r\n\r\nAnd the routed def matches this:\r\n```\r\n - _065_ ( _690_ QN ) ( _326_ A ) + USE SIGNAL\r\n + ROUTED M2 ( 24093 10575 ) ( 24165 * )\r\n NEW M1 ( 24165 10575 ) VIA12\r\n NEW M1 ( 24093 10575 ) VIA12\r\n NEW M2 ( 24165 10575 ) RECT ( 0 -9 62 9 ) ;\r\n```\r\n\r\nSo we have `VIA12 - M2 - VIA12`\r\n\r\nIf I enable some debugging:\r\n\r\n```\r\nset_debug_level GRT est_rc 10\r\n```\r\n\r\n```\r\n[DEBUG GRT-0001] net _065_\r\n(24.03, 10.53) 1 -> (24.03, 10.53) 2 l=0.00\r\n[DEBUG GRT-0001] _065_:0 -> _065_:1 via 1-2 r=0.017\r\n[DEBUG GRT-0001] _065_:1 -> _690_/QN (24.02, 10.56) 0.04u layer=2 r=0.001 c=0.006\r\n[DEBUG GRT-0001] pin _690_/QN -> to grid 0u layer=2 r=0.001 c=0.006\r\n[DEBUG GRT-0001] _065_:1 -> _326_/A (24.16, 10.56) 0.15u layer=2 r=0.004 c=0.021\r\n[DEBUG GRT-0001] pin _326_/A -> to grid 0u layer=2 r=0.004 c=0.021\r\n```\r\n\r\nI only see one via (perhaps because this is a very short net, and both vias are in the same global route grid). On top of that issue, we seem to decide that the via is not in the path (perhaps because our min layer is defined as M2, but we still need to access standard cells with M1-M2 vias below it).\r\n\r\nEnabling some debug in STA confirms that we ignored all the vias and ended up with 4.69 Ohms:\r\n\r\n```\r\nReduceToPi::reduceToPi\r\n Pi model c2=1.08e-17 rpi=4.69 c1=1.97e-15 max_r=18.2\r\n```\r\n\r\nTest case: [rc-correlate.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9580760/rc-correlate.tar.gz)"}, {"assistant": "@luis201420 any update on this?"}, {"user": "This helps, however I have no idea if the pin access vias are meant to be accounted for in `makeParasiticsToPin` or `makeRouteParasitics`. The second pin via is still missing:\r\n\r\n```\r\ndiff --git a/src/grt/src/MakeWireParasitics.cpp b/src/grt/src/MakeWireParasitics.cpp\r\nindex 16429231c..19dc18b76 100644\r\n--- a/src/grt/src/MakeWireParasitics.cpp\r\n+++ b/src/grt/src/MakeWireParasitics.cpp\r\n@@ -174,25 +174,25 @@ void MakeWireParasitics::makeParasiticsToPins(std::vector& pins)\r\n void MakeWireParasitics::makeParasiticsToPin(Pin& pin)\r\n {\r\n sta::Pin* sta_pin = staPin(pin);\r\n sta::ParasiticNode* pin_node = parasitics_->ensureParasiticNode(parasitic_, sta_pin);\r\n const odb::Point& grid_pt = pin.getOnGridPosition();\r\n // Use the route layer above the pin layer if there is a via\r\n // to the pin.\r\n int layer = pin.getConnectionLayer() + 1;\r\n RoutePt grid_route(grid_pt.getX(), grid_pt.getY(), layer);\r\n sta::ParasiticNode* grid_node = node_map_[grid_route];\r\n \r\n // Use the pin layer for the connection.\r\n- if (grid_node == nullptr) {\r\n+ if (1 || grid_node == nullptr) {\r\n layer--;\r\n grid_route = RoutePt(grid_pt.getX(), grid_pt.getY(), layer);\r\n grid_node = node_map_[grid_route];\r\n }\r\n \r\n if (grid_node) {\r\n // Make wire from pin to gcell center on pin layer.\r\n const odb::Point& pt = pin.getPosition();\r\n int wire_length_dbu\r\n = abs(pt.getX() - grid_pt.getX()) + abs(pt.getY() - grid_pt.getY());\r\n float res, cap;\r\n layerRC(wire_length_dbu, layer, res, cap);\r\n```\r\n\r\n```\r\n[DEBUG GRT-0001] net _065_\r\n(24.03, 10.53) 1 -> (24.03, 10.53) 2 l=0.00\r\n[DEBUG GRT-0001] _065_:0 -> _065_:1 via 1-2 r=0.017\r\n[DEBUG GRT-0001] _065_:0 -> _690_/QN (24.02, 10.56) 0.04u layer=1 r=0.006 c=0.005\r\n[DEBUG GRT-0001] pin _690_/QN -> to grid 0u layer=1 r=0.006 c=0.005\r\n[DEBUG GRT-0001] _065_:0 -> _326_/A (24.16, 10.56) 0.15u layer=1 r=0.021 c=0.017\r\n[DEBUG GRT-0001] pin _326_/A -> to grid 0u layer=1 r=0.021 c=0.017\r\n Pi model c2=9.17e-18 rpi=26.9 c1=1.97e-15 max_r=26.9\r\n```"}, {"assistant": "I think you are pursuing a phantom problem. In grt we just assume the cells are at the gcell center as we don't know the real routing topology below that granularity. You can see the resistor is added in grt\r\n```\r\n[DEBUG GRT-0001] _065_:0 -> _065_:1 via 1-2 r=0.017\r\n```\r\nit just gets discarded by rc reduction as it doesn't lead to anything since the net is in a single gcell. We could do something special for a net entirely in one gcell but it still wouldn't solve the problem of a net that has multiple sinks in one gcell while spanning more than one gcell.\r\n\r\nWhat is the root problem you are after?"}, {"user": "> I think you are pursuing a phantom problem\r\n\r\nI might be. I'm trying to reduce the differences I see between STA post global routing and STA post detailed routing. I understand some differences are unavoidable, but when I went looking at R and C differences of individual nets in one of my designs, this issue came up many times.\r\n\r\nMaybe it isn't a big deal in the scheme of things, but we are also using these nets to do our linear regression to create RC layer estimates. In the above example, the post global route net is 4.69 Ohms and the post detailed route net is 37.07 Ohms (most of that difference being 2 x 17.2 Ohm pin access vias)."}, {"assistant": "There is no need for regression on the cut layers as the shapes are fixed. I hope this is a non-issue there but I haven't looked.\r\n\r\nShort nets like this are probably not a significant contributor to your overall timing. The error looks large only because the net is very small to start with. \r\n\r\nThe best I could see would be putting a separate node for the driver that way you would be ensured some driver to sink resistance. I think resistance between sinks is not all that useful and would increase the node count which costs runtime."}, {"assistant": "The PR above is to address you concerns. It will have to go through a full testing cycle but feel free to give it a try. I now see:\r\n```\r\n[DEBUG GRT-0001] net _065_\r\n(24.03, 10.53) 1 -> (24.03, 10.53) 2 l=0.00\r\n[DEBUG GRT-0001] _065_:0 -> _690_/QN (24.02, 10.56) 0.04u layer=2 r=0.001 via_res=0.017 c=0.006\r\n[DEBUG GRT-0001] pin _690_/QN -> to grid 0u layer=2 r=0.001 via_res=0.017 c=0.006\r\n[DEBUG GRT-0001] _065_:0 -> _326_/A (24.16, 10.56) 0.15u layer=2 r=0.004 via_res=0.017 c=0.021\r\n[DEBUG GRT-0001] pin _326_/A -> to grid 0u layer=2 r=0.004 via_res=0.017 c=0.021\r\n```"}, {"assistant": "Some issues in testing need a bit of time to validate"}, {"user": "Thanks @maliberty I'm running some tests to see if it has improved things."}]} +{"num": 2283, "messages": [{"user": "Macro I/O mismatches are detected early, but do not cause failure until LVS checking. Seems like this is almost always a setup error.\r\n\r\nRelated: are there plans to allow log messages to be altered by tcl (info/warning/error, possibly custom text, etc.)?\r\n\r\nFrom intial floorplan log (Verilog macro wrapper does not match actual macro):\r\n\r\n```\r\n[WARNING STA-0201] /data/projects/toy-sram-mpw7/openlane/toysram/runs/22_09_19_16_21/results/synthesis/toysram_site.v line 4666, instance \\ra_0.genblk1.ra port rd_dat_0 not found.\r\n```"}, {"assistant": "We did debate about user controls for error messages. We would never allow a downgrade (ie error to warning) but could consider allowing an upgrade. I have never seen custom text - how would that be used?"}, {"user": "If I am not anywhere near tapeout stage (early development, experimenting, bug avoidance, etc.), downgrading messages to progress further could be useful. Presumably a final check would disallow any error bypassing or force a special writeoff.\r\n\r\nI was thinking adding custom text might be useful to simplify post-processing of logs (like tagging sets of messages). Not a necessity but could be useful."}, {"assistant": "You asssume that if you continue past an error the code will work correctly. In general it won't and it isn't a design expectation. If something can be continued after it should be a warning and not an error."}, {"assistant": "@maliberty \r\nIs that required further support?"}]} +{"num": 2287, "messages": [{"user": "With #1812 (https://github.com/The-OpenROAD-Project/OpenROAD/commit/47e8b5f57e2f680172f99c0433c01ed91fcf7fe7), OpenROAD started hanging forever when executing external python scripts, see:\r\nhttps://colab.research.google.com/gist/proppy/5a80fbc91ea8520839e0779126d96240/openroad-hanging-python-issue.ipynb\r\n\r\n```\r\n\ud83e\uddc1 cat << EOF > foo.py\r\nprint('hello from external script')\r\nEOF\r\n\ud83c\udf49 openroad -python foo.py\r\nOpenROAD 47e8b5f57e2f680172f99c0433c01ed91fcf7fe7 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nhello from external script\r\nPython 3.7.13 (default, Mar 29 2022, 02:21:02) \r\n[GCC 7.5.0] :: Anaconda, Inc. on linux\r\nType \"help\", \"copyright\", \"credits\" or \"license\" for more information.\r\n>>> \r\n```\r\n\r\n\r\nPrevious version like https://github.com/The-OpenROAD-Project/OpenROAD/commit/dc11f5970c23ff81770fcbf705737516dad90b23 are not affected:\r\n```\r\n\ud83c\udf59 openroad -python foo.py\r\nOpenROAD dc11f5970c23ff81770fcbf705737516dad90b23 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nhello from external script\r\n```"}, {"user": "/cc @QuantamHD @mithro "}, {"assistant": "This is the same as with tcl. You need to give -exit if you want it to end after the script otherwise it goes to the interactive prompt."}]} +{"num": 2297, "messages": [{"user": "I'm trying to improve the fmax of a multiplier. The critical path looks like:\r\n\r\n```\r\nStartpoint: _1590_ (falling edge-triggered flip-flop clocked by clk')\r\nEndpoint: _2267_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clk' (fall edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 v _1590_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n 12.55 33.80 33.80 v _1590_/QN (DFFLQNx1_ASAP7_75t_R)\r\n 1 0.69 _0765_ (net)\r\n 12.55 0.00 33.80 v _1553_/A (INVx1_ASAP7_75t_R)\r\n 221.02 87.29 121.10 ^ _1553_/Y (INVx1_ASAP7_75t_R)\r\n 14 34.01 pp_row50_0 (net)\r\n 227.27 20.34 141.44 ^ dadda_fa_0_38_0/A (FAx1_ASAP7_75t_R)\r\n 50.77 66.63 208.07 v dadda_fa_0_38_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.79 sn$86 (net)\r\n 50.77 0.01 208.08 v U$$1311/A (INVx1_ASAP7_75t_R)\r\n 25.47 20.48 228.56 ^ U$$1311/Y (INVx1_ASAP7_75t_R)\r\n 1 2.24 s$333 (net)\r\n 25.47 0.03 228.59 ^ dadda_fa_1_38_3/B (FAx1_ASAP7_75t_R)\r\n 23.07 37.21 265.80 v dadda_fa_1_38_3/SN (FAx1_ASAP7_75t_R)\r\n 1 0.77 sn$331 (net)\r\n 23.07 0.01 265.81 v U$$1487/A (INVx1_ASAP7_75t_R)\r\n 16.86 13.42 279.23 ^ U$$1487/Y (INVx1_ASAP7_75t_R)\r\n 1 1.84 s$749 (net)\r\n 16.86 0.07 279.30 ^ dadda_fa_2_38_2/CI (FAx1_ASAP7_75t_R)\r\n 30.16 32.53 311.83 ^ dadda_fa_2_38_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.74 sn$746 (net)\r\n 30.16 0.01 311.84 ^ U$$1721/A (INVx1_ASAP7_75t_R)\r\n 15.94 12.70 324.54 v U$$1721/Y (INVx1_ASAP7_75t_R)\r\n 1 1.76 s$1213 (net)\r\n 15.94 0.05 324.59 v dadda_fa_3_38_1/CI (FAx1_ASAP7_75t_R)\r\n 29.64 19.21 343.79 ^ dadda_fa_3_38_1/CON (FAx1_ASAP7_75t_R)\r\n 1 0.77 con$1209 (net)\r\n 29.64 0.01 343.80 ^ U$$1944/A (INVx1_ASAP7_75t_R)\r\n 18.06 14.23 358.03 v U$$1944/Y (INVx1_ASAP7_75t_R)\r\n 1 2.23 c$1563 (net)\r\n 18.06 0.02 358.05 v dadda_fa_4_39_0/B (FAx1_ASAP7_75t_R)\r\n 30.12 21.81 379.86 ^ dadda_fa_4_39_0/CON (FAx1_ASAP7_75t_R)\r\n 1 0.82 con$1560 (net)\r\n 23.32 14.61 394.48 v dadda_fa_4_39_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.79 sn$1561 (net)\r\n 23.32 0.01 394.49 v U$$2101/A (INVx1_ASAP7_75t_R)\r\n 19.70 15.04 409.54 ^ U$$2101/Y (INVx1_ASAP7_75t_R)\r\n 1 2.34 s$1851 (net)\r\n 19.71 0.27 409.80 ^ dadda_fa_5_39_0/CI (FAx1_ASAP7_75t_R)\r\n 31.58 34.20 444.00 ^ dadda_fa_5_39_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.80 sn$1848 (net)\r\n 31.58 0.02 444.02 ^ U$$2223/A (INVx1_ASAP7_75t_R)\r\n 10.22 8.46 452.47 v U$$2223/Y (INVx1_ASAP7_75t_R)\r\n 1 0.70 s$2670 (net)\r\n 10.22 0.01 452.48 v _2267_/D (DFFLQNx1_ASAP7_75t_R)\r\n 452.48 data arrival time\r\n\r\n 0.00 300.00 300.00 clock clk' (fall edge)\r\n 0.00 300.00 clock network delay (ideal)\r\n 0.00 300.00 clock reconvergence pessimism\r\n 300.00 v _2267_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n -9.87 290.13 library setup time\r\n 290.13 data required time\r\n-----------------------------------------------------------------------------\r\n 290.13 data required time\r\n -452.48 data arrival time\r\n-----------------------------------------------------------------------------\r\n -162.36 slack (VIOLATED)\r\n```\r\n\r\nTiming driven global placement is doing a good job in general (significantly better fmax than with it disabled). Looking at debug data out of global placement, we did identify this path as the worst and apply the highest weighting to all nets. All the nets in this critical path other than the high fan out `pp_row50_0` are very short as we'd hope.\r\n\r\nSo what went wrong with `pp_row50_0`? Even though `pp_row50_0` had the maximum timing driven weighting adjustment (x1.9), it still ends up being very large. This is `pp_row50_0`:\r\n\r\n![baseline](https://user-images.githubusercontent.com/2029971/191941000-2c172208-e811-4e71-bd6c-080eb94bc0eb.png)\r\n\r\nAs an experiment, I scaled the timing driven weights by the number of pins on the net, with the hope of applying more \"pressure\" to those nets to reduce their size:\r\n\r\n```\r\ndiff --git a/src/gpl/src/timingBase.cpp b/src/gpl/src/timingBase.cpp\r\nindex 0e5ccb056..201bdb154 100644\r\n--- a/src/gpl/src/timingBase.cpp\r\n+++ b/src/gpl/src/timingBase.cpp\r\n@@ -178,7 +178,7 @@ TimingBase::updateGNetWeights(float overflow) {\r\n // weight(min_slack) = net_weight_max_\r\n // weight(max_slack) = 1\r\n float weight = 1 + (net_weight_max_ - 1)\r\n- * (slack_max - net_slack) / (slack_max - slack_min);\r\n+ * (slack_max - net_slack) / (slack_max - slack_min) * (gNet->gPins().size()-1);\r\n gNet->setTimingWeight(weight);\r\n weighted_net_count++;\r\n }\r\n```\r\n\r\nThis helped a lot:\r\n\r\n![net-degree-weighting](https://user-images.githubusercontent.com/2029971/191941699-4cae329f-b021-443e-a190-76577bf9b4ad.png)\r\n\r\nAnd the STA output after global placement shows a significant improvement (~25ps), most of which came from improvements in `pp_row50_0`:\r\n\r\n```\r\n==========================================================================\r\nglobal place report_checks -path_delay max\r\n--------------------------------------------------------------------------\r\nStartpoint: _1590_ (falling edge-triggered flip-flop clocked by clk')\r\nEndpoint: _2265_ (falling edge-triggered flip-flop clocked by clk')\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clk' (fall edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 v _1590_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n 12.56 33.81 33.81 v _1590_/QN (DFFLQNx1_ASAP7_75t_R)\r\n 1 0.69 _0765_ (net)\r\n 12.56 0.00 33.81 v _1553_/A (INVx1_ASAP7_75t_R)\r\n 158.64 70.92 104.73 ^ _1553_/Y (INVx1_ASAP7_75t_R)\r\n 14 25.16 pp_row50_0 (net)\r\n 158.64 0.60 105.33 ^ dadda_fa_0_36_0/A (FAx1_ASAP7_75t_R)\r\n 44.35 61.34 166.67 v dadda_fa_0_36_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.86 sn$72 (net)\r\n 44.35 0.03 166.69 v U$$1297/A (INVx1_ASAP7_75t_R)\r\n 23.03 18.56 185.25 ^ U$$1297/Y (INVx1_ASAP7_75t_R)\r\n 1 2.04 s$305 (net)\r\n 23.03 0.01 185.27 ^ dadda_fa_1_36_3/A (FAx1_ASAP7_75t_R)\r\n 32.53 43.39 228.66 v dadda_fa_1_36_3/SN (FAx1_ASAP7_75t_R)\r\n 1 1.20 sn$304 (net)\r\n 32.53 0.09 228.75 v U$$1471/A (INVx1_ASAP7_75t_R)\r\n 19.05 15.33 244.08 ^ U$$1471/Y (INVx1_ASAP7_75t_R)\r\n 1 1.81 s$719 (net)\r\n 19.05 0.06 244.15 ^ dadda_fa_2_36_2/CI (FAx1_ASAP7_75t_R)\r\n 31.41 34.15 278.30 ^ dadda_fa_2_36_2/SN (FAx1_ASAP7_75t_R)\r\n 1 0.81 sn$716 (net)\r\n 31.41 0.02 278.32 ^ U$$1709/A (INVx1_ASAP7_75t_R)\r\n 16.12 12.85 291.17 v U$$1709/Y (INVx1_ASAP7_75t_R)\r\n 1 1.75 s$1193 (net)\r\n 16.12 0.04 291.21 v dadda_fa_3_36_1/CI (FAx1_ASAP7_75t_R)\r\n 29.53 19.17 310.38 ^ dadda_fa_3_36_1/CON (FAx1_ASAP7_75t_R)\r\n 1 0.76 con$1189 (net)\r\n 29.53 0.01 310.39 ^ U$$1936/A (INVx1_ASAP7_75t_R)\r\n 18.49 14.50 324.89 v U$$1936/Y (INVx1_ASAP7_75t_R)\r\n 1 2.32 c$1553 (net)\r\n 18.49 0.06 324.95 v dadda_fa_4_37_0/B (FAx1_ASAP7_75t_R)\r\n 38.06 45.07 370.02 v dadda_fa_4_37_0/SN (FAx1_ASAP7_75t_R)\r\n 1 1.44 sn$1551 (net)\r\n 38.06 0.15 370.17 v U$$2097/A (INVx1_ASAP7_75t_R)\r\n 19.61 16.03 386.20 ^ U$$2097/Y (INVx1_ASAP7_75t_R)\r\n 1 1.69 s$1841 (net)\r\n 19.61 0.02 386.22 ^ dadda_fa_5_37_0/CI (FAx1_ASAP7_75t_R)\r\n 31.45 34.14 420.36 ^ dadda_fa_5_37_0/SN (FAx1_ASAP7_75t_R)\r\n 1 0.80 sn$1838 (net)\r\n 31.45 0.02 420.37 ^ U$$2219/A (INVx1_ASAP7_75t_R)\r\n 9.92 8.23 428.60 v U$$2219/Y (INVx1_ASAP7_75t_R)\r\n 1 0.65 s$2666 (net)\r\n 9.92 0.00 428.60 v _2265_/D (DFFLQNx1_ASAP7_75t_R)\r\n 428.60 data arrival time\r\n\r\n 0.00 300.00 300.00 clock clk' (fall edge)\r\n 0.00 300.00 clock network delay (ideal)\r\n 0.00 300.00 clock reconvergence pessimism\r\n 300.00 v _2265_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n -9.84 290.16 library setup time\r\n 290.16 data required time\r\n-----------------------------------------------------------------------------\r\n 290.16 data required time\r\n -428.60 data arrival time\r\n-----------------------------------------------------------------------------\r\n -138.44 slack (VIOLATED)\r\n```\r\n\r\nAny thoughts? While this highlights the issue, I'm not confident I'm fixing it in the right spot. For example, could global placement have an issue with multi pin nets in general (and not just in the timing driven path)?\r\n\r\nTest case (I'm running it using ORFS): [32bit_4cycle_asap7_multiplier.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9632924/32bit_4cycle_asap7_multiplier.tar.gz)\r\n"}, {"assistant": "@antonblanchard can you run this same experiment with just the initial placement? It specifically does not include high fanout nets because it supposedly makes it harder to converge.\r\n\r\nMaybe we could address that too. Also it looks like because this net is high fanout you're losing a lot to capacitance. Can you run a round of resizing on both and see if there's still an improvement? "}, {"user": "> @antonblanchard can you run this same experiment with just the initial placement? It specifically does not include high fanout nets because it supposedly makes it harder to converge.\r\n\r\n@QuantamHD did you mean disabling initial placement (`-skip_initial_place`)? I just tried that and it made things slightly worse. It looks like the default limit for initial placement is a fan out of 200 which this design is comfortably under.\r\n \r\n> Maybe we could address that too. Also it looks like because this net is high fanout you're losing a lot to capacitance. Can you run a round of resizing on both and see if there's still an improvement?\r\n\r\nThe somewhat interesting thing about this design is that the resizer is unable to do much to help (that's why I've ended up back in global placement looking for opportunities). It does add some fan out buffering, which helps but we still have the capacitance of a long wire to combat. Cell upsizing would normally improve this but it fails to here, I think because ASAP7 only has one size of full and half adder. It does try to resize the inverters in the path, but ultimately the delay calculations are all worse for those options.\r\n\r\nIf we had larger full and half adders I'm guessing we'd be able to upsize the cells in this entire path and somewhat overcome the extra capacitance on the high fanout net.\r\n\r\nHaving said all that, I do need to go back and see if there are improvements we can make to the resizer."}, {"assistant": "Initial placement skips large nets as they tend not to be helpful rather than for convergence reason. The clock tree hasn't been inserted at this point so pulling all the registers together isn't useful. Likewise with other global nets like resets."}, {"assistant": "@maliberty It feels like we could use the multicycle info / SDC info to ignore those nets in particular, but it sounds like it still might be helpful."}, {"assistant": "Maybe we need to integrate the resizer into placement. Seems like that would make sense at least from a gate sizing perspective.\r\n\r\nPerhaps adding a SA pass in the Placer to see if we could get any wins"}, {"user": "Here's an animated gif of net `pp_row50_0` as we progress through global placement. Timing driven mode was disabled.\r\n\r\nThings start off good, with all pins in the net remaining close together as the net moves left. At some point it goes bad, and the net slowly grows in size to become very large:\r\n\r\n![pp_row50_0](https://user-images.githubusercontent.com/2029971/192078196-5f35b017-67a2-4b1d-859a-2084d7b29b22.gif)\r\n\r\nI don't understand how we create wire estimates for a multi pin net, but perhaps we have a bug there."}, {"assistant": "We use an approximation of HPWL for the net length (the approximation is smoothly differentiable which HPWL is not). See 2.3 of https://cseweb.ucsd.edu/~jlu/papers/eplace-todaes14/paper.pdf - we use the WA metric."}, {"assistant": "All the fanouts are ha/fa cells. That means they have 4/5 signal pins each of which is exerting a pull on the instance, not just this net. Contracting this net may mean lengthening many others. I suspect this is the main issue. The 1.9X cap means the critical net is limited in how hard it can pull. You might experiment with using a higher limit and see what happens overall.\r\n\r\nAll instances in the middle don't contribute to the hpwl and will have little incentive to move until they become near the bbox edge."}, {"assistant": "@antonblanchard one other thing you might try is logic duplication. Which you should be able to try with just a few odb calls.\r\n\r\nTry splitting one of the high fanout ha/fa's into 1-N duplicates cells driven by the same inputs. And break the net into N equal partitions. This is another optimization that should be in the resizer tool box that could help in this situation "}, {"assistant": "Note that multiple pins on this instance are critical and have high weight:\r\n![image](https://user-images.githubusercontent.com/761514/192082571-db722e1d-7b47-4ccd-a715-c856d833d870.png)\r\n"}, {"assistant": "> Maybe we need to integrate the resizer into placement. Seems like that would make sense at least from a gate sizing perspective.\r\n\r\nTiming driven replace calls the resizer in 'virtual' mode where we do the resize, estimate the timing, and then undo the resize. We want the timing to reflect the resizer but don't want to lock in the results too early.\r\n"}, {"assistant": "I suspect you would benefit from some io placement constraints. They are quite scattered."}, {"assistant": "@maliberty Ah neat! Didn't know that, or maybe forgot. I think you or Tom mentioned that to me"}, {"assistant": "There is an argument for really resizing once you get near the end to incorporate the area impact of resizing. Its an open area for investigation."}, {"user": "> All the fanouts are ha/fa cells. That means they have 4/5 signal pins each of which is exerting a pull on the instance, not just this net. Contracting this net may mean lengthening many others. I suspect this is the main issue. The 1.9X cap means the critical net is limited in how hard it can pull. You might experiment with using a higher limit and see what happens overall.\r\n\r\nI added an option to modify the multiplier in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2301 . Testing various values shows that a much higher value (15) helps a lot. Note that this graph includes a multiplier of 0, which effectively disables timing mode.\r\n\r\n![graph](https://user-images.githubusercontent.com/2029971/192187629-d2d25267-c47e-4c53-a264-4e648cbb5910.png)"}, {"assistant": "@mgwoo how was 1.9 picked as the max net weight for TD?"}, {"user": "> There is an argument for really resizing once you get near the end to incorporate the area impact of resizing. Its an open area for investigation.\r\n\r\nI had a related thought - that it might be useful to apply the fan out modifications earlier (even before we start global placement). They don't need any placement parasitics to be calculated. "}, {"assistant": "> > There is an argument for really resizing once you get near the end to incorporate the area impact of resizing. Its an open area for investigation.\r\n> \r\n> I had a related thought - that it might be useful to apply the fan out modifications earlier (even before we start global placement). They don't need any placement parasitics to be calculated.\r\n\r\nMy feeling is that they should only be used by synthesis and ignored in OR. They are just a rough guide and once you have placement you should start using the cap/slew checks which are more electrically meaningful."}, {"assistant": "It leads to silliness like asking if an antenna diode counts as a fanout or not..."}, {"user": "> My feeling is that they should only be used by synthesis and ignored in OR. They are just a rough guide and once you have placement you should start using the cap/slew checks which are more electrically meaningful.\r\n\r\nABC does do fan out modifications, but I'm not sure how we could easily keep them and strip all the other buffer modifications it does. If we had something like `repair_design -fanout`, we could do it right after `remove_buffers` and achieve a similar result."}, {"assistant": "We don't keep the abc buffering in general so I'm not sure why it is more important here. I don't think we need to repair fanout at that early point and are better off doing repairs when we have something more realistic to base them off of."}, {"assistant": "I'm generally not in favor of adding more complexity to the flow. It feels like a fanout fix should be a part of the gpl optimization loop if it thinks it would help"}, {"assistant": "Found an article from David Pan describing a scheme to dynamically weight nets on page 6 under Sensitivity Based Net Weighting https://www.cerc.utexas.edu/utda/publications/book_tdp.pdf\r\n\r\nPerhaps this would yield better results than the static value"}, {"user": "> There is an argument for really resizing once you get near the end to incorporate the area impact of resizing. Its an open area for investigation.\r\n\r\nI had a quick look at this. As an experiment, I created a simple placement test:\r\n\r\n```\r\nglobal_placement ...\r\n\r\nestimate_parasitics -placement\r\n\r\nbuffer_ports\r\n\r\nrepair_design\r\n```\r\n\r\nAnd modified it with another incremental global placement pass, and a repair_design pass in case that created violations:\r\n\r\n```\r\nglobal_placement ...\r\n\r\nestimate_parasitics -placement\r\n\r\nbuffer_ports\r\n\r\nrepair_design\r\n\r\nglobal_placement \\\r\n -init_density_penalty 0.1 \\\r\n -incremental \\\r\n ...\r\n\r\nrepair_design\r\n```\r\n\r\nCritical path slack improved in all non trivial ASAP7 test cases:\r\n\r\n```\r\n baseline modified\r\naes -292.34 -203.30\r\nethmac -221.82 -134.33\r\nibex -164.26 -121.51\r\njpeg -157.23 -64.35\r\nsha3 -370.17 -223.34\r\n```\r\n\r\ngcd and uart are trivial, but it's good to see that things didn't get worse:\r\n\r\n```\r\ngcd -187.36 -184.09\r\nuart -119.17 -118.14\r\n```\r\n\r\nI presume we'd want to build this all into a single global placement pass, but this at least confirms there are some gains to be had. Also no idea what `-init_density_penalty` is, so I grabbed a value from one of the test cases."}, {"assistant": "@arlpetergadfort didn't you mention to me at one point that the incremental placement could be much improved by no doing the initial placement again?"}, {"assistant": "@QuantamHD yeah, atleast something like that, in my experience incremental global placement with OpenROAD results in a repeat of an initial global placement (ironically takes longer because it's spending time undoing it's original placement). Ideally, incremental global placement would work without need for extra parameters that can be difficult to determine. I should clarify that it's not redoing the IP step, but just that all instances more or less end up moving back to their initial position and back out again."}]} +{"num": 2302, "messages": [{"user": "I was testing asap7/sha3 and noticed a long string of rebuffer cells in the critical path:\r\n\r\n```\r\nStartpoint: address[0] (input port clocked by clk)\r\nEndpoint: read_data[30] (output port clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 50.00 50.00 v input external delay\r\n 0.00 0.00 50.00 v address[0] (in)\r\n 1 3.15 address[0] (net)\r\n 1.46 0.46 50.46 v input1/A (BUFx12f_ASAP7_75t_R)\r\n 4.81 10.10 60.56 v input1/Y (BUFx12f_ASAP7_75t_R)\r\n 1 3.26 net1 (net)\r\n 4.96 0.43 60.98 v fanout997/A (BUFx16f_ASAP7_75t_R)\r\n 9.17 14.17 75.16 v fanout997/Y (BUFx16f_ASAP7_75t_R)\r\n 6 14.55 net997 (net)\r\n 10.77 1.67 76.82 v rebuffer58/A (BUFx2_ASAP7_75t_R)\r\n 5.68 14.43 91.25 v rebuffer58/Y (BUFx2_ASAP7_75t_R)\r\n 1 1.04 net2090 (net)\r\n 5.69 0.12 91.38 v rebuffer57/A (BUFx2_ASAP7_75t_R)\r\n 4.78 12.23 103.61 v rebuffer57/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.68 net2089 (net)\r\n 4.78 0.05 103.66 v rebuffer56/A (BUFx2_ASAP7_75t_R)\r\n 4.68 11.87 115.53 v rebuffer56/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.63 net2088 (net)\r\n 4.69 0.04 115.57 v rebuffer55/A (BUFx2_ASAP7_75t_R)\r\n 5.12 12.13 127.70 v rebuffer55/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.83 net2087 (net)\r\n 5.12 0.08 127.78 v rebuffer54/A (BUFx2_ASAP7_75t_R)\r\n 4.65 11.96 139.74 v rebuffer54/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.62 net2086 (net)\r\n 4.65 0.04 139.78 v rebuffer53/A (BUFx2_ASAP7_75t_R)\r\n 4.69 11.84 151.61 v rebuffer53/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.64 net2085 (net)\r\n 4.69 0.04 151.65 v rebuffer52/A (BUFx2_ASAP7_75t_R)\r\n 5.39 12.30 163.95 v rebuffer52/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.94 net2084 (net)\r\n 5.40 0.11 164.06 v rebuffer51/A (BUFx2_ASAP7_75t_R)\r\n 5.08 12.34 176.40 v rebuffer51/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.81 net2083 (net)\r\n 5.09 0.08 176.48 v rebuffer50/A (BUFx2_ASAP7_75t_R)\r\n 4.82 12.07 188.55 v rebuffer50/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.70 net2082 (net)\r\n 4.82 0.05 188.60 v rebuffer49/A (BUFx2_ASAP7_75t_R)\r\n 5.01 12.11 200.70 v rebuffer49/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.78 net2081 (net)\r\n 5.01 0.07 200.77 v rebuffer48/A (BUFx2_ASAP7_75t_R)\r\n 4.77 12.01 212.78 v rebuffer48/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.67 net2080 (net)\r\n 4.77 0.04 212.82 v rebuffer47/A (BUFx2_ASAP7_75t_R)\r\n 5.02 12.10 224.91 v rebuffer47/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.79 net2079 (net)\r\n 5.03 0.08 224.99 v rebuffer46/A (BUFx2_ASAP7_75t_R)\r\n 4.97 12.15 237.14 v rebuffer46/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.77 net2078 (net)\r\n 4.98 0.08 237.22 v rebuffer45/A (BUFx2_ASAP7_75t_R)\r\n 4.96 12.13 249.34 v rebuffer45/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.76 net2077 (net)\r\n 4.97 0.07 249.41 v rebuffer44/A (BUFx2_ASAP7_75t_R)\r\n 4.94 12.10 261.52 v rebuffer44/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.75 net2076 (net)\r\n 4.94 0.07 261.59 v rebuffer32/A (BUFx2_ASAP7_75t_R)\r\n 32.00 19.67 281.25 v rebuffer32/Y (BUFx2_ASAP7_75t_R)\r\n 3 10.67 net2064 (net)\r\n 40.12 8.50 289.76 v fanout969/A (BUFx10_ASAP7_75t_R)\r\n 17.10 27.65 317.41 v fanout969/Y (BUFx10_ASAP7_75t_R)\r\n 15 17.64 net969 (net)\r\n 27.42 7.02 324.43 v fanout968/A (BUFx6f_ASAP7_75t_R)\r\n 15.99 20.59 345.02 v fanout968/Y (BUFx6f_ASAP7_75t_R)\r\n 16 13.69 net968 (net)\r\n 18.59 3.29 348.31 v _19173_/C (OA211x2_ASAP7_75t_R)\r\n 8.84 29.59 377.91 v _19173_/Y (OA211x2_ASAP7_75t_R)\r\n 1 0.64 _07747_ (net)\r\n 8.84 0.04 377.94 v _19174_/C (OR3x1_ASAP7_75t_R)\r\n 10.75 24.64 402.58 v _19174_/Y (OR3x1_ASAP7_75t_R)\r\n 1 1.01 _07748_ (net)\r\n 10.76 0.11 402.69 v _19182_/B (AND3x2_ASAP7_75t_R)\r\n 13.56 18.92 421.62 v _19182_/Y (AND3x2_ASAP7_75t_R)\r\n 1 3.53 _07756_ (net)\r\n 13.93 1.22 422.83 v _19183_/B (AO21x1_ASAP7_75t_R)\r\n 7.01 16.59 439.43 v _19183_/Y (AO21x1_ASAP7_75t_R)\r\n 1 0.87 _07757_ (net)\r\n 7.01 0.07 439.50 v _19184_/A2 (AO21x2_ASAP7_75t_R)\r\n 26.07 24.27 463.77 v _19184_/Y (AO21x2_ASAP7_75t_R)\r\n 1 7.88 _07758_ (net)\r\n 28.94 4.56 468.32 v _19185_/A2 (OA21x2_ASAP7_75t_R)\r\n 12.67 25.62 493.95 v _19185_/Y (OA21x2_ASAP7_75t_R)\r\n 1 3.04 net67 (net)\r\n 12.86 0.85 494.79 v output67/A (BUFx2_ASAP7_75t_R)\r\n 5.78 15.09 509.88 v output67/Y (BUFx2_ASAP7_75t_R)\r\n 1 0.92 read_data[30] (net)\r\n 5.81 0.19 510.07 v read_data[30] (out)\r\n 510.07 data arrival time\r\n\r\n 500.00 500.00 clock clk (rise edge)\r\n 0.00 500.00 clock network delay (propagated)\r\n 0.00 500.00 clock reconvergence pessimism\r\n -50.00 450.00 output external delay\r\n 450.00 data required time\r\n-----------------------------------------------------------------------------\r\n 450.00 data required time\r\n -510.07 data arrival time\r\n-----------------------------------------------------------------------------\r\n -60.07 slack (VIOLATED)\r\n```\r\n\r\nI might be missing something, but (rebuffer32, rebuffer44-58) seem to just be adding a lot of delay to the critical path. They are all the same sized cell and they are all placed very close together:\r\n\r\n![rebuffer](https://user-images.githubusercontent.com/2029971/192254576-c564e368-12bf-4997-94fa-5aa697ee237d.png)\r\n \r\n\r\nTest case: [cts_sha3_asap7_base_2022-09-26_18-03.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9645447/cts_sha3_asap7_base_2022-09-26_18-03.tar.gz)\r\n"}, {"assistant": "fixed in 883125a3b"}]} +{"num": 2308, "messages": [{"user": "In order to be able to import them from `.py` script, the swig binding needs to be built as shared libraries.\r\n\r\nCurrently the `swig_library` helper forces static linking, see:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/cmake/swig_lib.cmake#L74\r\n\r\n@maliberty is the `.a` actually needed by something else in the build? do we need to build both or can we get away with only building the `.so`?\r\n\r\n/cc @QuantamHD "}, {"assistant": "@proppy I have a demo working for one of them"}, {"assistant": "The .a are used to build a statically linked binary which is much simpler for users as it doesn't require setting up an LD_LIBRARY_PATH entry."}, {"user": "@maliberty understood, but curious if there a usecase for having the swig bindings `openroad_swig_py.a` as a static library? Is it meant for users that'd like to link and extend them?"}, {"assistant": "openroad -python needs the swig bindings and is statically linked. "}, {"assistant": "@proppy We need to set up the infrastructure to build and package the so's for pip. "}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD/pull/2311"}, {"assistant": "@macd FYI"}, {"assistant": "It would be nice to be able to import into an unmodified Python. I will take a look at @QuantamHD changes (I have PoC wrappers for about 10 of the tools/libs at present). How many versions of Python do you envision building against?"}]} +{"num": 2314, "messages": [{"user": "The attached test case fails with:\r\n\r\n```\r\n/usr/include/c++/12/bits/stl_vector.h:1123: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = ppl::Slot; _Alloc = std::allocator; reference = ppl::Slot&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nStack trace:\r\n 0# 0x0000000000C2540E in openroad\r\n 1# 0x00007F75DF63EA70 in /lib64/libc.so.6\r\n 2# 0x00007F75DF68EC4C in /lib64/libc.so.6\r\n 3# raise in /lib64/libc.so.6\r\n 4# abort in /lib64/libc.so.6\r\n 5# __gnu_debug::_Safe_sequence_base::_M_get_mutex() in /lib64/libstdc++.so.6\r\n 6# ppl::HungarianMatching::createMatrixForGroups() in openroad\r\n 7# ppl::HungarianMatching::findAssignmentForGroups() in openroad\r\n 8# ppl::IOPlacer::findPinAssignment(std::vector >&) in openroad\r\n 9# ppl::IOPlacer::run(bool) in openroad\r\n10# 0x0000000000D830D1 in openroad\r\n```\r\n\r\nTest case: [io_placement_multiplier_asap7_base_2022-09-28_14-32.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9661556/io_placement_multiplier_asap7_base_2022-09-28_14-32.tar.gz)\r\n"}, {"assistant": "@antonblanchard what commit are you using? I've tried with the latest master branch and I cannot reproduce the crash."}, {"user": "It reproduced on the latest sha1 (c7ce441ce364). I wonder if your libstdc++ doesn't have the check. Does this patch trigger it?\r\n\r\n[ppl.patch.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9668659/ppl.patch.gz)\r\n\r\n"}, {"assistant": "@antonblanchard are you compiling debug? The checks can differ with optimization level."}, {"assistant": "@maliberty this the same issue we kept running into as well with ppl."}, {"assistant": "Just looking at the code it seems like there's an outer loop iterator somewhere while the code in ppl::HungarianMatching::createMatrixForGroups is modifying that vector, which is not allowed. "}, {"assistant": "@antonblanchard Could you check this PR: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2319?"}, {"user": "> @antonblanchard Could you check this PR: #2319?\r\n\r\n@eder-matheus Thanks, this fixes the issue I was hitting\r\n"}, {"assistant": "> > @antonblanchard Could you check this PR: #2319?\n> \n> \n> \n> @eder-matheus Thanks, this fixes the issue I was hitting\n> \n> \n\nGreat! I've just merged the fix with master."}]} +{"num": 2320, "messages": [{"user": "I have another test case that is hitting issues in PPL. This time in `HungarianAlgorithm::solve`:\r\n\r\n```\r\n/usr/include/c++/12/bits/stl_vector.h:1123: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = std::vector; _Alloc = std::allocator >; reference = std::vector&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\n\r\n 3# raise in /lib64/libc.so.6\r\n 4# abort in /lib64/libc.so.6\r\n 5# __gnu_debug::_Safe_sequence_base::_M_get_mutex() in /lib64/libstdc++.so.6\r\n 6# HungarianAlgorithm::solve(std::vector >, std::allocator > > >&, std::vector >&) in openroad\r\n 7# ppl::IOPlacer::findPinAssignment(std::vector >&) in openroad\r\n 8# ppl::IOPlacer::run(bool) in openroad\r\n```\r\n\r\nIf it doesn't reproduce, this patch should trip it:\r\n\r\n```\r\n--- a/src/ppl/src/HungarianMatching.cpp\r\n+++ b/src/ppl/src/HungarianMatching.cpp\r\n@@ -63,6 +63,10 @@ HungarianMatching::HungarianMatching(Section& section,\r\n void HungarianMatching::findAssignment()\r\n {\r\n createMatrix();\r\n+ if (hungarian_matrix_.size() == 0) {\r\n+ printf(\"hungarian_matrix_.size() 0\\n\");\r\n+ exit(1);\r\n+ }\r\n hungarian_solver_.solve(hungarian_matrix_, assignment_);\r\n }\r\n\r\n```\r\n\r\nTest case: [io_placement_multiplier_asap7_base_2022-09-28_14-32-2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9669860/io_placement_multiplier_asap7_base_2022-09-28_14-32-2.tar.gz)\r\n"}, {"user": "Unsure if this is correct, but this avoids it:\r\n\r\n```\r\n--- a/src/ppl/src/HungarianMatching.cpp\r\n+++ b/src/ppl/src/HungarianMatching.cpp\r\n@@ -63,7 +63,8 @@ HungarianMatching::HungarianMatching(Section& section,\r\n void HungarianMatching::findAssignment()\r\n {\r\n createMatrix();\r\n- hungarian_solver_.solve(hungarian_matrix_, assignment_);\r\n+ if (hungarian_matrix_.size() != 0)\r\n+ hungarian_solver_.solve(hungarian_matrix_, assignment_);\r\n }\r\n \r\n void HungarianMatching::createMatrix()\r\n```"}, {"user": "@eder-matheus FYI"}, {"assistant": "> Unsure if this is correct, but this avoids it:\r\n> \r\n> ```\r\n> --- a/src/ppl/src/HungarianMatching.cpp\r\n> +++ b/src/ppl/src/HungarianMatching.cpp\r\n> @@ -63,7 +63,8 @@ HungarianMatching::HungarianMatching(Section& section,\r\n> void HungarianMatching::findAssignment()\r\n> {\r\n> createMatrix();\r\n> - hungarian_solver_.solve(hungarian_matrix_, assignment_);\r\n> + if (hungarian_matrix_.size() != 0)\r\n> + hungarian_solver_.solve(hungarian_matrix_, assignment_);\r\n> }\r\n> \r\n> void HungarianMatching::createMatrix()\r\n> ```\r\n\r\nThanks, @antonblanchard! Your fix is correct. We perform this check in the `findAssignmentForGroups` function, but I probably missed the `findAssignment` at that time. The fix is in this PR: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2322"}]} +{"num": 2326, "messages": [{"user": "[6_final_lvs.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9691486/6_final_lvs.zip)\r\nI run the drc and lvs check where the lvs check is conducted by netgen after running the Makefile in OpenROAD-flow-scripts/flow. There are no errors found in drc while the result of lvs showed that there's a mismatch of circuit 1 and circuit 2. Could you please help me with this problem. Thank you very much. I attached the cdl, gds, makefile, an lvs report."}, {"assistant": "I am not a netgen user and have no idea how to debug problems related to other tools. If you can point out something that is specifically wrong with the OR output I can take a look at that. This is not a complete test case and can't be debugged in any case."}, {"user": "Yes, so there are still unconnected areas in the generated cdl file.\r\n\r\n\u53d1\u81ea Outlook\r\n________________________________\r\n\u53d1\u4ef6\u4eba: Matt Liberty ***@***.***>\r\n\u53d1\u9001\u65f6\u95f4: 2022\u5e7410\u67082\u65e5 12:12\r\n\u6536\u4ef6\u4eba: The-OpenROAD-Project/OpenROAD ***@***.***>\r\n\u6284\u9001: Xies3 ***@***.***>; Author ***@***.***>\r\n\u4e3b\u9898: Re: [The-OpenROAD-Project/OpenROAD] LVS check is incorrect (Issue #2326)\r\n\r\n\r\nI am not a netgen user and have no idea how to debug problems related to other tools. If you can point out something that is specifically wrong with the OR output I can take a look at that. This is not a complete test case and can't be debugged in any case.\r\n\r\n\u2015\r\nReply to this email directly, view it on GitHub, or unsubscribe.\r\nYou are receiving this because you authored the thread.Message ID: ***@***.***>\r\n"}, {"assistant": "That is not enough of a description to take any action."}, {"user": "Yes. In the 6_final lvs report, some nets are mismatching and I think that is because some names of instances are not in the library. So is that openroad used some instances that were not in the library and added them to the gds? Thank you very much."}, {"assistant": "What instances? If you don't give a specific problem don't expect any action.\r\n\r\nAlso you have not provided a complete test case allowing me to reproduce the result."}, {"assistant": "@Xies3 \r\nIts good to try this design with `OpenLane flow` https://github.com/The-OpenROAD-Project/OpenLane as it had all signoff checks tools integrated.\r\nIf still LVS failures there, file github issue.\r\n"}, {"assistant": "If the same problem happens in OL the same data will be needed - a specific problem and a complete test case. I am not clear this is a tool integration issue. It not clear whether this is a yosys, OR, KLayout, netgen, or user error problem yet.\r\n\r\nIf you are using ORFS then \"make final_report_issue\" should package a test case. "}, {"user": "Thank you, I will try with OpenLane flow"}, {"assistant": "Please close this if no further action is needed."}, {"assistant": "@maliberty This is related to OpenFASOC/temp-sense generator. With the latest version of openroad, the drc check for the generated gds file, the following message is generated \r\n\r\n```\r\nExtracting sky130_fd_sc_hd__decap_4 into sky130_fd_sc_hd__decap_4.ext:\r\nfreeMagic called with NULL argument.\r\n```\r\n\r\nAlso this is reflected in the lvs check where there is a device mismatch for this exact cell.\r\n\r\nPlease note that this is not observed from the version [2.0_5006_g503c27e77](https://anaconda.org/LiteX-Hub/openroad/2.0_5006_g503c27e77/download/linux-64/openroad-2.0_5006_g503c27e77-20220921_210118.tar.bz2) and earlier, where Magic and Netgen are the latest versions. \r\n\r\nSince the issue is clearly something to do with the openroad version change, do you have any idea for this behaviour with the recent openroad changes (was there any bugfix or something)? \r\n\r\n\r\n\r\n"}, {"assistant": "I have also mentioned this in the skywater-pdk slack and here is the conversation thread - https://open-source-silicon.slack.com/archives/C016YSAP6TZ/p1665075690355409 I will close this issue by mentioning the outcome of this problem."}, {"assistant": "Looks like it is a Magic issue. @saicharan0112 Can you open a github issue in Magic?"}, {"assistant": "@maliberty As promised, here is the conclusion of this issue. The issue is with the update made to pdn file in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2289 where power connections are done in a different way. "}]} +{"num": 2339, "messages": [{"user": "Testing `aes` design with GF180 PDK through `OpenLane` flow.\r\nUsing `OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e`\r\n\r\n`resizer.log`\r\n```\r\n===========================================================================\r\nreport_checks -path_delay max (Setup)\r\n============================================================================\r\nStartpoint: reset_n (input port clocked by clk)\r\nEndpoint: _38075_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 10.00 10.00 ^ input external delay\r\n 1.15 0.64 10.64 ^ reset_n (in)\r\n 1 0.05 reset_n (net)\r\n 1.15 0.00 10.64 ^ input10/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 0.83 0.72 11.36 ^ input10/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 86 0.72 net10 (net)\r\n 0.87 0.09 11.45 ^ load_slew88/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 1.56 1.10 12.55 ^ load_slew88/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 179 1.36 net88 (net)\r\n 1.58 0.10 12.65 ^ load_slew87/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 2.09 1.39 14.04 ^ load_slew87/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 236 1.87 net87 (net)\r\n 2.12 0.14 14.17 ^ load_slew85/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 2.09 1.49 15.66 ^ load_slew85/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 236 1.80 net85 (net)\r\n 2.11 0.12 15.77 ^ load_slew84/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 1.62 1.19 16.96 ^ load_slew84/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 185 1.40 net84 (net)\r\n 1.63 0.04 17.00 ^ load_slew83/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 1.77 1.23 18.23 ^ load_slew83/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 210 1.55 net83 (net)\r\n 1.90 0.28 18.51 ^ _38075_/RN (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 18.51 data arrival time\r\n\r\n 0.15 50.00 50.00 clock clk (rise edge)\r\n 0.00 50.00 clock network delay (ideal)\r\n -0.25 49.75 clock uncertainty\r\n 0.00 49.75 clock reconvergence pessimism\r\n 49.75 ^ _38075_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 0.00 49.75 library recovery time\r\n 49.75 data required time\r\n-----------------------------------------------------------------------------\r\n 49.75 data required time\r\n -18.51 data arrival time\r\n-----------------------------------------------------------------------------\r\n 31.24 slack (MET)\r\n````\r\nTest case: \r\n[issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9724859/issue_reproducible.zip)\r\n"}, {"assistant": "Please run this with the latest OR and package a test case from that: incompatible database schema revision\r\n"}, {"assistant": "I don't see any max_fanout specified in gf180"}, {"assistant": "The SDC file has a fanout limit:\r\n\r\n```\r\nset_max_fanout 8.0000 [current_design]\r\n```\r\nbut the liberty file is missing:\r\n\r\n```\r\n default_fanout_load : 1.0000000000;\r\n```\r\n\r\nSame problem as we had on sky130."}, {"assistant": "@proppy @QuantamHD PDK issue..."}, {"assistant": "Looking now."}, {"assistant": "@louiic see the note from Anton"}, {"assistant": "@maliberty @antonblanchard I don't see a `default_fanout_load` in the NDA'd liberty. So we'll need to add it."}, {"assistant": "What is a sensible default?\r\n"}, {"assistant": "> What is a sensible default?\r\n\r\nI think 1, that's what we changed the sky130 PDK to use in https://github.com/RTimothyEdwards/open_pdks/commit/86f9b0c385d32b2d65fd789427f2ea3f0b7331d3"}, {"assistant": "Ethan,\n\nI tried setting default_max_fanout in .LIB \u2026 did not help.\n\nLouii\n\n> On Oct 6, 2022, at 2:15 PM, Anton Blanchard ***@***.***> wrote:\n> \n> \n> What is a sensible default?\n> \n> I think 1, that's what we changed the sky130 PDK to use in ***@***.*** \n> \u2014\n> Reply to this email directly, view it on GitHub , or unsubscribe .\n> You are receiving this because you were mentioned.\n> \n\n"}, {"assistant": "> Ethan, I tried setting default_max_fanout in .LIB \u2026 did not help.\r\n\r\nWorks for me. Are you sure your SDC has a `set_max_fanout` statement and your liberty file has `default_fanout_load : 1`?\r\n\r\nbaseline:\r\n\r\n```\r\nStartpoint: reset_n (input port clocked by clk)\r\nEndpoint: _38075_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 10.00 10.00 ^ input external delay\r\n 0.19 0.07 10.07 ^ reset_n (in)\r\n 1 0.00 reset_n (net)\r\n 0.19 0.00 10.07 ^ input53/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.57 0.55 10.62 ^ input53/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 1 0.05 net98 (net)\r\n 0.57 0.00 10.62 ^ input10/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 0.84 0.68 11.30 ^ input10/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 86 0.72 net10 (net)\r\n 0.87 0.09 11.39 ^ repeater88/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 1.56 1.10 12.49 ^ repeater88/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 179 1.36 net88 (net)\r\n 1.58 0.10 12.59 ^ repeater87/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 2.09 1.39 13.98 ^ repeater87/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 236 1.87 net87 (net)\r\n 2.12 0.14 14.11 ^ repeater85/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 2.09 1.49 15.60 ^ repeater85/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 236 1.80 net85 (net)\r\n 2.11 0.12 15.72 ^ repeater84/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 1.62 1.19 16.91 ^ repeater84/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 185 1.40 net84 (net)\r\n 1.63 0.04 16.94 ^ repeater83/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 1.77 1.23 18.17 ^ repeater83/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\r\n 210 1.55 net83 (net)\r\n 1.90 0.28 18.45 ^ _38075_/RN (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 18.45 data arrival time\r\n\r\n 0.15 50.00 50.00 clock clk (rise edge)\r\n 0.00 50.00 clock network delay (ideal)\r\n -0.25 49.75 clock uncertainty\r\n 0.00 49.75 clock reconvergence pessimism\r\n 49.75 ^ _38075_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 0.00 49.75 library recovery time\r\n 49.75 data required time\r\n-----------------------------------------------------------------------------\r\n 49.75 data required time\r\n -18.45 data arrival time\r\n-----------------------------------------------------------------------------\r\n 31.30 slack (MET)\r\n```\r\n\r\nWith the fix:\r\n\r\n```\r\nStartpoint: reset_n (input port clocked by clk)\r\nEndpoint: _37688_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 10.00 10.00 ^ input external delay\r\n 0.16 0.05 10.05 ^ reset_n (in)\r\n 1 0.00 reset_n (net)\r\n 0.16 0.00 10.05 ^ input53/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.29 0.37 10.42 ^ input53/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 1 0.01 net98 (net)\r\n 0.29 0.00 10.42 ^ input10/I (gf180mcu_fd_sc_mcu7t5v0__buf_4)\r\n 0.16 0.29 10.72 ^ input10/Z (gf180mcu_fd_sc_mcu7t5v0__buf_4)\r\n 3 0.02 net10 (net)\r\n 0.16 0.00 10.72 ^ fanout611/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.61 0.58 11.30 ^ fanout611/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.02 net656 (net)\r\n 0.61 0.00 11.30 ^ fanout610/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.65 0.66 11.95 ^ fanout610/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 7 0.05 net655 (net)\r\n 0.65 0.00 11.95 ^ repeater88/I (gf180mcu_fd_sc_mcu7t5v0__buf_4)\r\n 0.14 0.31 12.27 ^ repeater88/Z (gf180mcu_fd_sc_mcu7t5v0__buf_4)\r\n 2 0.01 net88 (net)\r\n 0.14 0.00 12.27 ^ fanout535/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.56 0.54 12.80 ^ fanout535/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 4 0.02 net580 (net)\r\n 0.56 0.00 12.80 ^ fanout525/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.74 0.72 13.52 ^ fanout525/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.03 net570 (net)\r\n 0.74 0.00 13.52 ^ fanout524/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.69 0.70 14.21 ^ fanout524/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 8 0.06 net569 (net)\r\n 0.69 0.00 14.22 ^ repeater87/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.16 0.37 14.58 ^ repeater87/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 1 0.00 net87 (net)\r\n 0.16 0.00 14.58 ^ fanout412/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.76 0.67 15.25 ^ fanout412/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 5 0.03 net457 (net)\r\n 0.76 0.00 15.26 ^ fanout400/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.69 0.71 15.96 ^ fanout400/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.03 net445 (net)\r\n 0.69 0.00 15.96 ^ fanout399/I (gf180mcu_fd_sc_mcu7t5v0__buf_3)\r\n 0.59 0.69 16.66 ^ fanout399/Z (gf180mcu_fd_sc_mcu7t5v0__buf_3)\r\n 8 0.07 net444 (net)\r\n 0.59 0.00 16.66 ^ repeater85/I (gf180mcu_fd_sc_mcu7t5v0__buf_8)\r\n 0.13 0.30 16.97 ^ repeater85/Z (gf180mcu_fd_sc_mcu7t5v0__buf_8)\r\n 3 0.02 net85 (net)\r\n 0.13 0.00 16.97 ^ fanout262/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.52 0.51 17.48 ^ fanout262/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 5 0.02 net307 (net)\r\n 0.52 0.00 17.48 ^ fanout243/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.77 0.73 18.21 ^ fanout243/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 5 0.03 net288 (net)\r\n 0.77 0.00 18.21 ^ fanout239/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.69 0.70 18.91 ^ fanout239/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 8 0.06 net284 (net)\r\n 0.69 0.00 18.91 ^ repeater84/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.16 0.37 19.28 ^ repeater84/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 1 0.00 net84 (net)\r\n 0.16 0.00 19.28 ^ fanout191/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.69 0.62 19.90 ^ fanout191/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.03 net236 (net)\r\n 0.69 0.00 19.90 ^ fanout186/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.52 0.61 20.51 ^ fanout186/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 4 0.02 net231 (net)\r\n 0.52 0.00 20.51 ^ fanout182/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.50 0.56 21.06 ^ fanout182/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 6 0.04 net227 (net)\r\n 0.50 0.00 21.06 ^ fanout180/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.69 0.67 21.73 ^ fanout180/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 8 0.06 net225 (net)\r\n 0.69 0.00 21.73 ^ repeater83/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.18 0.38 22.11 ^ repeater83/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 1 0.00 net83 (net)\r\n 0.18 0.00 22.11 ^ fanout157/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\r\n 0.41 0.52 22.63 ^ fanout157/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\r\n 5 0.03 net202 (net)\r\n 0.41 0.00 22.64 ^ fanout132/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.55 0.58 23.22 ^ fanout132/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 4 0.02 net177 (net)\r\n 0.55 0.00 23.22 ^ fanout124/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.62 0.64 23.86 ^ fanout124/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.02 net169 (net)\r\n 0.62 0.00 23.86 ^ fanout120/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.71 0.70 24.56 ^ fanout120/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 8 0.06 net165 (net)\r\n 0.71 0.00 24.56 ^ fanout119/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 0.73 0.72 25.28 ^ fanout119/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\r\n 8 0.06 net164 (net)\r\n 0.73 0.00 25.28 ^ _37688_/RN (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 25.28 data arrival time\r\n\r\n 0.15 50.00 50.00 clock clk (rise edge)\r\n 0.00 50.00 clock network delay (ideal)\r\n -0.25 49.75 clock uncertainty\r\n 0.00 49.75 clock reconvergence pessimism\r\n 49.75 ^ _37688_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 0.33 50.08 library recovery time\r\n 50.08 data required time\r\n-----------------------------------------------------------------------------\r\n 50.08 data required time\r\n -25.28 data arrival time\r\n-----------------------------------------------------------------------------\r\n 24.80 slack (MET)\r\n```\r\n"}, {"assistant": "@antonblanchard What happens to the area/power with this change? Timing is met either way"}, {"assistant": "Anton,\n\nDid all these \u2026 did not help\n\n.LIB\n\n default_max_fanout : 1 ;\n default_fanout_load : 1 ;\n\n.SDC\n\nset_max_fanout 20 [current_design]\n\nLouii\n\n> On Oct 6, 2022, at 3:37 PM, Anton Blanchard ***@***.***> wrote:\n> \n> \n> Ethan, I tried setting default_max_fanout in .LIB \u2026 did not help.\n> \n> Works for me. Are you sure your SDC has a set_max_fanout statement and your liberty file has default_fanout_load : 1?\n> \n> baseline:\n> \n> Startpoint: reset_n (input port clocked by clk)\n> Endpoint: _38075_ (recovery check against rising-edge clock clk)\n> Path Group: **async_default**\n> Path Type: max\n> \n> Fanout Cap Slew Delay Time Description\n> -----------------------------------------------------------------------------\n> 0.15 0.00 0.00 clock clk (rise edge)\n> 0.00 0.00 clock network delay (ideal)\n> 10.00 10.00 ^ input external delay\n> 0.19 0.07 10.07 ^ reset_n (in)\n> 1 0.00 reset_n (net)\n> 0.19 0.00 10.07 ^ input53/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.57 0.55 10.62 ^ input53/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 1 0.05 net98 (net)\n> 0.57 0.00 10.62 ^ input10/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 0.84 0.68 11.30 ^ input10/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 86 0.72 net10 (net)\n> 0.87 0.09 11.39 ^ repeater88/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 1.56 1.10 12.49 ^ repeater88/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 179 1.36 net88 (net)\n> 1.58 0.10 12.59 ^ repeater87/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 2.09 1.39 13.98 ^ repeater87/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 236 1.87 net87 (net)\n> 2.12 0.14 14.11 ^ repeater85/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 2.09 1.49 15.60 ^ repeater85/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 236 1.80 net85 (net)\n> 2.11 0.12 15.72 ^ repeater84/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 1.62 1.19 16.91 ^ repeater84/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 185 1.40 net84 (net)\n> 1.63 0.04 16.94 ^ repeater83/I (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 1.77 1.23 18.17 ^ repeater83/Z (gf180mcu_fd_sc_mcu7t5v0__buf_20)\n> 210 1.55 net83 (net)\n> 1.90 0.28 18.45 ^ _38075_/RN (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\n> 18.45 data arrival time\n> \n> 0.15 50.00 50.00 clock clk (rise edge)\n> 0.00 50.00 clock network delay (ideal)\n> -0.25 49.75 clock uncertainty\n> 0.00 49.75 clock reconvergence pessimism\n> 49.75 ^ _38075_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\n> 0.00 49.75 library recovery time\n> 49.75 data required time\n> -----------------------------------------------------------------------------\n> 49.75 data required time\n> -18.45 data arrival time\n> -----------------------------------------------------------------------------\n> 31.30 slack (MET)\n> With the fix:\n> \n> Startpoint: reset_n (input port clocked by clk)\n> Endpoint: _37688_ (recovery check against rising-edge clock clk)\n> Path Group: **async_default**\n> Path Type: max\n> \n> Fanout Cap Slew Delay Time Description\n> -----------------------------------------------------------------------------\n> 0.15 0.00 0.00 clock clk (rise edge)\n> 0.00 0.00 clock network delay (ideal)\n> 10.00 10.00 ^ input external delay\n> 0.16 0.05 10.05 ^ reset_n (in)\n> 1 0.00 reset_n (net)\n> 0.16 0.00 10.05 ^ input53/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.29 0.37 10.42 ^ input53/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 1 0.01 net98 (net)\n> 0.29 0.00 10.42 ^ input10/I (gf180mcu_fd_sc_mcu7t5v0__buf_4)\n> 0.16 0.29 10.72 ^ input10/Z (gf180mcu_fd_sc_mcu7t5v0__buf_4)\n> 3 0.02 net10 (net)\n> 0.16 0.00 10.72 ^ fanout611/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 0.61 0.58 11.30 ^ fanout611/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 4 0.02 net656 (net)\n> 0.61 0.00 11.30 ^ fanout610/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.65 0.66 11.95 ^ fanout610/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 7 0.05 net655 (net)\n> 0.65 0.00 11.95 ^ repeater88/I (gf180mcu_fd_sc_mcu7t5v0__buf_4)\n> 0.14 0.31 12.27 ^ repeater88/Z (gf180mcu_fd_sc_mcu7t5v0__buf_4)\n> 2 0.01 net88 (net)\n> 0.14 0.00 12.27 ^ fanout535/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.56 0.54 12.80 ^ fanout535/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 4 0.02 net580 (net)\n> 0.56 0.00 12.80 ^ fanout525/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 0.74 0.72 13.52 ^ fanout525/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 4 0.03 net570 (net)\n> 0.74 0.00 13.52 ^ fanout524/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.69 0.70 14.21 ^ fanout524/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 8 0.06 net569 (net)\n> 0.69 0.00 14.22 ^ repeater87/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.16 0.37 14.58 ^ repeater87/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 1 0.00 net87 (net)\n> 0.16 0.00 14.58 ^ fanout412/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 0.76 0.67 15.25 ^ fanout412/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 5 0.03 net457 (net)\n> 0.76 0.00 15.26 ^ fanout400/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 0.69 0.71 15.96 ^ fanout400/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 4 0.03 net445 (net)\n> 0.69 0.00 15.96 ^ fanout399/I (gf180mcu_fd_sc_mcu7t5v0__buf_3)\n> 0.59 0.69 16.66 ^ fanout399/Z (gf180mcu_fd_sc_mcu7t5v0__buf_3)\n> 8 0.07 net444 (net)\n> 0.59 0.00 16.66 ^ repeater85/I (gf180mcu_fd_sc_mcu7t5v0__buf_8)\n> 0.13 0.30 16.97 ^ repeater85/Z (gf180mcu_fd_sc_mcu7t5v0__buf_8)\n> 3 0.02 net85 (net)\n> 0.13 0.00 16.97 ^ fanout262/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.52 0.51 17.48 ^ fanout262/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 5 0.02 net307 (net)\n> 0.52 0.00 17.48 ^ fanout243/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 0.77 0.73 18.21 ^ fanout243/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 5 0.03 net288 (net)\n> 0.77 0.00 18.21 ^ fanout239/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.69 0.70 18.91 ^ fanout239/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 8 0.06 net284 (net)\n> 0.69 0.00 18.91 ^ repeater84/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.16 0.37 19.28 ^ repeater84/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 1 0.00 net84 (net)\n> 0.16 0.00 19.28 ^ fanout191/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 0.69 0.62 19.90 ^ fanout191/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 4 0.03 net236 (net)\n> 0.69 0.00 19.90 ^ fanout186/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.52 0.61 20.51 ^ fanout186/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 4 0.02 net231 (net)\n> 0.52 0.00 20.51 ^ fanout182/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.50 0.56 21.06 ^ fanout182/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 6 0.04 net227 (net)\n> 0.50 0.00 21.06 ^ fanout180/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.69 0.67 21.73 ^ fanout180/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 8 0.06 net225 (net)\n> 0.69 0.00 21.73 ^ repeater83/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.18 0.38 22.11 ^ repeater83/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 1 0.00 net83 (net)\n> 0.18 0.00 22.11 ^ fanout157/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\n> 0.41 0.52 22.63 ^ fanout157/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\n> 5 0.03 net202 (net)\n> 0.41 0.00 22.64 ^ fanout132/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 0.55 0.58 23.22 ^ fanout132/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\n> 4 0.02 net177 (net)\n> 0.55 0.00 23.22 ^ fanout124/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 0.62 0.64 23.86 ^ fanout124/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\n> 4 0.02 net169 (net)\n> 0.62 0.00 23.86 ^ fanout120/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.71 0.70 24.56 ^ fanout120/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 8 0.06 net165 (net)\n> 0.71 0.00 24.56 ^ fanout119/I (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 0.73 0.72 25.28 ^ fanout119/Z (gf180mcu_fd_sc_mcu7t5v0__buf_2)\n> 8 0.06 net164 (net)\n> 0.73 0.00 25.28 ^ _37688_/RN (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\n> 25.28 data arrival time\n> \n> 0.15 50.00 50.00 clock clk (rise edge)\n> 0.00 50.00 clock network delay (ideal)\n> -0.25 49.75 clock uncertainty\n> 0.00 49.75 clock reconvergence pessimism\n> 49.75 ^ _37688_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\n> 0.33 50.08 library recovery time\n> 50.08 data required time\n> -----------------------------------------------------------------------------\n> 50.08 data required time\n> -25.28 data arrival time\n> -----------------------------------------------------------------------------\n> Are you sure you are setting\n> \n> \u2014\n> Reply to this email directly, view it on GitHub , or unsubscribe .\n> You are receiving this because you were mentioned.\n> \n\n"}, {"assistant": "> @antonblanchard What happens to the area/power with this change? Timing is met either way\r\n\r\nI was investigating why the design specified a max fanout, but OpenROAD ignored it. No idea what it does for area/performance.\r\n\r\n@vijayank88 what is the issue here? As @maliberty mentions the design makes timing."}, {"user": "with gf180 there are slew violations post routing. So just back traced to placement and found fanout issue. so raised this.\r\n`max slew violation = 132`\r\n`max cap violation = 32`\r\n"}, {"user": "@antonblanchard \r\nI have updated liberty file as follows:\r\n```\r\nslew_upper_threshold_pct_fall : 70 ; \r\n slew_upper_threshold_pct_rise : 70 ; \r\n default_max_fanout : 1.0000000000 ;\r\n default_fanout_load : 1.0000000000 ;\r\n nom_process : 1 ; \r\n```\r\nStill having same fanout issue. Can you share snippet where to update as resolves fanout issue at your end?"}, {"assistant": "@vijayank88 can you be more specific when you talk about \"fanout issue\"? Do you mean you have slew and capacitance violations when measured after global placement, or do you mean that the SDC fanout constraint is not being obeyed?\r\n\r\nI see 0 slew and capacitance violations using your original test case, but I did have to convert your old odb by writing out a def on an old checkout of openroad and reading the def and writing the odb on a more recent one. Perhaps something critical in the odb was lost in the process.\r\n\r\nThe version of OpenROAD you are using looks to be pretty ancient, can you please recreate on a recent check out?"}, {"user": "@antonblanchard \r\nIssue is sdc fanout constraint not obeyed. \r\nLatest test case: OpenROAD commit : `bf529ad4a22a876d5100e5f3076f151d01dae67c`\r\n[issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9731376/issue_reproducible.zip)\r\n"}, {"assistant": "> @antonblanchard Issue is sdc fanout constraint not obeyed.\r\n\r\nI took your most recent zip, made the following change:\r\n\r\n```\r\n--- ./tmp/synthesis/resizer_gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib~\t2022-10-07 20:23:36.805561983 +1100\r\n+++ ./tmp/synthesis/resizer_gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib\t2022-10-07 20:23:58.070617591 +1100\r\n@@ -23,6 +23,7 @@\r\n capacitive_load_unit(1, pf);\r\n pulling_resistance_unit : 1ohm ; \r\n leakage_power_unit : 1uW ; \r\n+ default_fanout_load: 1;\r\n input_threshold_pct_fall : 50 ; \r\n input_threshold_pct_rise : 50 ; \r\n output_threshold_pct_fall : 50 ; \r\n```\r\n\r\nAnd I see it work as expected:\r\n\r\n```Startpoint: reset_n (input port clocked by clk)\r\nEndpoint: _38616_ (recovery check against rising-edge clock clk)\r\nPath Group: **async_default**\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.15 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 10.00 10.00 ^ input external delay\r\n 0.16 0.05 10.05 ^ reset_n (in)\r\n 1 0.00 reset_n (net)\r\n 0.16 0.00 10.05 ^ input10/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.25 0.34 10.40 ^ input10/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 1 0.01 net10 (net)\r\n 0.25 0.00 10.40 ^ fanout546/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\r\n 0.45 0.56 10.96 ^ fanout546/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\r\n 5 0.03 net546 (net)\r\n 0.45 0.01 10.97 ^ fanout388/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.69 0.67 11.64 ^ fanout388/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.03 net388 (net)\r\n 0.69 0.00 11.64 ^ fanout387/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\r\n 0.45 0.65 12.29 ^ fanout387/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_2)\r\n 5 0.03 net387 (net)\r\n 0.45 0.00 12.29 ^ fanout349/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.50 0.56 12.85 ^ fanout349/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 4 0.02 net349 (net)\r\n 0.50 0.00 12.85 ^ fanout348/I (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 0.52 0.58 13.43 ^ fanout348/Z (gf180mcu_fd_sc_mcu7t5v0__clkbuf_1)\r\n 4 0.02 net348 (net)\r\n 0.52 0.00 13.43 ^ fanout347/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.63 0.65 14.07 ^ fanout347/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.02 net347 (net)\r\n 0.63 0.00 14.07 ^ fanout345/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.75 0.73 14.80 ^ fanout345/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 5 0.03 net345 (net)\r\n 0.75 0.00 14.80 ^ fanout343/I (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 0.78 0.74 15.55 ^ fanout343/Z (gf180mcu_fd_sc_mcu7t5v0__buf_1)\r\n 4 0.03 net343 (net)\r\n 0.78 0.00 15.55 ^ _38616_/RN (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 15.55 data arrival time\r\n\r\n 0.15 50.00 50.00 clock clk (rise edge)\r\n 0.00 50.00 clock network delay (ideal)\r\n -0.25 49.75 clock uncertainty\r\n 0.00 49.75 clock reconvergence pessimism\r\n 49.75 ^ _38616_/CLK (gf180mcu_fd_sc_mcu7t5v0__dffrnq_1)\r\n 0.32 50.07 library recovery time\r\n 50.07 data required time\r\n-----------------------------------------------------------------------------\r\n 50.07 data required time\r\n -15.55 data arrival time\r\n-----------------------------------------------------------------------------\r\n 34.53 slack (MET)\r\n```\r\n"}, {"user": "@antonblanchard \r\nThanks. By updating `default_fanout_load : 1.0000000000 ;` into `liberty` files resolved fanout issue.\r\nCan you please once verify is any other parameters to be updated in `.lib` files of GF180 PDK?\r\nWe can request @proppy to update this in PDK."}, {"assistant": "@vijayank88 @louiic @QuantamHD all confused default_max_fanout with default_fanout_load.\r\nContrary to common sense, fanout is defined by the liberty pin attribute fanout_load, which defaults to\r\nthe library attribute default_fanout_load, NOT the connections in the netlist.\r\nIf default_fanout_load is 0, the pin is not counted in the fanout of the driver and there can never be fanout violations. We went through this same nonsense with sky130.\r\nAs a result of that, opensta warns about this when reading the liberty, but of course no one actually looks at the warnings.\r\n\r\n@louiic @vijayank88 it would never make any sense to set default_max_fanout to 1 in the liberty.\r\nThat would constrain all gates to only have one load."}, {"assistant": "@jjcherry56 What modification to the liberty file would you suggest?"}, {"assistant": "The only change required is fixing default_fanout_load to 1"}, {"assistant": "I created https://github.com/google/gf180mcu-pdk/issues/81"}, {"assistant": "Can we validate that this is fixed with the upstream changes?"}, {"user": "@QuantamHD With latest PDK this issue got resolved."}]} +{"num": 2343, "messages": [{"user": "Running `./test/regression par` in Ubuntu 22.04 with gcc version 11.2.0 causes the following tests to fail. Meanwhile, they pass on Ubuntu 20 with gcc version 9.4.0 and on Centos 7 with gcc version 4.8.5. \r\n\r\n```\r\npartition (tcl) *FAIL* \r\nchange_eval_method (tcl) *FAIL*\r\nverilog_write (tcl) *FAIL*\r\nreport_netlist_partitions (tcl) *FAIL*\r\ncluster (tcl) *FAIL*\r\n```\r\n\r\ndiffs file\r\n```\r\n*** ~/OpenROAD/src/par/test/partition.ok\t2022-10-04 08:42:59.098020854 +0000\r\n--- ~/OpenROAD/src/par/test/results/partition-tcl.log\t2022-10-07 21:24:00.706236225 +0000\r\n***************\r\n*** 8,11 ****\r\n [INFO PAR-0060] [MLPart] Run completed. Partition ID = 0. Total runs = 1.\r\n Writing partition id's to DB.\r\n Writing done.\r\n! No differences found.\r\n--- 8,13 ----\r\n [INFO PAR-0060] [MLPart] Run completed. Partition ID = 0. Total runs = 1.\r\n Writing partition id's to DB.\r\n Writing done.\r\n! Differences found at line 1.\r\n! _397_ 0\r\n! _397_ 1\r\n*** ~/OpenROAD/src/par/test/change_eval_method.ok\t2022-10-04 08:42:59.098020854 +0000\r\n--- ~/OpenROAD/src/par/test/results/change_eval_method-tcl.log\t2022-10-07 21:24:01.322295143 +0000\r\n***************\r\n*** 20,35 ****\r\n [INFO PAR-0059] [MLPart] Partitioned graph for seed 1914837114.\r\n [INFO PAR-0060] [MLPart] Run completed. Partition ID = 1. Total runs = 2.\r\n [INFO PAR-0006] Partitioning Results for ID = 1 and Tool = mlpart.\r\n! [INFO PAR-0007] Best results used seed 1914837114.\r\n! [INFO PAR-0008] Number of Hyperedge Cuts = 53.\r\n! [INFO PAR-0009] Number of Terminals = 116.\r\n! [INFO PAR-0010] Cluster Size SD = 63.215405559088204.\r\n! [INFO PAR-0011] Cluster Area SD = 756.9479423976262.\r\n! [INFO PAR-0012] Total Hop Weight = 5300.\r\n [INFO PAR-0006] Partitioning Results for ID = 0 and Tool = mlpart.\r\n! [INFO PAR-0007] Best results used seed -1731515372.\r\n! [INFO PAR-0008] Number of Hyperedge Cuts = 52.\r\n! [INFO PAR-0009] Number of Terminals = 113.\r\n! [INFO PAR-0010] Cluster Size SD = 62.31121488143206.\r\n! [INFO PAR-0011] Cluster Area SD = 804.9718550980525.\r\n! [INFO PAR-0012] Total Hop Weight = 5200.\r\n--- 20,35 ----\r\n [INFO PAR-0059] [MLPart] Partitioned graph for seed 1914837114.\r\n [INFO PAR-0060] [MLPart] Run completed. Partition ID = 1. Total runs = 2.\r\n [INFO PAR-0006] Partitioning Results for ID = 1 and Tool = mlpart.\r\n! [INFO PAR-0007] Best results used seed -289663928.\r\n! [INFO PAR-0008] Number of Hyperedge Cuts = 54.\r\n! [INFO PAR-0009] Number of Terminals = 117.\r\n! [INFO PAR-0010] Cluster Size SD = 62.72708745031926.\r\n! [INFO PAR-0011] Cluster Area SD = 753.8910315821512.\r\n! [INFO PAR-0012] Total Hop Weight = 5400.\r\n [INFO PAR-0006] Partitioning Results for ID = 0 and Tool = mlpart.\r\n! [INFO PAR-0007] Best results used seed 1608637542.\r\n! [INFO PAR-0008] Number of Hyperedge Cuts = 51.\r\n! [INFO PAR-0009] Number of Terminals = 110.\r\n! [INFO PAR-0010] Cluster Size SD = 61.82384248815339.\r\n! [INFO PAR-0011] Cluster Area SD = 760.8601629077448.\r\n! [INFO PAR-0012] Total Hop Weight = 5100.\r\n*** ~/OpenROAD/src/par/test/verilog_write.ok\t2022-10-04 08:42:59.098020854 +0000\r\n--- ~/OpenROAD/src/par/test/results/verilog_write-tcl.log\t2022-10-07 21:24:01.846345262 +0000\r\n***************\r\n*** 9,12 ****\r\n Writing partition id's to DB.\r\n Writing done.\r\n Writing partition to verilog.\r\n! No differences found.\r\n--- 9,14 ----\r\n Writing partition id's to DB.\r\n Writing done.\r\n Writing partition to verilog.\r\n! Differences found at line 19.\r\n! wire partition__104_;\r\n! wire partition__136_;\r\n*** ~/OpenROAD/src/par/test/report_netlist_partitions.ok\t2022-10-04 08:42:59.098020854 +0000\r\n--- ~/OpenROAD/src/par/test/results/report_netlist_partitions-tcl.log\t2022-10-07 21:24:02.366394998 +0000\r\n***************\r\n*** 7,14 ****\r\n [INFO PAR-0059] [MLPart] Partitioned graph for seed 1608637542.\r\n [INFO PAR-0060] [MLPart] Run completed. Partition ID = 0. Total runs = 1.\r\n [INFO PAR-0019] The netlist has 4 partitions.\r\n! [INFO PAR-0020] Partition 0 has 129 vertices.\r\n! [INFO PAR-0020] Partition 1 has 11 vertices.\r\n! [INFO PAR-0020] Partition 2 has 95 vertices.\r\n! [INFO PAR-0020] Partition 3 has 180 vertices.\r\n [INFO PAR-0021] The total number of vertices is 415.\r\n--- 7,14 ----\r\n [INFO PAR-0059] [MLPart] Partitioned graph for seed 1608637542.\r\n [INFO PAR-0060] [MLPart] Run completed. Partition ID = 0. Total runs = 1.\r\n [INFO PAR-0019] The netlist has 4 partitions.\r\n! [INFO PAR-0020] Partition 0 has 118 vertices.\r\n! [INFO PAR-0020] Partition 1 has 194 vertices.\r\n! [INFO PAR-0020] Partition 2 has 93 vertices.\r\n! [INFO PAR-0020] Partition 3 has 10 vertices.\r\n [INFO PAR-0021] The total number of vertices is 415.\r\n*** ~/OpenROAD/src/par/test/cluster.ok\t2022-10-04 08:42:59.098020854 +0000\r\n--- ~/OpenROAD/src/par/test/results/cluster-tcl.log\t2022-10-07 21:24:03.390492940 +0000\r\n***************\r\n*** 7,10 ****\r\n [INFO PAR-0064] [MLPart] Run completed. Cluster ID = 0.\r\n Writing cluster id's to DB.\r\n Writing done.\r\n! No differences found.\r\n--- 7,12 ----\r\n [INFO PAR-0064] [MLPart] Run completed. Cluster ID = 0.\r\n Writing cluster id's to DB.\r\n Writing done.\r\n! Differences found at line 1.\r\n! _397_ 68\r\n! _397_ 69\r\n```\r\n\r\n@maliberty"}, {"assistant": "This is going to be painful. Where is there an ubuntu 22 machine that I can try this on?"}, {"assistant": "You should be able to create one in Google Cloud "}, {"user": "> This is going to be painful. Where is there an ubuntu 22 machine that I can try this on?\r\n\r\n@maliberty there is a machine on GCP named \"test-vm\" I created recently that is ubuntu 22 based"}, {"assistant": "MLpart is hard to debug legacy code and after several hours I don't see a real path to convergence. We are planning to replace it with TritonPart so I don't think it is worth the time to sort this out. I suggest we just disable this test and move on."}, {"assistant": "@habibayassin \r\nCan you test again with latest build and update the status?"}, {"assistant": "regression unit test seems updated. Nothing fails for `par` with latest master.\r\n```\r\n./test/regression par\r\n------------------------------------------------------\r\npar\r\nread_part (tcl) pass\r\npartition_gcd (tcl) pass\r\npartition_gcd (py) pass\r\n------------------------------------------------------\r\nPassed 2\r\nSee /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/src/par/test/results for log files\r\n------------------------------------------------------\r\nPassed all tool regressions.\r\n```"}]} +{"num": 2346, "messages": [{"user": "OpenLane CI failures for latest OpenROAD updates: https://github.com/The-OpenROAD-Project/OpenLane/pull/1303\r\n\r\nOpenROAD Commit: `OpenROAD v2.0-5170-gd919ca2d4 `\r\nTest case:\r\n[issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9738869/issue_reproducible.zip)\r\n\r\n\r\nLogs:\r\n```\r\n[INFO GRT-0015] Inserted 5 diodes.\r\n[INFO GRT-0009] rerouting 139 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 11\r\n[INFO GRT-0006] Repairing antennas, iteration 2.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 1 antenna violations.\r\n[INFO GRT-0015] Inserted 1 diodes.\r\n[INFO GRT-0009] rerouting 12 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 11\r\n[ERROR GRT-0228] Horizontal edge usage exceeds the maximum allowed.\r\nError: groute.tcl, 41 GRT-0228\r\nwhile evaluating source run.tcl\r\n```"}, {"user": "As per log if I change the `DIODE_INSERTION_STRATEGY` flow works fine and completes global routing stage.\r\n"}, {"assistant": "I'm testing a fix now."}, {"assistant": "Apparently my fix takes care of the one design I looked at but there are still others that have issues..."}, {"user": "@maliberty \r\nThanks. Let me know if you need test case for other issues."}, {"assistant": "Thanks but I extracted another myself"}, {"assistant": "@luis201420 you can ignore the reproducer here and use the one I sent you for y_huff in slack"}, {"assistant": "@vijayank88 is this still relevant?"}, {"user": "resolved."}]} +{"num": 2349, "messages": [{"user": "\r\nThere is a secondary power net VREG which is defined for a voltage domain. There are some instances that are placed in the voltage domain. The VREG net does not get routed to the instances even though their pins are defined as POWER in their respective lef files.\r\n Here are some screenshots for the same :\r\n![vreg_not_connected](https://user-images.githubusercontent.com/61928883/194874017-ddd73b80-23a3-4bcf-b3a4-9bb5efc9fba9.png)\r\n\r\n![vreg_region](https://user-images.githubusercontent.com/61928883/194874026-4ac92833-ca2a-431c-aa6f-42caa62cfd5b.png)\r\n\r\n**To reproduce the case:**\r\nDownload \r\n[reproduce_case_routing.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9746197/reproduce_case_routing.tar.gz)\r\n\r\n\r\n"}, {"assistant": "@arlpetergadfort thoughts?"}, {"assistant": "@maliberty PDNgen doesn't route to instances (Except for blocks and pads). It's not clear what the expectation is here. This seems similar to the question about the point-to-point routing."}, {"assistant": "@arlpetergadfort shouldn't there be rails inside the domain that these instances are connected to?"}, {"assistant": "There is for the VDD and VSS, the secondary nets, do not get rails."}, {"assistant": "Yes, this sounds like the same feature request as #2209."}]} +{"num": 2350, "messages": [{"user": "Hello,\r\n\r\nplease take this issue as question only.\r\n\r\nI would be curious what is the status of OpenRoad when it comes to DFT, is there a plan to eventually support\r\nthings like scan chain insertion, scan compression, ATPG(SAF, TF), Fault grading, etc?\r\n\r\nI have searched for some open-source solutions, but it seems to me there is nothing practically usable."}, {"assistant": "There is currently no plan but we would be glad to have submissions. The only OSS tool I know about is https://github.com/AUCOHL/Fault with the open OL issue https://github.com/The-OpenROAD-Project/OpenLane/issues/966 to integrate it."}, {"assistant": "We link in the program [ABC](https://github.com/berkeley-abc/abc) which has commands for ATPG, so there are some tools that you could use to integrate."}, {"assistant": "I think @fgaray has done work recently on this:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/commits?author=fgaray"}, {"assistant": "Hi @Blebowski ,\r\n\r\nAs @proppy said, recently I started working on scan chain insertion, you can try some commands like preview_dft or insert_dft. Check the readme here: https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/dft\r\n\r\nJust be aware that this is in a early state and we don't support any optimizations or scan compression, just basic scan chain creation with the option of selecting the clock mixing."}]} +{"num": 2354, "messages": [{"user": "While running some global placement experiments I noticed `repair_design` didn't fix all slew violations. To reproduce you need the following change to OpenROAD, which just reduces the percentage of nets that are optimised for timing from 10% to 1% (I wasn't easily able to create a reproducer without it unfortunately):\r\n\r\n```\r\ndiff --git a/src/rsz/src/Resizer.cc b/src/rsz/src/Resizer.cc\r\nindex f1d7d8e87..2efe7273d 100644\r\n--- a/src/rsz/src/Resizer.cc\r\n+++ b/src/rsz/src/Resizer.cc\r\n@@ -934,7 +934,7 @@ Resizer::findResizeSlacks1()\r\n }\r\n \r\n // Find the nets with the worst slack.\r\n- double worst_percent = .1;\r\n+ double worst_percent = .01;\r\n // sort(nets.begin(), nets.end(). [&](const Net *net1,\r\n sort(nets, [this](const Net *net1,\r\n const Net *net2)\r\n```\r\n\r\n`repair_design` completes without error:\r\n\r\n```\r\n[INFO RSZ-0027] Inserted 19 input buffers.\r\n[INFO RSZ-0028] Inserted 26 output buffers.\r\n[INFO RSZ-0058] Using max wire length 162um.\r\n[INFO RSZ-0034] Found 5889 slew violations.\r\n[INFO RSZ-0036] Found 19 capacitance violations.\r\n[INFO RSZ-0037] Found 18 long wires.\r\n[INFO RSZ-0038] Inserted 455 buffers in 5890 nets.\r\n[INFO RSZ-0039] Resized 6199 instances.\r\n```\r\n\r\nBut there are slew violations:\r\n\r\n```\r\nmax slew\r\n\r\nPin Limit Slew Slack\r\n------------------------------------------------------------\r\n_077131_/A 320.00 332.36 -12.36 (VIOLATED)\r\n_077262_/A 320.00 332.14 -12.14 (VIOLATED)\r\n_078465_/A2 320.00 331.92 -11.92 (VIOLATED)\r\n_077651_/A2 320.00 331.56 -11.56 (VIOLATED)\r\n_077769_/A2 320.00 331.19 -11.19 (VIOLATED)\r\n_077991_/A2 320.00 331.12 -11.12 (VIOLATED)\r\n_077794_/A1 320.00 331.05 -11.05 (VIOLATED)\r\n_077034_/A 320.00 330.69 -10.69 (VIOLATED)\r\n_078402_/A1 320.00 330.49 -10.49 (VIOLATED)\r\n_077041_/A 320.00 329.44 -9.44 (VIOLATED)\r\n_077145_/A1 320.00 329.29 -9.29 (VIOLATED)\r\n_078419_/A1 320.00 329.07 -9.07 (VIOLATED)\r\n_078005_/A2 320.00 328.23 -8.23 (VIOLATED)\r\n_077424_/A 320.00 328.23 -8.23 (VIOLATED)\r\n_077027_/A 320.00 328.14 -8.14 (VIOLATED)\r\n_077294_/A 320.00 327.60 -7.60 (VIOLATED)\r\n_076882_/A 320.00 327.48 -7.48 (VIOLATED)\r\n_076804_/B 320.00 326.16 -6.16 (VIOLATED)\r\n_077668_/A1 320.00 323.80 -3.80 (VIOLATED)\r\n_077363_/A 320.00 323.76 -3.76 (VIOLATED)\r\n_077116_/B 320.00 323.51 -3.51 (VIOLATED)\r\n_077788_/A 320.00 320.38 -0.38 (VIOLATED)\r\n_077278_/A 320.00 320.32 -0.32 (VIOLATED)\r\n_077717_/A2 320.00 320.25 -0.25 (VIOLATED)\r\n_076790_/B 320.00 320.16 -0.16 (VIOLATED)\r\n```\r\n\r\nAll of these are on the same net:\r\n\r\n```\r\n - net2587 ( max_length2587 Y ) ( _077241_ A2 ) ( _076802_ A ) ( _076890_ A2 ) ( _077668_ A1 ) ( _077363_ A ) ( _077294_ A )\r\n ( _076882_ A ) ( _077041_ A ) ( _077145_ A1 ) ( _078465_ A2 ) ( _077262_ A ) ( _077131_ A ) ( _077651_ A2 ) ( _077769_ A2 )\r\n ( _077991_ A2 ) ( _077794_ A1 ) ( _077034_ A ) ( _078402_ A1 ) ( _078419_ A1 ) ( _078005_ A2 ) ( _077424_ A ) ( _077027_ A )\r\n ( _076804_ B ) ( _077116_ B ) ( _076790_ B ) ( _107777_ B ) ( _077788_ A ) ( _077278_ A ) ( _077717_ A2 ) ( _077985_ A2 )\r\n ( _077357_ A ) ( _077288_ A ) ( _078326_ A ) ( _076896_ A ) ( _077061_ A ) ( _077018_ A1 ) ( _077245_ A ) ( _078343_ A1 )\r\n ( _107775_ B ) ( _107774_ B ) ( _077411_ B ) ( _077409_ B ) ( _107779_ B ) ( _077412_ A1 ) ( _077390_ B ) ( _076864_ B )\r\n ( _077393_ B ) ( _078494_ A1 ) + USE SIGNAL ;\r\n```\r\n\r\nSince this net is driven by `max_length2587` I presume max wire length repair was responsible for the slew violations.\r\n\r\nTest case: [repair_design_slew.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9749690/repair_design_slew.tar.gz)\r\n"}, {"assistant": "I cannot reproduce this. I get different results from you using OR\r\ncommit 64a40a83a Merge pull request #2351 from\r\nThe-OpenROAD-Project-staging/gui-fanout on macos and linux (and those\r\nresults do not agree with each other). This is not surprising, I see\r\nthis all the time and you did not state what OR commit shows the\r\nproblem. If you write the def or db after global placement it may be\r\npossible to reproduce it because the variation may in the gpl results.\r\n"}, {"user": "@jjcherry56 You're right, I should have just saved the def post global placement. I retested on 93f69d5c5922 with an updated test case and it reproduced:\r\n\r\n[repair_design_slew-2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9759506/repair_design_slew-2.tar.gz)\r\n"}, {"assistant": "Thanks, I can reproduce it now"}, {"assistant": "fixed in OR e589eac58"}]} +{"num": 2361, "messages": [{"user": "@antonblanchard just released the vlsiffra project. See the tweet @ https://twitter.com/antonblanchard/status/1580154261962657792\u00a0\r\n\r\n> Introducing\u00a0https://github.com/antonblanchard/vlsiffra/\u00a0- a\u00a0generator\u00a0of fast and efficient standard cell adders, multipliers and multiply-adders. Written in Amaranth HDL, formally verified with #Yosys. #Verilator verification too With @OpenROAD_EDA + ASAP7 7nm a 32bit 3 cycle multiplier hits 2.7GHz\r\n\r\nSome interesting aspects;\r\n * vlsiffra is written in the Amaranth HDL language which allows it to be very configurable, including\r\n * vlsiffra currently supports the SkyWater sky130hd, GlobalFoundries GF180MCU and ASAP7 PDKs and standard cell libraries.\r\n * vlsiffra only requires a few standard cells (full and half adders, 2 input xor, 2 input and, inverter as well as a couple of more complicated cells (ao21, ao22, ao33)\r\n\r\nIt seems like some of the output of this tool would make excellent OpenROAD regression tests / benchmarks."}, {"user": "See associated issue on the vlsiffra report @ https://github.com/antonblanchard/vlsiffra/issues/15"}]} +{"num": 2377, "messages": [{"user": "I'm running tests with `pin_access` called before `global_routing`. I notice a number of short nets have significantly higher resistances. In this case (`_03345_`) grt estimates 88 ohms but after detailed routing it is 41 ohms. Capacitance is also off by an order of magnitude.\r\n\r\nLooking at the debug:\r\n\r\n```\r\n[DEBUG GRT-0001] net _03345_\r\n(33.21, 64.53) 1 -> (33.21, 64.53) 2 l=0.00\r\n[DEBUG GRT-0001] _03345_:0 -> _25665_/B (33.44, 64.59) 0.30u layer=2 r=0.009 via_res=0.017 c=0.043\r\n[DEBUG GRT-0001] pin _25665_/B -> to grid 0u layer=2 r=0.009 via_res=0.017 c=0.043\r\n[DEBUG GRT-0001] _03345_:0 -> _25664_/Y (32.20, 64.56) 1.03u layer=2 r=0.030 via_res=0.017 c=0.151\r\n[DEBUG GRT-0001] pin _25664_/Y -> to grid 1u layer=2 r=0.030 via_res=0.017 c=0.151\r\n Pi model c2=8.68e-17 rpi=72.1 c1=2.29e-15 max_r=73.6\r\n Pi model c2=8.68e-17 rpi=72.1 c1=2.29e-15 max_r=73.6\r\n```\r\n\r\nThe yellow dots show the points grt is using. It seems it always selects the bottom left position (I guess the first valid position?):\r\n\r\n![grt-pin-access-2](https://user-images.githubusercontent.com/2029971/196056067-fe1b5302-7e2e-4d5c-a7c1-3de4c7c5eb54.png)\r\n\r\nWould it be possible to choose a better access point so grt can make better estimates (eg the green point)? I presume drt is doing that pretty early on."}, {"user": "The issue is with `_25664_/Y`, and if I'm reading this right, pin access did choose a location on the right of the cell:\r\n\r\n```\r\n gcclean2via _25664_ A VIA23 189 81 MX\r\n gcclean2via _25664_ C VIA12 549 117 MX\r\n gcclean2via _25664_ B VIA12 729 117 MX\r\n gcclean2via _25664_ Y VIA12 1053 45 MX\r\n```\r\n\r\nIt looks like the issue is GRT is using pin access, but the GRT parasitics are not."}, {"assistant": "I think you are putting to much focus on very small nets that have very little impact on timing. The percentage error sounds impressive but the absolute values are trivial. I'll look at this if you want but I suspect it has little to do with the aps. You'll need to provide a test case."}, {"user": "> I think you are putting to much focus on very small nets that have very little impact on timing. The percentage error sounds impressive but the absolute values are trivial. I'll look at this if you want but I suspect it has little to do with the aps. You'll need to provide a test case.\r\n\r\nThat's fair. At a high level I'm trying to reduce the differences I see in STA between grt and drt. I am still concerned that these small nets are contributing to errors when calculating our RC parasitic estimates (ie ORFS `util/correlateRC.py`), but I'm yet to prove if it is."}, {"assistant": "I would start with the nets having the biggest absolute difference in delay and examine them first."}, {"user": "Fix has been merged, closing"}]} +{"num": 2382, "messages": [{"user": "When I read in lefs from the open source Ariane RISC-V design, I am getting issues like:\r\n\r\n[WARNING ODB-0176] error: undefined layer (metal1) referenced\r\n[WARNING ODB-0186] macro XOR2_X2 references unknown site FreePDK45_38x28_10R_NP_162NW_34O\r\n\r\nLooking at the lef files, layers that cannot be found when reading in do exist, so I'm not sure why they are causing problems. These issues cause the lef to be read in only partially, leaving out some of the design. I am wondering if there is anything I can do to remedy these reading errors."}, {"assistant": "Could you provide a link to the tech lef you are using?"}, {"assistant": "Please package this as a standalone test case (lefs & tcl script) and upload it."}, {"assistant": "@maliberty I can take a look once the test case is available. "}, {"user": "Sorry for the late reply, where should I upload the files?"}, {"assistant": "You can upload to this issue if the data is public."}, {"user": "Here are the lef files I am trying to read in:\r\n[lefs.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9882416/lefs.zip)"}, {"assistant": "@gbrown40 \r\nMay I know which OpenROAD version used? \r\n`openroad --version`\r\n\r\nAble to read all the lef files.\r\n```\r\nopenroad> read_lef NangateOpenCellLibrary.tech.lef \r\n[INFO ODB-0222] Reading LEF file: NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: NangateOpenCellLibrary.tech.lef\r\nopenroad> read_lef NangateOpenCellLibrary.macro.mod.lef \r\n[INFO ODB-0222] Reading LEF file: NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0225] Created 135 library cells\r\n[INFO ODB-0226] Finished LEF file: NangateOpenCellLibrary.macro.mod.lef\r\nopenroad> read_lef fakeram45_256x16.lef \r\n[INFO ODB-0222] Reading LEF file: fakeram45_256x16.lef\r\n[INFO ODB-0225] Created 1 library cells\r\n[INFO ODB-0226] Finished LEF file: fakeram45_256x16.lef\r\n```"}, {"user": "@vijayank88 \r\nVersion: OpenROAD v2.0-4568-g49fdec96d \r\nI have been using the python API (OpenROAD --python) to read in the lefs, which is where I get the errors. I just tried reading them in the way that you did, though, and I didn't get any errors."}, {"assistant": "I'm not used python API. But you're using 2 months old commit. https://github.com/The-OpenROAD-Project/OpenROAD/search?q=49fdec96d&type=commits . Update OpenROAD and test it.\r\n\r\nPlease provide the scripts used to read the lef file using python script."}, {"assistant": "No activity - please reopen if still relevant and a test case can be provided."}]} +{"num": 2383, "messages": [{"user": "Buffer cells does not connect to power rails.\r\n\r\n**Here's the screenshot :**\r\n![std_buf](https://user-images.githubusercontent.com/61928883/196748347-79432a1b-3379-46fc-8181-ba303e76e290.png)\r\n\r\nI saw a similar issue while running gcd design as well."}, {"user": "I tried uploading file to reproduce the issue but I don't know for some reason it's not uploading.\r\n"}, {"assistant": "Only certain file types are allowed by GH so perhaps that is your issue. Do you have a global_connect statement to connect these instances?"}, {"user": "Yes. I have used global_connect statement.\r\nHere's the file to reproduce this case:\r\n[detail_route_ldo_sky130hvl_base_2022-10-20_10-22.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9826400/detail_route_ldo_sky130hvl_base_2022-10-20_10-22.tar.gz)\r\n\r\n"}, {"assistant": "It appears that you need to run your global connect statements again as the instance in question must have been created afterwards.\r\n\r\n@arlpetergadfort does you recent change in odb provide a way to do this from the db or do the commands need to be run again?"}, {"assistant": "@maliberty if the flow is fully in ODB, then yes, the rules are saves in the database and they can be rerun at anytime with `global_connect`."}, {"assistant": "@lulu9312 what flow are you using? ORFS? OL? other? "}, {"user": "@maliberty I am using ORFS flow. I have added global_connect statement before grobal route, now the cells are connected to power.\r\n\r\n![buf_correct](https://user-images.githubusercontent.com/61928883/197778945-8cb8dd1b-6ed4-4fb6-adf2-8b6bce110998.png)\r\n"}, {"assistant": "I've added it to the end of the flow by default in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/625"}]} +{"num": 2386, "messages": [{"user": "**Describe the bug**\r\nNew versions of FMTLib no longer allow formatting of user defined types. \r\n\r\n**Expected Behavior**\r\nOpenROAD should compile with newer versions of fmtlib. We should add a CI check to make sure that OpenROAD compiles with new versions of fmtlib so that we don't introduce more cases like this.\r\n\r\n**Environment**\r\n\r\nDebian Testing\r\n"}, {"assistant": "I think fmt has been horrible broken by their new changes. See https://github.com/fmtlib/fmt/issues/3088 (and https://github.com/fmtlib/fmt/issues/3081)."}, {"assistant": "I got it to build with new versions through the provided workaround. I added `add_compile_definitions(FMT_DEPRECATED_OSTREAM)` to CMakeLists.txt of fmtlib, built and `make install`ed it, then I also built spdgen and OpenROAD from source."}, {"assistant": "Is it necessary to recompile fmtlib in order to use FMT_DEPRECATED_OSTREAM? Can you just add it to OR?"}, {"assistant": "Yes, it is necessary. It's behind an `#ifdef` directive, so it needs to be set at fmtlib compilation. It only applies to new versions of fmtlib, which CentOS and Debian might not have yet, I don't know how packaging there really works. A patched fmtlib fork could be maintained alongside OpenROAD, used as a submodule, and CMake somehow instructed to ignore local fmtlib instances and always use the forked one to build OpenROAD. Or we can ask the maintainers to expose the flag in their CMakeLists so an unpatched version can be built with the flag passed to CMake."}, {"assistant": "Confirmed that this was fixed by #4351, I can compile in debian testing but keep in mind that currently it is shipping with fmt [9.1.0](https://packages.debian.org/search?keywords=libfmt&searchon=names&suite=bookworm§ion=all) so you may want to manually compile version >=10 or wait until it is available in the repos.\r\n\r\nI think you may want to close this @maliberty or @QuantamHD "}]} +{"num": 2395, "messages": [{"user": "**Describe the bug**\r\n`read_guides` partially appends to the previous guides, such that RC parasitic estimates change.\r\n\r\n**Expected Behavior**\r\nI would expect either the previous guides to be completely cleared, or the old guides and new guides should be successfully merged.\r\n\r\n**Environment**\r\n```\r\nGit commit: a01312143a07839e13ed723264d8e34b87b4d750\r\nkernel: Linux 5.19.14-200.fc36.x86_64\r\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.22.2\r\ngcc (GCC) 12.2.1 20220819 (Red Hat 12.2.1-2)\r\nclang version 14.0.5 (Fedora 14.0.5-1.fc36)\r\n```\r\n\r\n**To Reproduce**\r\n\r\nWith the attached test case, `doit_0.tcl` uses the data directly in the database after calling `global_route`:\r\n\r\n```\r\nopenroad doit_0.tcl | grep -A 20 'net final_adder.o.*11'\r\n```\r\n\r\n```\r\n[DEBUG GRT-0001] net final_adder.o\\[11\\]\r\n(5.67, 1.35) 1 -> (5.67, 1.35) 2 l=0.00\r\n(5.67, 1.35) 2 -> (21.87, 1.35) 2 l=16.20\r\n(21.87, 1.35) 2 -> (21.87, 1.35) 3 l=0.00\r\n(21.87, 1.35) 3 -> (21.87, 5.13) 3 l=3.78\r\n(21.87, 5.13) 3 -> (21.87, 5.13) 2 l=0.00\r\n(21.87, 5.13) 2 -> (22.41, 5.13) 2 l=0.54\r\n(22.41, 5.13) 2 -> (22.41, 5.13) 1 l=0.00\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> final_adder.o\\[11\\]:1 16.20u layer=2 r=0.392 c=2.175\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:1 -> final_adder.o\\[11\\]:2 via 2-3 r=0.017\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:2 -> final_adder.o\\[11\\]:3 3.78u layer=3 r=0.092 c=0.488\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:3 -> final_adder.o\\[11\\]:4 via 3-2 r=0.017\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:4 -> final_adder.o\\[11\\]:5 0.54u layer=2 r=0.013 c=0.073\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:5 -> final_adder.U$$845/Y (22.61, 5.16) 0.23u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] pin final_adder.U$$845/Y -> to grid 0u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> _2303_/D (5.74, 1.38) 0.10u layer=2 r=0.002 via_res=0.017 c=0.013\r\n[DEBUG GRT-0001] pin _2303_/D -> to grid 0u layer=2 r=0.002 via_res=0.017 c=0.013\r\n Pi model c2=6.5e-16 rpi=364 c1=2.75e-15 max_r=574\r\n Pi model c2=6.5e-16 rpi=364 c1=2.75e-15 max_r=574\r\n```\r\n\r\nIf we add a call to `read_guides`, we should get the same data, but instead we have a number of new nodes, and the resistance has dropped from 364 ohms to 332 ohms, presumably because we have some parallel paths:\r\n\r\n```\r\nopenroad doit_1.tcl | grep -A 30 'net final_adder.o.*11'\r\n```\r\n\r\n```\r\n[DEBUG GRT-0001] net final_adder.o\\[11\\]\r\n(5.67, 1.35) 1 -> (5.67, 1.35) 2 l=0.00\r\n(5.67, 1.35) 2 -> (21.87, 1.35) 2 l=16.20\r\n(21.87, 1.35) 2 -> (21.87, 1.35) 3 l=0.00\r\n(21.87, 1.35) 3 -> (21.87, 5.13) 3 l=3.78\r\n(21.87, 5.13) 3 -> (21.87, 5.13) 2 l=0.00\r\n(21.87, 5.13) 2 -> (22.41, 5.13) 2 l=0.54\r\n(22.41, 5.13) 2 -> (22.41, 5.13) 1 l=0.00\r\n(5.67, 1.35) 1 -> (5.67, 1.35) 1 l=0.00\r\n(5.67, 1.35) 2 -> (21.87, 1.35) 2 l=16.20\r\n(21.87, 1.35) 3 -> (21.87, 5.13) 3 l=3.78\r\n(21.87, 5.13) 2 -> (22.41, 5.13) 2 l=0.54\r\n(22.41, 5.13) 1 -> (22.41, 5.13) 1 l=0.00\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> final_adder.o\\[11\\]:1 16.20u layer=2 r=0.392 c=2.175\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:1 -> final_adder.o\\[11\\]:2 via 2-3 r=0.017\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:2 -> final_adder.o\\[11\\]:3 3.78u layer=3 r=0.092 c=0.488\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:3 -> final_adder.o\\[11\\]:4 via 3-2 r=0.017\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:4 -> final_adder.o\\[11\\]:5 0.54u layer=2 r=0.013 c=0.073\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> final_adder.o\\[11\\]:1 16.20u layer=2 r=0.392 c=2.175\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:2 -> final_adder.o\\[11\\]:3 3.78u layer=3 r=0.092 c=0.488\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:4 -> final_adder.o\\[11\\]:5 0.54u layer=2 r=0.013 c=0.073\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:5 -> final_adder.U$$845/Y (22.61, 5.16) 0.23u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] pin final_adder.U$$845/Y -> to grid 0u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> _2303_/D (5.74, 1.38) 0.10u layer=2 r=0.002 via_res=0.017 c=0.013\r\n[DEBUG GRT-0001] pin _2303_/D -> to grid 0u layer=2 r=0.002 via_res=0.017 c=0.013\r\n Pi model c2=1.16e-15 rpi=332 c1=4.98e-15 max_r=574\r\n Pi model c2=1.16e-15 rpi=331 c1=4.97e-15 max_r=574\r\n```\r\n\r\nIf we call `read_guides` twice, we die:\r\n\r\n```\r\n# openroad doit_2.tcl \r\n...\r\nStack trace:\r\n 0# 0x0000000000C3D57E in openroad\r\n 1# 0x00007FA837A3EA70 in /lib64/libc.so.6\r\n 2# grt::FastRouteCore::releaseNetResources(int) in openroad\r\n 3# grt::FastRouteCore::clearNetRoute(int) in openroad\r\n 4# grt::FastRouteCore::addNet(odb::dbNet*, int, bool, int, int, int, int, float, std::vector >*) in openroad\r\n 5# grt::GlobalRouter::makeFastrouteNet(grt::Net*) in openroad\r\n 6# grt::GlobalRouter::initNets(std::vector >&) in openroad\r\n 7# grt::GlobalRouter::readGuides(char const*) in openroad\r\n```\r\n\r\nTest case: [read_guides_issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9835524/read_guides_issue.tar.gz)\r\n"}, {"assistant": "@antonblanchard Could you check this PR: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2397? I may still see some differences in the debug prints on how the topology was constructed, but they should be equivalent, and the parasitic values should be the same.\r\n\r\nAlso, you should not see the crash again."}, {"user": "Thanks @eder-matheus. This may be a different issue, but I still see a significant difference in the RC parasitic estimates between using the guides in the db, and `read_guides`:\r\n\r\nWith the same test case and using the guides from the db, things looks reasonable. The parasitic resistance estimate is 364 ohms:\r\n\r\n```\r\n# openroad doit_0.tcl |grep -A 20 'net final_adder.o.*11'\r\n[DEBUG GRT-0001] net final_adder.o\\[11\\]\r\n(5.67, 1.35) 1 -> (5.67, 1.35) 2 l=0.00\r\n(5.67, 1.35) 2 -> (21.87, 1.35) 2 l=16.20\r\n(21.87, 1.35) 2 -> (21.87, 1.35) 3 l=0.00\r\n(21.87, 1.35) 3 -> (21.87, 5.13) 3 l=3.78\r\n(21.87, 5.13) 3 -> (21.87, 5.13) 2 l=0.00\r\n(21.87, 5.13) 2 -> (22.41, 5.13) 2 l=0.54\r\n(22.41, 5.13) 2 -> (22.41, 5.13) 1 l=0.00\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> final_adder.o\\[11\\]:1 16.20u layer=2 r=0.392 c=2.175\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:1 -> final_adder.o\\[11\\]:2 via 2-3 r=0.017\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:2 -> final_adder.o\\[11\\]:3 3.78u layer=3 r=0.092 c=0.488\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:3 -> final_adder.o\\[11\\]:4 via 3-2 r=0.017\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:4 -> final_adder.o\\[11\\]:5 0.54u layer=2 r=0.013 c=0.073\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:5 -> final_adder.U$$845/Y (22.61, 5.16) 0.23u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] pin final_adder.U$$845/Y -> to grid 0u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> _2303_/D (5.74, 1.38) 0.10u layer=2 r=0.002 via_res=0.017 c=0.013\r\n[DEBUG GRT-0001] pin _2303_/D -> to grid 0u layer=2 r=0.002 via_res=0.017 c=0.013\r\n Pi model c2=6.5e-16 rpi=364 c1=2.75e-15 max_r=574\r\n Pi model c2=6.5e-16 rpi=364 c1=2.75e-15 max_r=574\r\n```\r\n\r\nRunning the test where we read use `read_guides`, the parasitic resistance estimate is way off (25.5 ohm), and it looks like we are missing some nodes:\r\n\r\n```\r\n# openroad doit_1.tcl |grep -A 20 'net final_adder.o.*11'\r\n[DEBUG GRT-0001] net final_adder.o\\[11\\]\r\n(5.67, 1.35) 1 -> (5.67, 1.35) 1 l=0.00\r\n(5.67, 1.35) 2 -> (21.87, 1.35) 2 l=16.20\r\n(21.87, 1.35) 3 -> (21.87, 5.13) 3 l=3.78\r\n(21.87, 5.13) 2 -> (22.41, 5.13) 2 l=0.54\r\n(22.41, 5.13) 1 -> (22.41, 5.13) 1 l=0.00\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> final_adder.o\\[11\\]:1 16.20u layer=2 r=0.392 c=2.175\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:2 -> final_adder.o\\[11\\]:3 3.78u layer=3 r=0.092 c=0.488\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:4 -> final_adder.o\\[11\\]:5 0.54u layer=2 r=0.013 c=0.073\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:5 -> final_adder.U$$845/Y (22.61, 5.16) 0.23u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] pin final_adder.U$$845/Y -> to grid 0u layer=2 r=0.006 via_res=0.017 c=0.031\r\n[DEBUG GRT-0001] final_adder.o\\[11\\]:0 -> _2303_/D (5.74, 1.38) 0.10u layer=2 r=0.002 via_res=0.017 c=0.013\r\n[DEBUG GRT-0001] pin _2303_/D -> to grid 0u layer=2 r=0.002 via_res=0.017 c=0.013\r\n Pi model c2=1.64e-17 rpi=25.5 c1=8.69e-17 max_r=35.8\r\n```"}, {"user": "Thinking about it some more, it almost certainly is an unrelated issue. I'm happy to open a new issue if you prefer."}, {"assistant": "Thanks for the feedback, @antonblanchard. I think a new issue would be better to avoid confusion with two different issues here, if you don't mind."}]} +{"num": 2399, "messages": [{"user": "**Describe the bug**\r\nThere are issues with RC parasitic estimates when using `read_guides`.\r\n\r\n**Expected Behavior**\r\nRC parasitic estimates should be the same, whether the data was sourced from the odb or `read_guides`\r\n\r\n**Environment**\r\n```\r\nGit commit: 53c8d2fa59bcde425bdf566f48cad543b999c6a2\r\nkernel: Linux 5.19.14-200.fc36.x86_64\r\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.22.2\r\ngcc (GCC) 12.2.1 20220819 (Red Hat 12.2.1-2)\r\nclang version 14.0.5 (Fedora 14.0.5-1.fc36)\r\n```\r\n\r\n**To Reproduce**\r\n\r\nThe following test case shows the issue:\r\n\r\n```\r\n# openroad parasitics.tcl\r\n...\r\n[DEBUG GRT-0001] net net_M3\r\n(14.85, 9.99) 1 -> (14.85, 9.99) 1 l=0.00\r\n(14.85, 9.99) 2 -> (14.85, 9.99) 2 l=0.00\r\n(14.85, 9.99) 3 -> (14.85, 89.91) 3 l=79.92\r\n(14.85, 89.91) 2 -> (14.85, 89.91) 2 l=0.00\r\n(14.85, 89.91) 1 -> (14.85, 89.91) 1 l=0.00\r\n[DEBUG GRT-0001] net_M3:0 -> net_M3:0 via 1-1 r=0.017\r\n[DEBUG GRT-0001] net_M3:1 -> net_M3:1 via 2-2 r=0.017\r\n[DEBUG GRT-0001] net_M3:2 -> net_M3:3 79.92u layer=3 r=2.625 c=11.761\r\n[DEBUG GRT-0001] net_M3:4 -> net_M3:4 via 2-2 r=0.017\r\n[DEBUG GRT-0001] net_M3:5 -> net_M3:5 via 1-1 r=0.017\r\n[DEBUG GRT-0001] net_M3:4 -> inv_M3_2/A (14.60, 89.67) 0.49u layer=2 r=0.015 via_res=0.017 c=0.072\r\n[DEBUG GRT-0001] pin inv_M3_2/A -> to grid 0u layer=2 r=0.015 via_res=0.017 c=0.072\r\n[DEBUG GRT-0001] net_M3:1 -> inv_M3_1/Y (14.67, 9.75) 0.42u layer=2 r=0.012 via_res=0.017 c=0.061\r\n[DEBUG GRT-0001] pin inv_M3_1/Y -> to grid 0u layer=2 r=0.012 via_res=0.017 c=0.061\r\n Pi model c2=3.06e-17 rpi=29.5 c1=3.06e-17 max_r=29.5\r\n```\r\n\r\nThe connectivity appears wrong. An example of what is expected (parasitic estimates calculated directly after global routing, ie not using `read_guides`:\r\n\r\n```\r\n[DEBUG GRT-0001] net net_M3\r\n(14.85, 9.99) 1 -> (14.85, 9.99) 2 l=0.00\r\n(14.85, 9.99) 2 -> (14.85, 9.99) 3 l=0.00\r\n(14.85, 9.99) 3 -> (14.85, 89.91) 3 l=79.92\r\n(14.85, 89.91) 3 -> (14.85, 89.91) 2 l=0.00\r\n(14.85, 89.91) 2 -> (14.85, 89.91) 1 l=0.00\r\n[DEBUG GRT-0001] net_M3:0 -> net_M3:1 via 2-3 r=0.017\r\n[DEBUG GRT-0001] net_M3:1 -> net_M3:2 79.92u layer=3 r=2.625 c=11.761\r\n[DEBUG GRT-0001] net_M3:2 -> net_M3:3 via 3-2 r=0.017\r\n[DEBUG GRT-0001] net_M3:3 -> inv_M3_2/A (14.60, 89.67) 0.49u layer=2 r=0.015 via_res=0.017 c=0.072\r\n[DEBUG GRT-0001] pin inv_M3_2/A -> to grid 0u layer=2 r=0.015 via_res=0.017 c=0.072\r\n[DEBUG GRT-0001] net_M3:0 -> inv_M3_1/Y (14.67, 9.75) 0.42u layer=2 r=0.012 via_res=0.017 c=0.061\r\n[DEBUG GRT-0001] pin inv_M3_1/Y -> to grid 0u layer=2 r=0.012 via_res=0.017 c=0.061\r\n Pi model c2=5.56e-15 rpi=2.5e+03 c1=7.02e-15 max_r=2.72e+03\r\n Pi model c2=5.56e-15 rpi=2.5e+03 c1=7.02e-15 max_r=2.72e+03\r\n```\r\n\r\nI'm guessing it has something to do with setting seg.final_layer.\r\n\r\nTest case: [read_guides_issue-2.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9843502/read_guides_issue-2.tar.gz)\r\n"}, {"assistant": "@antonblanchard sorry for the delay on it, but now I think I've fixed this issue. Could you try this branch: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2539?\r\n\r\nNote that you'll have to generate the guide file again, since the core of the fix is on how we write them."}, {"user": "Thanks @eder-matheus this fixes the issue I was hitting."}]} +{"num": 2418, "messages": [{"user": "Building OR is something of a problem now on some operating systems given that fmt recently had a new major version release which package managers such as brew and pacman have upgraded to.\r\n\r\nAs you already include abc in the build process which is much larger than either of those packages, I'm wondering why not simply build fmt and spdlog? You get the ability to pin both versions to avoid this kind of chaos down the line, and its two less dependencies to install."}, {"user": "Heck, apparently spdlog pulls fmt, so it's only really one more submodule"}, {"assistant": "I'd advocate against this approach. I think it's probably better to stay compatible with both versions. \r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/issues/2386"}]} +{"num": 2419, "messages": [{"user": "\r\n\r\n**Describe the bug**\r\nThere are a number of API breaks when it comes to `openroad -python` as of late: First, `-exit` is now required as otherwise OpenROAD will drop into a command prompt, which was previously not the case (but overall easy to work around); more importantly, `openroad -exit -python -m` to load a Python module no longer works *at all*. This impedes [DFFRAM](https://github.com/AUCOHL/DFFRAM)'s placeram module.\r\n\r\nThe behavior used to be that whenever the interpreter saw `-python` it appeared to leave processing the rest of the arguments to the Python executable.\r\n\r\n**Expected Behavior**\r\n`openroad -exit -python -m` should work.\r\n\r\n**Environment**\r\n```\r\nGit commit: f7df40058721c701313c67009df1e25ba41365cf\r\nkernel: Linux 5.15.65-1-MANJARO\r\nos: Manjaro Linux \r\ncmake version 3.24.1\r\ngcc (GCC) 12.2.0\r\nclang version 14.0.6\r\n```\r\n\r\n**To Reproduce**\r\n```sh\r\nmkdir -p my_module\r\necho \"print('hello, world!')\" > my_module/__main__.py\r\nopenroad -exit -python -m my_module\r\n```\r\n\r\n**Logs**\r\n```\r\nOpenROAD v2.0-5406-gf7df40058 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nUsage: openroad [-help] [-version] [-no_init] [-exit] [-gui] [-threads count|max] [-log file_name] [-metrics file_name] cmd_file\r\n -help show help and exit\r\n -version show version and exit\r\n -no_init do not read .openroad init file\r\n -threads count|max use count threads\r\n -no_splash do not show the license splash at startup\r\n -exit exit after reading cmd_file\r\n -gui start in gui mode\r\n -python start with python interpreter [limited to db operations]\r\n -log write a log in \r\n -metrics write metrics in in JSON format\r\n cmd_file source cmd_file\r\nPython 3.10.6 (main, Aug 3 2022, 17:39:45) [GCC 12.1.1 20220730] on linux\r\nType \"help\", \"copyright\", \"credits\" or \"license\" for more information.\r\n>>> \r\n```\r\n\r\n**Screenshots**\r\n\r\nN/A\r\n\r\n**Additional context**\r\n\r\nThe new `[WARNING ORD-0039] .openroad ignored with -python` is thoughtful, but mostly irrelevant to my use-cases. Is there a way to suppress it?"}, {"assistant": "@proppy would you take a look? It seems related to your changes."}, {"assistant": "I wonder if this was a supported feature or unintended consequence. It obviously seems useful.\r\n\r\nI think a question arises about whether `-python` is supposed to be an \"ordered\" or \"unordered\" flag (I can't find proper taxonomy for this name convention). Is `-python` _supposed_ to change the parsing of all arguments after it, or is that unintentional? Do we expect `openroad -exit -python` to work but `openroad -python -exit` to not work?\r\n\r\nI think either:\r\n1. Passing args after `-python` needs to be made an intended behavior and documented / put into CI\r\n2. `-python` needs to be an \"unordered\" flag, in which case we need an additional argument such as `-python_args \"STRING\"` to enable explicit passing of arguments to the python shell.\r\n\r\nAll that aside, does `openroad -exit -python script.py` not load and run `script.py` on the current version?"}, {"assistant": "> There are a number of API breaks when it comes to openroad -python as of late: First, -exit is now required as otherwise OpenROAD will drop into a command prompt, which was previously not the case (but overall easy to work around)\r\n\r\nYes spotted this too, see https://github.com/The-OpenROAD-Project/OpenROAD/issues/2287 and https://github.com/The-OpenROAD-Project/OpenROAD/pull/2288 as an attempt to fix it.\r\n \r\n> more importantly, openroad -exit -python -m to load a Python module no longer works at all. This impedes [DFFRAM](https://github.com/AUCOHL/DFFRAM)'s placeram module.\r\n\r\ndoes calling `placeram.py` as a script workaround the issue?\r\n\r\n> I wonder if this was a supported feature or unintended consequence. It obviously seems useful.\r\n\r\nMy understanding from the chatter on #2287 was that it was never quite documented/supported. If that's a behavior the project cares about we should make sure it's captured by non-regression tests.\r\n\r\n> Is -python supposed to change the parsing of all arguments after it, or is that unintentional?\r\n\r\nBased on @donn report, it seems that the expected behavior was that:\r\n```\r\nwhenever the interpreter saw -python it appeared to leave processing the rest of the arguments to the Python executable.\r\n```\r\n\r\n\r\n> Passing args after -python needs to be made an intended behavior and documented / put into CI\r\n\r\n+1\r\n"}, {"user": "@proppy \r\n> does calling placeram.py as a script workaround the issue?\r\n\r\nNope. PlaceRAM uses relative imports, so a module is required. I guess another workaround would be to create a standalone file that imports the module but that is\u2026 jank"}, {"assistant": "Looking at this it seems that we can easily restore the previous behavior by simply calling `Py_Main` with the original `argc` and `argv` but that would effectively means that we ignore the `-exit` flag, since `Py_Main` will exit the interpreter and effectively loose its context.\r\n\r\nSo before \"fixing\" this regression I think we need to agree on which usecases we want to support:\r\nA. users should be able to call `openroad -python ` with the same arguments as the regular python interpreter and expect a similar behavior (no explicit `-exit` flag needed)\r\nB. users should be able to call `openroad -python script.py` and drop into an interactive REPL on a python interpreter context after `script.py` execution.\r\nC. users should be able to call `openroad -exit -python script.py A B C` and have `script.py` be called with `sys.argv == ['script.py', 'A', 'B', 'C']`\r\n\r\nIf all are desirable we need to do more fancy argument processing and handle the case where remaining arguments include a valid python script or generic extra arguments.\r\n"}, {"assistant": "If the user doesn't give -exit then a REPL should remain open. (A) doesn't make that clear so I'm not sure what \"similar behavior\" means."}, {"assistant": "> I'm not sure what \"similar behavior\" means.\r\n\r\nSimilar behavior as the regular python command line interpreter (same flags, same behavior wrt to exit criteria and status).\r\n\r\n> If the user doesn't give -exit then a REPL should remain open.\r\n\r\nEven if a file parameter is specified? If that's the case do we care about the interpreter context being the same for the file execution, and the interactive REPL?\r\n\r\n"}, {"assistant": "It happens all the time that I want to run a script and leave the tool open to inspect the results. How would I do that with your proposal where it exits without -exit being specified."}, {"assistant": "> It happens all the time that I want to run a script and leave the tool open to inspect the results. \r\n\r\nThen we need find a way to satisfy what @donn is after (forwarding the flag verbatim to the python interpreter) and what you're after (sharing the interpreter state between a script execution and an interactive session) without changing (too much?) the cli calling convention. \r\nPS: I'm curious how the cli managed to accomodate both use cases before the refactor in #1812 (https://github.com/The-OpenROAD-Project/OpenROAD/commit/47e8b5f57e2f680172f99c0433c01ed91fcf7fe7).\r\n\r\n> How would I do that with your proposal where it exits without -exit being specified.\r\n\r\nIf we forward the argument verbatim to `Py_Main` this can work by passing `openroad -python -i script.py` or doing `PYTHONINSPECT=1 openroad -python script.py`, see https://docs.python.org/3/using/cmdline.html#cmdoption-i. As it would make `Py_Main` drop into an interactive loop: see https://github.com/python/cpython/blob/main/Modules/main.c#L544.\r\n\r\nBonus point: this could potentially clear up some of the confusing semantic around the ordering of the `-python` and `-exit` flag pointed by @rovinski in https://github.com/The-OpenROAD-Project/OpenROAD/issues/2419#issuecomment-1292641530, as we can simply say: \"everything after `-python` is the same as https://docs.python.org/3/using/cmdline.html#command-line\"\r\n\r\nSince the code path that @donn's care about for executing a module https://github.com/python/cpython/blob/main/Modules/main.c#L604 is only reachable thru the public API's `Py_Main` function, the only alternative I can think of that would also preserve the `-exit` behavior that @maliberty cares about, would be map the `-exit` flag to the `inspect` bit of the interpreter config https://docs.python.org/3/c-api/init_config.html#c.PyConfig.inspect.\r\n\r\n"}, {"assistant": "Bash handles this scenario with -- \r\n```\r\n -- A -- signals the end of options and disables further option\r\n processing. Any arguments after the -- are treated as file-\r\n names and arguments. An argument of - is equivalent to --.\r\n```\r\nSo we could have\r\n\r\nopenroad -python -exit -- -m module\r\n\r\nThe other alternative is to say everything after -python is passed to Py_Main directly. Either is ok so long as it is clearly documented."}, {"assistant": "> The other alternative is to say everything after -python is passed to Py_Main directly. Either is ok so long as it is clearly documented.\r\n\r\nBut would you prefer it to be implemented with the current `-exit` behavior? or rely on the built-in handling of `-i` and `PYTHONINSPECT` by `Py_Main`?\r\n"}, {"assistant": "I don't think it makes sense to have both -i & -exit. Switching from -exit to -i would be nice for compatibility with python but would be a pain in all other regards. \r\n\r\nI also don't understand the python decision that -i implies \"The PYTHONSTARTUP file is not read.\" That potentially makes -i change the results which I find undesirable. We separate -no_init from -exit which seems better to me.\r\n\r\nIn short I prefer -exit unless you have strong feelings."}]} +{"num": 2429, "messages": [{"user": "\r\n\r\n**Describe the bug**\r\n\r\ncrash after running `extract_parasitics`.\r\n\r\n**Expected Behavior**\r\n\r\nproper exit with an error message or a warning.\r\n\r\n**Environment**\r\n\r\n```\r\nGit commit: 3f0d7f1df8b3aa909d041fade515fd0dc3c9df31\r\nkernel: Linux 5.14.0-1054-oem\r\nos: Ubuntu 20.04.3 LTS (Focal Fossa)\r\ncmake version 3.14.0\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\r\n```\r\n\r\n**To Reproduce**\r\n\r\nattached is a test case with the relevant files (.def, .lef, extraction rules file and flow.tcl) [test-case.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9888881/test-case.tar.gz)\r\n\r\n**Logs**\r\n\r\nstack trace:\r\n```\r\nStack trace:\r\n 0# 0x000055F9AFDFA9F8 in openroad\r\n 1# 0x00007F523CBD10C0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::_dbBox::isOct() const in openroad\r\n 3# odb::dbBox::getBox() in openroad\r\n 4# odb::dbWirePathItr::getNextShape(odb::dbWirePathShape&) in openroad\r\n 5# odb::tmg_conn::loadWire(odb::dbWire*) in openroad\r\n 6# odb::tmg_conn::analyzeNet(odb::dbNet*, bool, bool, bool, int, int, bool) in openroad\r\n 7# odb::orderWires(odb::dbBlock*, bool, bool, int, int) in openroad\r\n 8# rcx::Ext::extract(rcx::Ext::ExtractOptions) in openroad\r\n 9# extract(char const*, int, double, float, int, int, int, char const*, bool, bool) in openroad\r\n10# 0x000055F9B04F4693 in openroad\r\n11# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# 0x00007F523E8BE924 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n16# ord::tclAppInit(Tcl_Interp*) in openroad\r\n17# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# main in openroad\r\n19# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n20# _start in openroad\r\n[1] 104251 segmentation fault (core dumped) openroad flow.tcl\r\n```\r\nwarnings during def read:\r\n```\r\n[WARNING ODB-0110] error: invalid VIA layers, cannot determine exit layer of path\r\n[WARNING ODB-0110] error: invalid VIA layers, cannot determine exit layer of path\r\n[WARNING ODB-0110] error: invalid VIA layers, cannot determine exit layer of path\r\n[WARNING ODB-0110] error: invalid VIA layers, cannot determine exit layer of path\r\n```\r\n\r\n**Screenshots**\r\n\r\n\r\n**Additional context**\r\n\r\nThe def file was generated using magic. (I think) magic def write hasn't been extensively tested. This can help correct issues with magic, if needed.\r\n\r\n"}, {"assistant": "The DEF is bad but OR needs to improve its error handling. In BLOCK\\[2\\].RAM32.SLICE\\[1\\].RAM8.DEC0.AND7/D it starts:\r\n```\r\n + ROUTED met1 ( 387090 240890 ) mcon_170_170 via_260_260_hv \r\n```\r\nwhich starts on met1, vias down to li1 and then has a via from met1 to met2 which is not a correct path. The path should be layer contiguous.\r\n\r\nI'll fix OR to give a better message but magic needs to generate a better DEF."}]} +{"num": 2432, "messages": [{"user": "Its best to work on these one at a time."}, {"user": "@jjcherry56 fyi"}, {"assistant": "@tspyrou @jjcherry56 I've worked with gcd this week, and I think I found the problem. Here's the scenario:\r\n\r\n* Before `global_route` and `repair_antennas` commands, we run `pin_access` to use the results in grt.\r\n* The `repair_antennas` command is appropriately detecting the violations based on the global routing results and inserting the diodes as expected.\r\n* After `repair_antennas`, the detailed router is not being updated with the new APs of the moved cells, nor with the APs for the inserted diodes.\r\n* Running `pin_access` again after `repair_antennas` fixes part of the issue: the moved cells are updated. However, the detailed router data structures are not updated with the diodes (the standard cells and the connection with the nets).\r\n\r\nExample: In the figure below, the ANTENNA_5 cell is connected to the net highlighted in yellow. But the detailed router isn't seeing this connection. In summary, I'm trying to make drt more incremental so it's internal structures are updated when we have these db updates.\r\n![image](https://user-images.githubusercontent.com/43450810/198754539-c2570855-9734-4d22-b41a-6365ae25a024.png)\r\n\r\n"}, {"assistant": "I suspect drt is severely lacking when it comes to incremental changes and\nit will be very error prone to try and add incrementality. Is there an API\nto remove the drt data structures (which stupidly copy the entire db) and\njust start from scratch as a workaround/short term solution?\n\nOn Fri, Oct 28, 2022 at 5:58 PM Eder Monteiro ***@***.***>\nwrote:\n\n> @tspyrou @jjcherry56\n> I've worked with gcd this week, and I\n> think I found the problem. Here's the scenario:\n>\n> - Before global_route and repair_antennas commands, we run pin_access\n> to use the results in grt.\n> - The repair_antennas command is appropriately detecting the\n> violations based on the global routing results and inserting the diodes as\n> expected.\n> - After repair_antennas, the detailed router is not being updated with\n> the new APs of the moved cells, nor with the APs for the inserted diodes.\n> - Running pin_access again after repair_antennas fixes part of the\n> issue: the moved cells are updated. However, the detailed router data\n> structures are not updated with the diodes (the standard cells and the\n> connection with the nets).\n>\n> Example: In the figure below, the ANTENNA_5 cell is connected to the net\n> highlighted in yellow. But the detailed router isn't seeing this\n> connection. In summary, I'm trying to make drt more incremental so it's\n> internal structures are updated when we have these db updates.\n> [image: image]\n> \n>\n> \u2014\n> Reply to this email directly, view it on GitHub\n> ,\n> or unsubscribe\n> \n> .\n> You are receiving this because you were mentioned.Message ID:\n> ***@***.***>\n>\n"}, {"assistant": "I've added a clear function that runs before pin_access, which is now working. I'll update my table with the comparison of antenna violations, and if the numbers look good, I'll create a PR."}, {"assistant": "@jjcherry56 @tspyrou Here is the updated table after the fix on drt:\r\n\"image\"\r\n\r\n- We can see a large reduction in the number of DRT antenna violations now, but it is still far from optimal. I'm investigating some designs to understand why there are still violations in there\r\n - In the case of gcd_sky130hd, the difference between the PAR value with the allowed ratio is pretty small, and it makes sense that the GRT routing doesn't trigger the violation in this pin. Below is the detailed violation: \r\n\"image\"\r\n- Other designs like aes_sky130hd have violations with a large difference between the calculated PAR and the allowed ratio, like this one:\r\n\"image\"\r\n\r\nFor this specific net, I see that only one diode was inserted, but it is far from the pin with the violation. I wonder if this is the only cause for the violation still there.\r\n\"image\"\r\n\r\nI'll keep investigating the reminiscent violations."}, {"assistant": "I am not an antenna expert but I don't believe the proximity of the diode to the load is an issue. The diode just provides a path to the substrate if too much charge accumulates on the wire during fabrication.\r\n\r\nI would focus on aes because it is the smallest design that has significant residual violations.\r\nI am going guess that the problem is \"almost\" violating nets that grt/drt differentces push the ratio over the edge.\r\nThat would argue for repairing within a margin like repair_design/repair_timing commands."}, {"assistant": "@jjcherry56 I've added a margin parameter to the `repair_antenna`, but it doesn't solve all the violations. It only solves a few of them. Differences between the global route and the detailed route regarding the layer assignment of the wires cause the remaining violations. For example:\r\n\r\nIn the net below, drt has an antenna violation on the long `met3` wire.\r\n\"image\"\r\n\r\nThe violation is not detected on the grt because it has a break on this long wire near the violated pin, going to the layer above.\r\n\"image\"\r\n\r\nBut in the final drt, we don't have this layer change to `met4`, so the violation exists only there.\r\n\"image\"\r\n\r\nNot sure what are the next steps on it. I think we could update drt to follow the guides for some nets, but this could affect DRCs and other metrics."}, {"assistant": "I think we'll need a post-drt repair as well."}, {"assistant": "> I think we'll need a post-drt repair as well.\r\n\r\nWe could insert diodes post-drt and reroute the modified nets. But I believe we'll need support for incremental drt. AFAIK we can't route a set of nets if other nets are already routed."}, {"assistant": "We will have to deal with incremental drt. Any post-drt optimization will need it too."}, {"assistant": "@eder-matheus are you running your tests on the latest Master branch? I made some fixes related to antenna fixing that might affect this test"}, {"assistant": "> @eder-matheus are you running your tests on the latest Master branch? I made some fixes related to antenna fixing that might affect this test\r\n\r\n@QuantamHD Yes, I'm using the latest master branch, though I don't think they affect directly my tests with sky130 designs."}, {"assistant": "@eder-matheus \r\nI've ran gcd design with latest ORFS commit. Not find any antenna violations.\r\n```\r\n==========================================================================\r\ncheck_antennas\r\n--------------------------------------------------------------------------\r\n[WARNING ANT-0011] -report_violating_nets is deprecated.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO ANT-0002] Found 0 net violations.\r\n[INFO ANT-0001] Found 0 pin violations.\r\n```\r\nIn OpenLane based flow :\r\n```\r\n[INFO GRT-0014] Routed nets: 412\r\n[INFO GRT-0006] Repairing antennas, iteration 1.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 3 antenna violations.\r\n[INFO GRT-0015] Inserted 4 diodes.\r\n[INFO GRT-0009] rerouting 279 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 12\r\n[INFO GRT-0006] Repairing antennas, iteration 2.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 0 antenna violations.\r\n```\r\nWhich unit test it's referring? "}]} +{"num": 2433, "messages": [{"user": "\r\n\r\n**Describe the bug**\r\n\r\n\r\n**Expected Behavior**\r\n\r\n\r\n**Environment**\r\n\r\n```\r\nYOUR SURVEY HERE\r\n```\r\n\r\n**To Reproduce**\r\n\r\n\r\n\r\n**Logs**\r\n\r\n```\r\nYOUR LOGS HERE\r\n```\r\n\r\n**Screenshots**\r\n\r\n\r\n**Additional context**\r\n\r\n"}, {"assistant": "What messages? "}, {"user": "All those ones about the nesterov iterations. I was thinking that those should be debug messages."}, {"assistant": "There needs to be some sort of progress message on a long running command. What do you suggest?"}, {"assistant": "Is still in progress?"}]} +{"num": 2454, "messages": [{"user": "\r\n\r\n**Describe the bug**\r\n\r\n\r\n**Expected Behavior**\r\n\r\n\r\n**Environment**\r\n\r\n```\r\nYOUR SURVEY HERE\r\n```\r\n\r\n**To Reproduce**\r\n\r\n\r\n\r\n**Logs**\r\n\r\n```\r\nYOUR LOGS HERE\r\n```\r\n\r\n**Screenshots**\r\n\r\n\r\n**Additional context**\r\n\r\n"}, {"user": "@maliberty fyi"}]} +{"num": 2460, "messages": [{"user": "Hi,\r\nDoes the write_verilog command in openroad have a switch for keeping hierarchy in a netlist? I used the read_verilog command in openroad to read a top level netlist file that instantiates two modules, but when i tried to write it out using write_verilog, a flattened netlist was generated. Is it possible to keep the hierarchy of a netlist in openroad?\r\n\r\nThanks,\r\nTed"}, {"assistant": "Not currently though we have some work underway that could allow it in the future."}, {"user": "Thanks Matt!! Looking forward to it."}, {"assistant": "Is there any update on this?"}, {"assistant": "That work stalled with a departure so no progress"}]} +{"num": 2464, "messages": [{"user": "Hi ,\r\nI am using Ubuntu 22.04 in WSL (Windows Subsystem for Linux). I am trying to verify my installation of OpenROAD using clone and build and getting error by following the website.\r\n[https://openroad.readthedocs.io/en/latest/user/BuildLocally.html](url)\r\n\r\nThe error showed that the command for openroad -help is not found.\r\n\r\n![Screenshot 2022-11-04 034337](https://user-images.githubusercontent.com/76089746/199819279-ec466742-37cd-4401-80a5-ae70b94dc367.png)\r\n\r\nThanks for helping.\r\n\r\n\r\n"}, {"assistant": "Does `OpenROAD-flow-scripts/tools/install/OpenROAD/bin` exist? If not, then the binary was not installed properly.\r\nOr if you have Docker installed, it might be inside the Docker container."}, {"assistant": "@tingho0823 if the binary does not exists as Austin mentioned, please upload the following:\r\n```\r\n./etc/DependencyInstaller.sh -dev |& tee dependency.log\r\n./etc/Env.sh |& tee env.log\r\n./build_openroad.sh --local |& tee build.log\r\n```"}, {"user": "Hi @vvbandeira , the OpenROAD-flow-scripts/tools/install/OpenROAD/bin does not exist like Austin mentioned.Here is my dependency.log ,env.log, build.log\r\n[build.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9939864/build.log)\r\n[env.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9939866/env.log)\r\n\r\n[dependency.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9939865/dependency.log)\r\n\r\nit show the error below when i run ./build_openroad.sh\r\n![image](https://user-images.githubusercontent.com/76089746/200019399-d92f082c-9dfc-4a0a-988b-7fb559bd3744.png)\r\n\r\nCMakeError.log and CmakeOutput.log\r\n[CMakeError.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9940039/CMakeError.log)\r\n[CMakeOutput.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9940040/CMakeOutput.log)\r\n\r\n"}, {"user": "I found out that i only can run the `etc/DependencyInstaller.sh` in `\\OpenROAD-flow-scripts/tools/OpenROAD`. here is the dependency.log\r\n\r\n[dependency.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9940366/dependency.log)\r\n"}, {"assistant": "The dependency log shows that swig is installed at `/usr/bin/swig`. I am not sure why it is not found."}, {"user": "I try to run `./build_openroad.sh` again but it failed at 98%\r\n![image](https://user-images.githubusercontent.com/76089746/200095560-40eb6a29-913c-484a-8867-cd50a452a136.png)\r\n\r\nalso i cnt find the file for Env.sh\r\nhere is my latest build.log\r\n[build.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/9942719/build.log)\r\n\r\n"}, {"assistant": "Your log shows several\r\n```\r\nc++: fatal error: Killed signal terminated program cc1plus\r\ncompilation terminated.\r\n```\r\nIs it possible you are running out of memory? By default the build script uses all available cores to build in parallel. You can reduce the number of parallel processes with `./build_openroad.sh --threads N` where `N` is the number of threads. If you have e.g. an 8-core machine you might try 4 threads instead."}, {"user": "Thanks @rovinski and @vvbandeira for helping! Much appreciated. I am able to use OpenRoad now."}]} +{"num": 2470, "messages": [{"user": "\r\n\r\n**Describe the bug**\r\n\r\nThis is no bug but a feature request.\r\n\r\nSince more and more PDKs and PDK variants get available disk space becomes an issue, also for large designs. According to the OpenROAD documentation (Nov 04, 2022) some filetypes are already supported:\r\n\r\n> If CMake finds libz, OpenSTA can read Verilog, SDF, SPF, and SPEF files compressed with gzip.\r\n\r\n**Expected Behavior**\r\n\r\nAllow `gzip` compression on all potentially large file types, as `.lib`, `.lef`, `.def`, `.gds`, etc., across the whole OpenROAD toolchain.\r\n\r\n**Environment**\r\n\r\nn/a\r\n\r\n**To Reproduce**\r\n\r\nn/a\r\n\r\n**Logs**\r\n\r\nn/a\r\n\r\n**Screenshots**\r\n\r\nn/a\r\n\r\n**Additional context**\r\n\r\nn/a\r\n"}, {"assistant": "We already support compress .lib but could do so for other files. Note that gds isn't read by OR but by KLayout (or Magic in OL)."}, {"assistant": "gzip lef & def are also already supported. Is there anything to do here?"}, {"user": "I ran a quick test with OpenLane and an error was reported, but most likely this due to the OL scripts. So, can we say that OpenROAD is ready, but OpenLane needs to use this functionality?"}, {"assistant": "I believe so. What error do you see in OL?"}]} +{"num": 2471, "messages": [{"user": "Hi ,\r\nI am using Ubuntu 22.04 in WSL (Windows Subsystem for Linux).I build OpenRoad locally.\r\nNow i try to `make DESIGN_CONFIG=./designs/sky130hd/ibex/config.mk` but the final GDS file is missing. \r\nI suspect that I installed **KLayout** in wrong path. Below show the output after I `make Design_CONFIG` and the path of KLayout installed. If the KLayout is installed in wrong path, where should i install it?\r\n\r\n![image](https://user-images.githubusercontent.com/76089746/200189138-4e56d0b3-11da-4489-b199-a77efb5d1318.png)\r\n\r\nThe file i have in `/OpenROAD-flow-scripts/flow/results/sky130hd/ibex/base`\r\n\r\n![image](https://user-images.githubusercontent.com/76089746/200189213-408cbe07-2c6e-43a1-a0dc-919c5f504ccf.png)\r\n\r\nThank you for helping!\r\n"}, {"user": "**Latest Update**\r\nI try to reinstall Klayout in `/OpenROAD-flow-scripts` and run `make DESIGN_CONFIG=./designs/sky130hd/ibex/config.mk` and the output is shown i got signal number 11 error\r\n\r\n![image](https://user-images.githubusercontent.com/76089746/200193674-2c678232-5b93-4a21-8d37-ef0457590663.png)\r\n"}, {"assistant": "Please use the issue template so that we can help you most efficiently.\r\nPlease attach your flow log."}, {"assistant": "@tingho0823 \r\nMake sure using latest commits from master.\r\nCan you check where klayout installed `which klayout`\r\n\r\nDo run the flow again and check:\r\n```\r\nsource setup_env.sh\r\ncd flow\r\nmake clean_all\r\nmake\r\n```"}, {"assistant": "No activity; please reopen if still relevant."}]} +{"num": 2482, "messages": [{"user": "\r\n\r\n**Describe the bug**\r\n\r\ncrash after running `extract_parasitics`.\r\n\r\n**Expected Behavior**\r\n\r\nproper exit with an error message or a warning.\r\n\r\n**Environment**\r\n\r\n```\r\nGit commit: ff442dd5425fdd179418de62187f52620d0939a9\r\nkernel: Linux 5.14.0-1054-oem\r\nos: Ubuntu 20.04.3 LTS (Focal Fossa)\r\ncmake version 3.14.0\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\r\n```\r\n\r\n**To Reproduce**\r\n\r\nattached is a test case with the relevant files (.def, .lef, extraction rules file and flow.tcl)\r\n[test-case.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/9970650/test-case.tar.gz)\r\n\r\n\r\n**Logs**\r\n\r\nstack trace:\r\n```\r\n 0# 0x000056441E5467B8 in openroad\r\n 1# 0x00007F311DB350C0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbWireEncoder::initPath(odb::dbTechLayer*, unsigned char, odb::dbTechLayerRule*) in openroad\r\n 3# odb::dbWireEncoder::newPathShort(int, odb::dbTechLayer*, odb::dbWireType, odb::dbTechLayerRule*) in openroad\r\n 4# odb::tmg_conn::addToWire(int, int, int, bool, bool) in openroad\r\n 5# odb::tmg_conn::treeReorder(bool, bool) in openroad\r\n 6# odb::tmg_conn::analyzeNet(odb::dbNet*, bool, bool, bool, int, int, bool) in openroad\r\n 7# odb::orderWires(odb::dbBlock*, bool, bool, int, int) in openroad\r\n 8# rcx::Ext::extract(rcx::Ext::ExtractOptions) in openroad\r\n 9# extract(char const*, int, double, float, int, int, int, char const*, bool, bool) in openroad\r\n10# 0x000056441EC48913 in openroad\r\n11# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# 0x00007F311F822924 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n16# ord::tclAppInit(Tcl_Interp*) in openroad\r\n17# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# main in openroad\r\n19# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n20# _start in openroad\r\n```\r\n\r\nerrors in the log\r\n```\r\nError 0: Internal Error: tmg_conn::detachTilePins: tilepin inside iterm. should not happen.\r\nError 0: Internal Error: tmg_conn::detachTilePins: tilepin inside iterm. should not happen.\r\nError 0: Internal Error: tmg_conn::detachTilePins: tilepin inside iterm. should not happen.\r\nError 0: Internal Error: tmg_conn::detachTilePins: tilepin inside iterm. should not happen.\r\nError 0: Internal Error: tmg_conn::detachTilePins: tilepin inside iterm. should not happen.\r\nError 0: Internal Error: tmg_conn::detachTilePins: tilepin inside iterm. should not happen.\r\nNotice 0: error, net 13, shorts to another term\r\nNotice 0: wire point (2061355 210895)\r\nNotice 0: flash_io0_ieb_core\r\n```\r\nIt is not totally clear if errors like the one above are the cause of the crash. Also, from the screenshot bellow I don't understand what is the problem with `flash_io0_ieb_core`\r\n\r\n**Screenshots**\r\n\r\n![Screenshot from 2022-11-09 13-30-23](https://user-images.githubusercontent.com/12303699/200819245-db5f42dc-fe2d-47c4-b437-8ac5944301cd.png)\r\n\r\n**Additional context**\r\n\r\n"}, {"assistant": "I see you have NDRs like:\r\n\r\n - met2_width_280\r\n + LAYER met2 WIDTH 280 ;\r\n\r\nwhich is invalid. In the DEF manual it states \"Every nondefault rule must specify a width for every layer.\" This is violated in your design. How were these NDRs generated?"}, {"user": "They were generated by magic and I believe that they could be fixed. Is that the cause of the crash?"}, {"assistant": "Yes. We can make the DEF reader reject your input but that's about all."}, {"assistant": "@maliberty : NDR errors are all mine, as I implemented the generation and parsing of non-default rules in magic fairly recently. I read through the DEF spec but I did not see such a rule requiring all layers in each non-default rule (although, like pretty much the entire spec, the language is ambiguous. . . That could be read to mean only that every LAYER statement must have an associated WIDTH, which is how I would read the spec)."}, {"assistant": "@RTimothyEdwards its not a good spec. I am basing it on the LAYER statement in NONDEFAULTRULES\r\n![image](https://user-images.githubusercontent.com/761514/200940853-70fc0440-02eb-47ed-b01e-2d6631c21c0f.png)\r\n\r\nand also the second sentence in\r\n![image](https://user-images.githubusercontent.com/761514/200940980-e6f627c4-b9b7-4d9d-b71c-c49f6495bb09.png)\r\n"}, {"assistant": "@maliberty : The first quote matches my understanding and implementation of the nondefault rule. The second sentence appears to refer only to vias since it's in the middle of a paragraph about vias, and (in my reading) indicates that every via layer must have a specified width. "}, {"assistant": "I don't believe it only applies to vias. "}, {"user": "An updated def, which has all layers for NDR and a different scale ([chip_io.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9983211/chip_io.zip)) doesn't crash and produces a spef ([chip_io.nom.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/9983222/chip_io.nom.zip))\r\nHowever the resultant spef has a problem where nets have empty `*CONN` section. such as line `12143`\r\n```\r\n*D_NET *699 0.0160395\r\n*CONN\r\n*CAP\r\n1 *699:117 6.98441e-06\r\n```\r\nI am not entirely sure if these are due to warnings during extraction such as these:\r\n```\r\n[WARNING RCX-0114] Net 699 clock_pad__OE_N does not start from an iterm or a bterm.\r\n```\r\nI also don't fully understand what is unique about nets like `clock_pad__OE_N` to produce such a warning\r\n"}, {"assistant": "The shapes in this net are not connected to the pin shapes. In short you still have a bad DEF:\r\n![image](https://user-images.githubusercontent.com/761514/201169015-cb1e5b3d-556e-42b5-a9d4-774c33cc0cc4.png)\r\n\r\nYou can see pin shapes at the top and right that are highlighted but have no shapes reaching them."}, {"assistant": "@maliberty : Can you please give me a coordinate where the net and pin are disconnected in the above screenshot?"}, {"assistant": "@RTimothyEdwards There isn't a single connected pin so pick any from the DEF provided "}, {"assistant": "@maliberty : Okay, I see it now. I seem to have broken something related to wire extensions while trying to fix something else."}, {"assistant": "It looks like this is not an openroad issue."}, {"user": "The cause of the crash isn't an openroad issue. The crash itself is an openroad issue"}, {"assistant": "We can add an error in DEF parsing."}]} +{"num": 2488, "messages": [{"user": "Using this tool https://www.bottlecaps.de/convert/ to convert https://github.com/The-OpenROAD-Project/OpenROAD/blob/429a272dae6e384dcdc7ebc99bdc4ecb0f66f8a1/src/odb/src/lef/lef/lef.y and https://raw.githubusercontent.com/The-OpenROAD-Project/OpenROAD/7f985d5e0a07ebb08ccff8c4453abec95d747a26/src/odb/src/def/def/def.y into an EBNF understood by https://www.bottlecaps.de/rr/ui and manually replacing the tokens (search & replace `K_*` by `/*K_*/*`) we can have a navigable railroad diagram.\r\n\r\nCopy and paste one of the `EBNF` shown bellow on https://www.bottlecaps.de/rr/ui on the tab `Edit Grammar` then click the tab `View Diagram`:\r\n```\r\n/* converted on Sat Nov 12, 2022, 11:19 (UTC+01) by bison-to-w3c v0.61 which is Copyright (c) 2011-2022 by Gunther Rademacher */\r\n//From https://github.com/The-OpenROAD-Project/OpenROAD/blob/429a272dae6e384dcdc7ebc99bdc4ecb0f66f8a1/src/odb/src/lef/lef/lef.y\r\nlef_file ::= error? rule* extension_opt end_library\r\nversion ::= /*K_*/\"VERSION\" T_STRING ';'\r\nint_number\r\n ::= NUMBER\r\ndividerchar\r\n ::= /*K_*/\"DIVIDERCHAR\" QSTRING ';'\r\nbusbitchars\r\n ::= /*K_*/\"BUSBITCHARS\" QSTRING ';'\r\nend_library\r\n ::= ( /*K_*/\"END\" /*K_*/\"LIBRARY\" )?\r\nrule ::= version\r\n | busbitchars\r\n | case_sensitivity\r\n | units_section\r\n | layer_rule\r\n | via\r\n | viarule\r\n | viarule_generate\r\n | dividerchar\r\n | wireextension\r\n | msg_statement\r\n | spacing_rule\r\n | dielectric\r\n | minfeature\r\n | irdrop\r\n | site\r\n | macro\r\n | array\r\n | def_statement\r\n | nondefault_rule\r\n | prop_def_section\r\n | universalnoisemargin\r\n | edgeratethreshold1\r\n | edgeratescalefactor\r\n | edgeratethreshold2\r\n | noisetable\r\n | correctiontable\r\n | input_antenna\r\n | output_antenna\r\n | inout_antenna\r\n | antenna_input\r\n | antenna_inout\r\n | antenna_output\r\n | manufacturing\r\n | fixedmask\r\n | useminspacing\r\n | clearancemeasure\r\n | maxstack_via\r\n | create_file_statement\r\ncase_sensitivity\r\n ::= /*K_*/\"NAMESCASESENSITIVE\" ( /*K_*/\"ON\" | /*K_*/\"OFF\" ) ';'\r\nwireextension\r\n ::= /*K_*/\"NOWIREEXTENSIONATPIN\" ( /*K_*/\"ON\" | /*K_*/\"OFF\" ) ';'\r\nfixedmask\r\n ::= /*K_*/\"FIXEDMASK\" ';'\r\nmanufacturing\r\n ::= /*K_*/\"MANUFACTURINGGRID\" int_number ';'\r\nuseminspacing\r\n ::= /*K_*/\"USEMINSPACING\" spacing_type spacing_value ';'\r\nclearancemeasure\r\n ::= /*K_*/\"CLEARANCEMEASURE\" clearance_type ';'\r\nclearance_type\r\n ::= /*K_*/\"MAXXY\"\r\n | /*K_*/\"EUCLIDEAN\"\r\nspacing_type\r\n ::= /*K_*/\"OBS\"\r\n | /*K_*/\"PIN\"\r\nspacing_value\r\n ::= /*K_*/\"ON\"\r\n | /*K_*/\"OFF\"\r\nunits_section\r\n ::= start_units units_rule* /*K_*/\"END\" /*K_*/\"UNITS\"\r\nstart_units\r\n ::= /*K_*/\"UNITS\"\r\nunits_rule\r\n ::= ( ( /*K_*/\"TIME\" /*K_*/\"NANOSECONDS\" | /*K_*/\"CAPACITANCE\" /*K_*/\"PICOFARADS\" | /*K_*/\"RESISTANCE\" /*K_*/\"OHMS\" | /*K_*/\"POWER\" /*K_*/\"MILLIWATTS\" | /*K_*/\"CURRENT\" /*K_*/\"MILLIAMPS\" | /*K_*/\"VOLTAGE\" /*K_*/\"VOLTS\" | /*K_*/\"DATABASE\" /*K_*/\"MICRONS\" ) int_number | /*K_*/\"FREQUENCY\" /*K_*/\"MEGAHERTZ\" NUMBER ) ';'\r\nlayer_rule\r\n ::= start_layer layer_option* end_layer\r\nstart_layer\r\n ::= /*K_*/\"LAYER\" T_STRING\r\nend_layer\r\n ::= /*K_*/\"END\" T_STRING\r\nlayer_option\r\n ::= /*K_*/\"ARRAYSPACING\" layer_arraySpacing_long layer_arraySpacing_width /*K_*/\"CUTSPACING\" int_number layer_arraySpacing_arraycut* ';'\r\n | /*K_*/\"TYPE\" layer_type ';'\r\n | /*K_*/\"MASK\" int_number ';'\r\n | /*K_*/\"PITCH\" int_number ';'\r\n | /*K_*/\"PITCH\" int_number int_number ';'\r\n | /*K_*/\"DIAGPITCH\" int_number ';'\r\n | /*K_*/\"DIAGPITCH\" int_number int_number ';'\r\n | /*K_*/\"OFFSET\" int_number ';'\r\n | /*K_*/\"OFFSET\" int_number int_number ';'\r\n | /*K_*/\"DIAGWIDTH\" int_number ';'\r\n | /*K_*/\"DIAGSPACING\" int_number ';'\r\n | /*K_*/\"WIDTH\" int_number ';'\r\n | /*K_*/\"AREA\" NUMBER ';'\r\n | /*K_*/\"SPACING\" int_number layer_spacing_opt* layer_spacing_cut_routing ';'\r\n | /*K_*/\"SPACINGTABLE\" /*K_*/\"ORTHOGONAL\" /*K_*/\"WITHIN\" int_number /*K_*/\"SPACING\" int_number layer_spacingtable_opt* ';'\r\n | /*K_*/\"DIRECTION\" layer_direction ';'\r\n | /*K_*/\"RESISTANCE\" /*K_*/\"RPERSQ\" int_number ';'\r\n | /*K_*/\"RESISTANCE\" /*K_*/\"RPERSQ\" /*K_*/\"PWL\" '(' res_point+ ')' ';'\r\n | /*K_*/\"CAPACITANCE\" /*K_*/\"CPERSQDIST\" int_number ';'\r\n | /*K_*/\"CAPACITANCE\" /*K_*/\"CPERSQDIST\" /*K_*/\"PWL\" '(' cap_point+ ')' ';'\r\n | /*K_*/\"HEIGHT\" int_number ';'\r\n | /*K_*/\"WIREEXTENSION\" int_number ';'\r\n | /*K_*/\"THICKNESS\" int_number ';'\r\n | /*K_*/\"SHRINKAGE\" int_number ';'\r\n | /*K_*/\"CAPMULTIPLIER\" int_number ';'\r\n | /*K_*/\"EDGECAPACITANCE\" int_number ';'\r\n | /*K_*/\"ANTENNALENGTHFACTOR\" int_number ';'\r\n | /*K_*/\"CURRENTDEN\" int_number ';'\r\n | /*K_*/\"CURRENTDEN\" /*K_*/\"PWL\" '(' current_density_pwl+ ')' ';'\r\n | /*K_*/\"CURRENTDEN\" '(' int_number int_number ')' ';'\r\n | /*K_*/\"PROPERTY\" layer_prop+ ';'\r\n | /*K_*/\"ACCURRENTDENSITY\" layer_table_type layer_frequency\r\n | /*K_*/\"ACCURRENTDENSITY\" layer_table_type int_number ';'\r\n | /*K_*/\"DCCURRENTDENSITY\" /*K_*/\"AVERAGE\" int_number ';'\r\n | /*K_*/\"DCCURRENTDENSITY\" /*K_*/\"AVERAGE\" /*K_*/\"CUTAREA\" NUMBER number_list ';' dc_layer_table\r\n | /*K_*/\"DCCURRENTDENSITY\" /*K_*/\"AVERAGE\" /*K_*/\"WIDTH\" int_number int_number_list ';' dc_layer_table\r\n | /*K_*/\"ANTENNAAREARATIO\" int_number ';'\r\n | /*K_*/\"ANTENNADIFFAREARATIO\" layer_antenna_pwl ';'\r\n | /*K_*/\"ANTENNACUMAREARATIO\" int_number ';'\r\n | /*K_*/\"ANTENNACUMDIFFAREARATIO\" layer_antenna_pwl ';'\r\n | /*K_*/\"ANTENNAAREAFACTOR\" int_number layer_antenna_duo ';'\r\n | /*K_*/\"ANTENNASIDEAREARATIO\" int_number ';'\r\n | /*K_*/\"ANTENNADIFFSIDEAREARATIO\" layer_antenna_pwl ';'\r\n | /*K_*/\"ANTENNACUMSIDEAREARATIO\" int_number ';'\r\n | /*K_*/\"ANTENNACUMDIFFSIDEAREARATIO\" layer_antenna_pwl ';'\r\n | /*K_*/\"ANTENNASIDEAREAFACTOR\" int_number layer_antenna_duo ';'\r\n | /*K_*/\"ANTENNAMODEL\" layer_oxide ';'\r\n | /*K_*/\"ANTENNACUMROUTINGPLUSCUT\" ';'\r\n | /*K_*/\"ANTENNAGATEPLUSDIFF\" int_number ';'\r\n | /*K_*/\"ANTENNAAREAMINUSDIFF\" int_number ';'\r\n | /*K_*/\"ANTENNAAREADIFFREDUCEPWL\" '(' pt pt layer_diffusion_ratios ')' ';'\r\n | /*K_*/\"SLOTWIREWIDTH\" int_number ';'\r\n | /*K_*/\"SLOTWIRELENGTH\" int_number ';'\r\n | /*K_*/\"SLOTWIDTH\" int_number ';'\r\n | /*K_*/\"SLOTLENGTH\" int_number ';'\r\n | /*K_*/\"MAXADJACENTSLOTSPACING\" int_number ';'\r\n | /*K_*/\"MAXCOAXIALSLOTSPACING\" int_number ';'\r\n | /*K_*/\"MAXEDGESLOTSPACING\" int_number ';'\r\n | /*K_*/\"SPLITWIREWIDTH\" int_number ';'\r\n | /*K_*/\"MINIMUMDENSITY\" int_number ';'\r\n | /*K_*/\"MAXIMUMDENSITY\" int_number ';'\r\n | /*K_*/\"DENSITYCHECKWINDOW\" int_number int_number ';'\r\n | /*K_*/\"DENSITYCHECKSTEP\" int_number ';'\r\n | /*K_*/\"FILLACTIVESPACING\" int_number ';'\r\n | /*K_*/\"MAXWIDTH\" int_number ';'\r\n | /*K_*/\"MINWIDTH\" int_number ';'\r\n | /*K_*/\"MINENCLOSEDAREA\" NUMBER layer_minen_width ';'\r\n | /*K_*/\"MINIMUMCUT\" int_number /*K_*/\"WIDTH\" int_number layer_minimumcut_within layer_minimumcut_from layer_minimumcut_length ';'\r\n | /*K_*/\"MINSTEP\" int_number layer_minstep_option* ';'\r\n | /*K_*/\"PROTRUSIONWIDTH\" int_number /*K_*/\"LENGTH\" int_number /*K_*/\"WIDTH\" int_number ';'\r\n | /*K_*/\"SPACINGTABLE\" sp_options ';'\r\n | /*K_*/\"ENCLOSURE\" layer_enclosure_type_opt int_number int_number layer_enclosure_width_opt ';'\r\n | /*K_*/\"PREFERENCLOSURE\" layer_enclosure_type_opt int_number int_number layer_preferenclosure_width_opt ';'\r\n | /*K_*/\"RESISTANCE\" int_number ';'\r\n | /*K_*/\"DIAGMINEDGELENGTH\" int_number ';'\r\n | /*K_*/\"MINSIZE\" firstPt otherPts ';'\r\nlayer_arraySpacing_long\r\n ::= /*K_*/\"LONGARRAY\"?\r\nlayer_arraySpacing_width\r\n ::= ( /*K_*/\"WIDTH\" int_number )?\r\nlayer_arraySpacing_arraycut\r\n ::= /*K_*/\"ARRAYCUTS\" int_number /*K_*/\"SPACING\" int_number\r\nsp_options\r\n ::= /*K_*/\"PARALLELRUNLENGTH\" int_number int_number_list /*K_*/\"WIDTH\" int_number int_number_list layer_sp_parallel_width*\r\n | /*K_*/\"TWOWIDTHS\" /*K_*/\"WIDTH\" int_number layer_sp_TwoWidthsPRL int_number int_number_list layer_sp_TwoWidth*\r\n | /*K_*/\"INFLUENCE\" /*K_*/\"WIDTH\" int_number /*K_*/\"WITHIN\" int_number /*K_*/\"SPACING\" int_number layer_sp_influence_width*\r\nlayer_spacingtable_opt\r\n ::= /*K_*/\"WITHIN\" int_number /*K_*/\"SPACING\" int_number\r\nlayer_enclosure_type_opt\r\n ::= ( /*K_*/\"ABOVE\" | /*K_*/\"BELOW\" )?\r\nlayer_enclosure_width_opt\r\n ::= ( /*K_*/\"WIDTH\" int_number layer_enclosure_width_except_opt | /*K_*/\"LENGTH\" int_number )?\r\nlayer_enclosure_width_except_opt\r\n ::= ( /*K_*/\"EXCEPTEXTRACUT\" int_number )?\r\nlayer_preferenclosure_width_opt\r\n ::= ( /*K_*/\"WIDTH\" int_number )?\r\nlayer_minimumcut_within\r\n ::= ( /*K_*/\"WITHIN\" int_number )?\r\nlayer_minimumcut_from\r\n ::= ( /*K_*/\"FROMABOVE\" | /*K_*/\"FROMBELOW\" )?\r\nlayer_minimumcut_length\r\n ::= ( /*K_*/\"LENGTH\" int_number /*K_*/\"WITHIN\" int_number )?\r\nlayer_minstep_option\r\n ::= layer_minstep_type\r\n | ( /*K_*/\"LENGTHSUM\" | /*K_*/\"MAXEDGES\" ) int_number\r\nlayer_minstep_type\r\n ::= /*K_*/\"INSIDECORNER\"\r\n | /*K_*/\"OUTSIDECORNER\"\r\n | /*K_*/\"STEP\"\r\nlayer_antenna_pwl\r\n ::= int_number\r\n | /*K_*/\"PWL\" '(' pt pt layer_diffusion_ratios ')'\r\nlayer_diffusion_ratios\r\n ::= layer_diffusion_ratio*\r\nlayer_diffusion_ratio\r\n ::= pt\r\nlayer_antenna_duo\r\n ::= /*K_*/\"DIFFUSEONLY\"?\r\nlayer_table_type\r\n ::= /*K_*/\"PEAK\"\r\n | /*K_*/\"AVERAGE\"\r\n | /*K_*/\"RMS\"\r\nlayer_frequency\r\n ::= /*K_*/\"FREQUENCY\" NUMBER number_list ';' ac_layer_table_opt /*K_*/\"TABLEENTRIES\" NUMBER number_list ';'\r\nac_layer_table_opt\r\n ::= ( ( /*K_*/\"CUTAREA\" NUMBER number_list | /*K_*/\"WIDTH\" int_number int_number_list ) ';' )?\r\ndc_layer_table\r\n ::= /*K_*/\"TABLEENTRIES\" int_number int_number_list ';'\r\nint_number_list\r\n ::= int_number*\r\nnumber_list\r\n ::= NUMBER*\r\nlayer_prop\r\n ::= T_STRING ( T_STRING | QSTRING | NUMBER )\r\ncurrent_density_pwl\r\n ::= '(' int_number int_number ')'\r\ncap_point\r\n ::= '(' int_number int_number ')'\r\nres_point\r\n ::= '(' int_number int_number ')'\r\nlayer_type\r\n ::= /*K_*/\"ROUTING\"\r\n | /*K_*/\"CUT\"\r\n | /*K_*/\"OVERLAP\"\r\n | /*K_*/\"MASTERSLICE\"\r\n | /*K_*/\"VIRTUAL\"\r\n | /*K_*/\"IMPLANT\"\r\nlayer_direction\r\n ::= /*K_*/\"HORIZONTAL\"\r\n | /*K_*/\"VERTICAL\"\r\n | /*K_*/\"DIAG45\"\r\n | /*K_*/\"DIAG135\"\r\nlayer_minen_width\r\n ::= ( /*K_*/\"WIDTH\" int_number )?\r\nlayer_oxide\r\n ::= /*K_*/\"OXIDE1\"\r\n | /*K_*/\"OXIDE2\"\r\n | /*K_*/\"OXIDE3\"\r\n | /*K_*/\"OXIDE4\"\r\nlayer_sp_parallel_width\r\n ::= /*K_*/\"WIDTH\" int_number int_number_list\r\nlayer_sp_TwoWidth\r\n ::= /*K_*/\"WIDTH\" int_number layer_sp_TwoWidthsPRL int_number int_number_list\r\nlayer_sp_TwoWidthsPRL\r\n ::= ( /*K_*/\"PRL\" int_number )?\r\nlayer_sp_influence_width\r\n ::= /*K_*/\"WIDTH\" int_number /*K_*/\"WITHIN\" int_number /*K_*/\"SPACING\" int_number\r\nmaxstack_via\r\n ::= /*K_*/\"MAXVIASTACK\" int_number ( /*K_*/\"RANGE\" T_STRING T_STRING )? ';'\r\nvia ::= start_via via_option end_via\r\nvia_keyword\r\n ::= /*K_*/\"VIA\"\r\nstart_via\r\n ::= via_keyword T_STRING ( /*K_*/\"DEFAULT\" | /*K_*/\"GENERATED\" )?\r\nvia_viarule\r\n ::= /*K_*/\"VIARULE\" T_STRING ';' /*K_*/\"CUTSIZE\" int_number int_number ';' /*K_*/\"LAYERS\" T_STRING T_STRING T_STRING ';' /*K_*/\"CUTSPACING\" int_number int_number ';' /*K_*/\"ENCLOSURE\" int_number int_number int_number int_number ';' via_viarule_option*\r\nvia_viarule_option\r\n ::= ( ( /*K_*/\"ROWCOL\" | /*K_*/\"ORIGIN\" | /*K_*/\"OFFSET\" int_number int_number ) int_number int_number | /*K_*/\"PATTERN\" T_STRING ) ';'\r\nvia_option\r\n ::= via_viarule\r\n | via_other_options\r\nvia_other_options\r\n ::= via_other_option+\r\nvia_other_option\r\n ::= via_foreign\r\n | via_layer_rule\r\n | ( /*K_*/\"RESISTANCE\" int_number | /*K_*/\"PROPERTY\" via_name_value_pair+ ) ';'\r\n | /*K_*/\"TOPOFSTACKONLY\"\r\nvia_name_value_pair\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nvia_foreign\r\n ::= start_foreign pt? orientation? ';'\r\nstart_foreign\r\n ::= /*K_*/\"FOREIGN\" T_STRING\r\norientation\r\n ::= /*K_*/\"N\"\r\n | /*K_*/\"W\"\r\n | /*K_*/\"S\"\r\n | /*K_*/\"E\"\r\n | /*K_*/\"FN\"\r\n | /*K_*/\"FW\"\r\n | /*K_*/\"FS\"\r\n | /*K_*/\"FE\"\r\n | /*K_*/\"R0\"\r\n | /*K_*/\"R90\"\r\n | /*K_*/\"R180\"\r\n | /*K_*/\"R270\"\r\n | /*K_*/\"MY\"\r\n | /*K_*/\"MYR90\"\r\n | /*K_*/\"MX\"\r\n | /*K_*/\"MXR90\"\r\nvia_layer_rule\r\n ::= via_layer via_geometry*\r\nvia_layer\r\n ::= /*K_*/\"LAYER\" T_STRING ';'\r\nvia_geometry\r\n ::= ( /*K_*/\"RECT\" maskColor pt pt | /*K_*/\"POLYGON\" maskColor firstPt nextPt nextPt otherPts ) ';'\r\nend_via ::= /*K_*/\"END\" T_STRING\r\nviarule_keyword\r\n ::= /*K_*/\"VIARULE\" T_STRING\r\nviarule ::= viarule_keyword viarule_layer_list via_name* opt_viarule_props end_viarule\r\nviarule_generate\r\n ::= viarule_keyword /*K_*/\"GENERATE\" viarule_generate_default viarule_layer_list opt_viarule_props end_viarule\r\nviarule_generate_default\r\n ::= /*K_*/\"DEFAULT\"?\r\nviarule_layer_list\r\n ::= viarule_layer+\r\nopt_viarule_props\r\n ::= viarule_prop*\r\nviarule_prop\r\n ::= /*K_*/\"PROPERTY\" viarule_prop+ ';'\r\n | T_STRING ( T_STRING | QSTRING | NUMBER )\r\nviarule_layer\r\n ::= viarule_layer_name viarule_layer_option*\r\nvia_name ::= via_keyword T_STRING ';'\r\nviarule_layer_name\r\n ::= /*K_*/\"LAYER\" T_STRING ';'\r\nviarule_layer_option\r\n ::= ( /*K_*/\"DIRECTION\" ( /*K_*/\"HORIZONTAL\" | /*K_*/\"VERTICAL\" ) | ( /*K_*/\"ENCLOSURE\" int_number | /*K_*/\"WIDTH\" int_number /*K_*/\"TO\" | /*K_*/\"SPACING\" int_number /*K_*/\"BY\" | /*K_*/\"RESISTANCE\" | /*K_*/\"OVERHANG\" | /*K_*/\"METALOVERHANG\" ) int_number | /*K_*/\"RECT\" pt pt ) ';'\r\nend_viarule\r\n ::= /*K_*/\"END\" T_STRING\r\nspacing_rule\r\n ::= start_spacing spacing* end_spacing\r\nstart_spacing\r\n ::= /*K_*/\"SPACING\"\r\nend_spacing\r\n ::= /*K_*/\"END\" /*K_*/\"SPACING\"\r\nspacing ::= samenet_keyword T_STRING T_STRING int_number /*K_*/\"STACK\"? ';'\r\nsamenet_keyword\r\n ::= /*K_*/\"SAMENET\"\r\nmaskColor\r\n ::= ( /*K_*/\"MASK\" int_number )?\r\nirdrop ::= start_irdrop ir_table* end_irdrop\r\nstart_irdrop\r\n ::= /*K_*/\"IRDROP\"\r\nend_irdrop\r\n ::= /*K_*/\"END\" /*K_*/\"IRDROP\"\r\nir_table ::= ir_tablename ir_table_value* ';'\r\nir_table_value\r\n ::= int_number int_number\r\nir_tablename\r\n ::= /*K_*/\"TABLE\" T_STRING\r\nminfeature\r\n ::= /*K_*/\"MINFEATURE\" int_number int_number ';'\r\ndielectric\r\n ::= /*K_*/\"DIELECTRIC\" int_number ';'\r\nnondefault_rule\r\n ::= /*K_*/\"NONDEFAULTRULE\" T_STRING nd_hardspacing nd_rule* end_nd_rule\r\nend_nd_rule\r\n ::= /*K_*/\"END\" T_STRING?\r\nnd_hardspacing\r\n ::= ( /*K_*/\"HARDSPACING\" ';' )?\r\nnd_rule ::= nd_layer\r\n | via\r\n | spacing_rule\r\n | nd_prop\r\n | usevia\r\n | useviarule\r\n | mincuts\r\nusevia ::= /*K_*/\"USEVIA\" T_STRING ';'\r\nuseviarule\r\n ::= /*K_*/\"USEVIARULE\" T_STRING ';'\r\nmincuts ::= /*K_*/\"MINCUTS\" T_STRING int_number ';'\r\nnd_prop ::= /*K_*/\"PROPERTY\" nd_prop+ ';'\r\n | T_STRING ( T_STRING | QSTRING | NUMBER )\r\nnd_layer ::= /*K_*/\"LAYER\" T_STRING /*K_*/\"WIDTH\" int_number ';' nd_layer_stmt* /*K_*/\"END\" T_STRING\r\nnd_layer_stmt\r\n ::= ( /*K_*/\"SPACING\" | /*K_*/\"WIREEXTENSION\" | /*K_*/\"RESISTANCE\" /*K_*/\"RPERSQ\" | /*K_*/\"CAPACITANCE\" /*K_*/\"CPERSQDIST\" | /*K_*/\"EDGECAPACITANCE\" | /*K_*/\"DIAGWIDTH\" ) int_number ';'\r\nsite ::= start_site site_option* end_site\r\nstart_site\r\n ::= /*K_*/\"SITE\" T_STRING\r\nend_site ::= /*K_*/\"END\" T_STRING\r\nsite_option\r\n ::= /*K_*/\"SIZE\" int_number /*K_*/\"BY\" int_number ';'\r\n | site_symmetry_statement\r\n | site_class\r\n | site_rowpattern_statement\r\nsite_class\r\n ::= /*K_*/\"CLASS\" ( /*K_*/\"PAD\" | /*K_*/\"CORE\" | /*K_*/\"VIRTUAL\" ) ';'\r\nsite_symmetry_statement\r\n ::= /*K_*/\"SYMMETRY\" site_symmetry* ';'\r\nsite_symmetry\r\n ::= /*K_*/\"X\"\r\n | /*K_*/\"Y\"\r\n | /*K_*/\"R90\"\r\nsite_rowpattern_statement\r\n ::= /*K_*/\"ROWPATTERN\" site_rowpattern* ';'\r\nsite_rowpattern\r\n ::= T_STRING orientation\r\npt ::= int_number int_number\r\n | '(' int_number int_number ')'\r\nmacro ::= start_macro macro_option* end_macro\r\nstart_macro\r\n ::= /*K_*/\"MACRO\" T_STRING\r\nend_macro\r\n ::= /*K_*/\"END\" T_STRING\r\nmacro_option\r\n ::= macro_class\r\n | macro_generator\r\n | macro_generate\r\n | macro_source\r\n | macro_symmetry_statement\r\n | macro_fixedMask\r\n | macro_origin\r\n | macro_power\r\n | macro_foreign\r\n | macro_eeq\r\n | macro_leq\r\n | macro_size\r\n | macro_site\r\n | macro_pin\r\n | ( /*K_*/\"FUNCTION\" ( /*K_*/\"BUFFER\" | /*K_*/\"INVERTER\" ) | /*K_*/\"PROPERTY\" macro_name_value_pair+ ) ';'\r\n | macro_obs\r\n | macro_density\r\n | macro_clocktype\r\n | timing\r\nmacro_symmetry_statement\r\n ::= /*K_*/\"SYMMETRY\" macro_symmetry* ';'\r\nmacro_symmetry\r\n ::= /*K_*/\"X\"\r\n | /*K_*/\"Y\"\r\n | /*K_*/\"R90\"\r\nmacro_name_value_pair\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nmacro_class\r\n ::= /*K_*/\"CLASS\" class_type ';'\r\nclass_type\r\n ::= /*K_*/\"COVER\" /*K_*/\"BUMP\"?\r\n | /*K_*/\"RING\"\r\n | /*K_*/\"BLOCK\" ( /*K_*/\"BLACKBOX\" | /*K_*/\"SOFT\" )?\r\n | /*K_*/\"NONE\"\r\n | /*K_*/\"BUMP\"\r\n | /*K_*/\"PAD\" pad_type?\r\n | /*K_*/\"VIRTUAL\"\r\n | /*K_*/\"CORE\" core_type?\r\n | /*K_*/\"CORNER\"\r\n | /*K_*/\"ENDCAP\" endcap_type\r\npad_type ::= /*K_*/\"INPUT\"\r\n | /*K_*/\"OUTPUT\"\r\n | /*K_*/\"INOUT\"\r\n | /*K_*/\"POWER\"\r\n | /*K_*/\"SPACER\"\r\n | /*K_*/\"AREAIO\"\r\ncore_type\r\n ::= /*K_*/\"FEEDTHRU\"\r\n | /*K_*/\"TIEHIGH\"\r\n | /*K_*/\"TIELOW\"\r\n | /*K_*/\"SPACER\"\r\n | /*K_*/\"ANTENNACELL\"\r\n | /*K_*/\"WELLTAP\"\r\nendcap_type\r\n ::= /*K_*/\"PRE\"\r\n | /*K_*/\"POST\"\r\n | /*K_*/\"TOPLEFT\"\r\n | /*K_*/\"TOPRIGHT\"\r\n | /*K_*/\"BOTTOMLEFT\"\r\n | /*K_*/\"BOTTOMRIGHT\"\r\nmacro_generator\r\n ::= /*K_*/\"GENERATOR\" T_STRING ';'\r\nmacro_generate\r\n ::= /*K_*/\"GENERATE\" T_STRING T_STRING ';'\r\nmacro_source\r\n ::= /*K_*/\"SOURCE\" ( /*K_*/\"USER\" | /*K_*/\"GENERATE\" | /*K_*/\"BLOCK\" ) ';'\r\nmacro_power\r\n ::= /*K_*/\"POWER\" int_number ';'\r\nmacro_origin\r\n ::= /*K_*/\"ORIGIN\" pt ';'\r\nmacro_foreign\r\n ::= start_foreign pt? orientation? ';'\r\nmacro_fixedMask\r\n ::= /*K_*/\"FIXEDMASK\" ';'\r\nmacro_eeq\r\n ::= /*K_*/\"EEQ\" T_STRING ';'\r\nmacro_leq\r\n ::= /*K_*/\"LEQ\" T_STRING ';'\r\nmacro_site\r\n ::= macro_site_word ( T_STRING | sitePattern ) ';'\r\nmacro_site_word\r\n ::= /*K_*/\"SITE\"\r\nsite_word\r\n ::= /*K_*/\"SITE\"\r\nmacro_size\r\n ::= /*K_*/\"SIZE\" int_number /*K_*/\"BY\" int_number ';'\r\nmacro_pin\r\n ::= start_macro_pin macro_pin_option* end_macro_pin\r\nstart_macro_pin\r\n ::= /*K_*/\"PIN\" T_STRING\r\nend_macro_pin\r\n ::= /*K_*/\"END\" T_STRING\r\nmacro_pin_option\r\n ::= ( start_foreign /*K_*/\"STRUCTURE\"? ( pt orientation? )? | ( /*K_*/\"LEQ\" | /*K_*/\"MUSTJOIN\" | /*K_*/\"IV_TABLES\" T_STRING | /*K_*/\"TAPERRULE\" | /*K_*/\"SUPPLYSENSITIVITY\" | /*K_*/\"GROUNDSENSITIVITY\" ) T_STRING | ( /*K_*/\"POWER\" | /*K_*/\"LEAKAGE\" | /*K_*/\"RISETHRESH\" | /*K_*/\"FALLTHRESH\" | /*K_*/\"RISESATCUR\" | /*K_*/\"FALLSATCUR\" | /*K_*/\"VLO\" | /*K_*/\"VHI\" | /*K_*/\"TIEOFFR\" | ( /*K_*/\"OUTPUTNOISEMARGIN\" | /*K_*/\"OUTPUTRESISTANCE\" | /*K_*/\"INPUTNOISEMARGIN\" ) int_number | /*K_*/\"CAPACITANCE\" | /*K_*/\"MAXDELAY\" | /*K_*/\"MAXLOAD\" | /*K_*/\"RESISTANCE\" | /*K_*/\"PULLDOWNRES\" | /*K_*/\"RISEVOLTAGETHRESHOLD\" | /*K_*/\"FALLVOLTAGETHRESHOLD\" | /*K_*/\"RISESLEWLIMIT\" | /*K_*/\"FALLSLEWLIMIT\" ) int_number | /*K_*/\"USE\" macro_pin_use | /*K_*/\"SCANUSE\" macro_scan_use | /*K_*/\"SHAPE\" pin_shape | /*K_*/\"PROPERTY\" pin_name_value_pair+ | ( ( /*K_*/\"ANTENNASIZE\" | /*K_*/\"ANTENNAMETALLENGTH\" ) int_number | ( /*K_*/\"ANTENNAMETALAREA\" | /*K_*/\"ANTENNAPARTIALMETALAREA\" | /*K_*/\"ANTENNAPARTIALMETALSIDEAREA\" | /*K_*/\"ANTENNAPARTIALCUTAREA\" | /*K_*/\"ANTENNADIFFAREA\" | /*K_*/\"ANTENNAGATEAREA\" ) NUMBER ) opt_layer_name | ( /*K_*/\"ANTENNAMAXAREACAR\" | /*K_*/\"ANTENNAMAXSIDEAREACAR\" | /*K_*/\"ANTENNAMAXCUTCAR\" ) NUMBER req_layer_name | /*K_*/\"ANTENNAMODEL\" pin_layer_oxide | /*K_*/\"NETEXPR\" QSTRING | /*K_*/\"CURRENTSOURCE\" ( /*K_*/\"ACTIVE\" | /*K_*/\"RESISTIVE\" ) ) ';'\r\n | electrical_direction\r\n | start_macro_port ( macro_port_class_option geometries )? /*K_*/\"END\"\r\npin_layer_oxide\r\n ::= /*K_*/\"OXIDE1\"\r\n | /*K_*/\"OXIDE2\"\r\n | /*K_*/\"OXIDE3\"\r\n | /*K_*/\"OXIDE4\"\r\npin_name_value_pair\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nelectrical_direction\r\n ::= /*K_*/\"DIRECTION\" ( /*K_*/\"INPUT\" | /*K_*/\"INOUT\" | /*K_*/\"FEEDTHRU\" | /*K_*/\"OUTPUT\" /*K_*/\"TRISTATE\"? ) ';'\r\nstart_macro_port\r\n ::= /*K_*/\"PORT\"\r\nmacro_port_class_option\r\n ::= ( /*K_*/\"CLASS\" class_type ';' )?\r\nmacro_pin_use\r\n ::= /*K_*/\"SIGNAL\"\r\n | /*K_*/\"ANALOG\"\r\n | /*K_*/\"POWER\"\r\n | /*K_*/\"GROUND\"\r\n | /*K_*/\"CLOCK\"\r\n | /*K_*/\"DATA\"\r\nmacro_scan_use\r\n ::= /*K_*/\"INPUT\"\r\n | /*K_*/\"OUTPUT\"\r\n | /*K_*/\"START\"\r\n | /*K_*/\"STOP\"\r\npin_shape\r\n ::= ( /*K_*/\"ABUTMENT\" | /*K_*/\"RING\" | /*K_*/\"FEEDTHRU\" )?\r\ngeometries\r\n ::= geometry+\r\ngeometry ::= ( /*K_*/\"LAYER\" T_STRING layer_exceptpgnet layer_spacing | /*K_*/\"WIDTH\" int_number | /*K_*/\"PATH\" maskColor ( firstPt otherPts | /*K_*/\"ITERATE\" firstPt otherPts stepPattern ) | /*K_*/\"RECT\" maskColor ( pt pt | /*K_*/\"ITERATE\" pt pt stepPattern ) | /*K_*/\"POLYGON\" maskColor ( firstPt nextPt nextPt otherPts | /*K_*/\"ITERATE\" firstPt nextPt nextPt otherPts stepPattern ) ) ';'\r\n | via_placement\r\nlayer_exceptpgnet\r\n ::= /*K_*/\"EXCEPTPGNET\"?\r\nlayer_spacing\r\n ::= ( ( /*K_*/\"SPACING\" | /*K_*/\"DESIGNRULEWIDTH\" ) int_number )?\r\nfirstPt ::= pt\r\nnextPt ::= pt\r\notherPts ::= nextPt*\r\nvia_placement\r\n ::= /*K_*/\"VIA\" ( maskColor pt T_STRING | /*K_*/\"ITERATE\" maskColor pt T_STRING stepPattern ) ';'\r\nstepPattern\r\n ::= /*K_*/\"DO\" int_number /*K_*/\"BY\" int_number /*K_*/\"STEP\" int_number int_number\r\nsitePattern\r\n ::= T_STRING int_number int_number orientation ( /*K_*/\"DO\" int_number /*K_*/\"BY\" int_number /*K_*/\"STEP\" int_number int_number )?\r\ntrackPattern\r\n ::= ( /*K_*/\"X\" | /*K_*/\"Y\" ) int_number /*K_*/\"DO\" int_number /*K_*/\"STEP\" int_number ( /*K_*/\"LAYER\" trackLayers )?\r\ntrackLayers\r\n ::= layer_name*\r\nlayer_name\r\n ::= T_STRING\r\ngcellPattern\r\n ::= ( /*K_*/\"X\" | /*K_*/\"Y\" ) int_number /*K_*/\"DO\" int_number /*K_*/\"STEP\" int_number\r\nmacro_obs\r\n ::= start_macro_obs geometries? /*K_*/\"END\"\r\nstart_macro_obs\r\n ::= /*K_*/\"OBS\"\r\nmacro_density\r\n ::= /*K_*/\"DENSITY\" density_layer+ /*K_*/\"END\"\r\ndensity_layer\r\n ::= /*K_*/\"LAYER\" T_STRING ';' density_layer_rect+\r\ndensity_layer_rect\r\n ::= /*K_*/\"RECT\" pt pt int_number ';'\r\nmacro_clocktype\r\n ::= /*K_*/\"CLOCKTYPE\" T_STRING ';'\r\ntiming ::= start_timing timing_option* end_timing\r\nstart_timing\r\n ::= /*K_*/\"TIMING\"\r\nend_timing\r\n ::= /*K_*/\"END\" /*K_*/\"TIMING\"\r\ntiming_option\r\n ::= ( ( /*K_*/\"FROMPIN\" | /*K_*/\"TOPIN\" ) T_STRING+ | /*K_*/\"TABLEAXIS\" int_number+ | /*K_*/\"TABLEENTRIES\" table_entry+ | ( /*K_*/\"RISERS\" | /*K_*/\"FALLRS\" | /*K_*/\"RISECS\" | /*K_*/\"FALLCS\" | /*K_*/\"RISESATT1\" | /*K_*/\"FALLSATT1\" | /*K_*/\"RISET0\" | /*K_*/\"FALLT0\" | ( two_pin_trigger from_pin_trigger to_pin_trigger | one_pin_trigger ) /*K_*/\"TABLEDIMENSION\" int_number | risefall ( /*K_*/\"INTRINSIC\" int_number int_number slew_spec /*K_*/\"VARIABLE\" | delay_or_transition /*K_*/\"UNATENESS\" unateness /*K_*/\"TABLEDIMENSION\" int_number ) ) int_number int_number | /*K_*/\"UNATENESS\" unateness | /*K_*/\"STABLE\" /*K_*/\"SETUP\" int_number /*K_*/\"HOLD\" int_number risefall | ( /*K_*/\"SDFCONDSTART\" | /*K_*/\"SDFCONDEND\" | /*K_*/\"SDFCOND\" ) QSTRING | /*K_*/\"EXTENSION\" ) ';'\r\none_pin_trigger\r\n ::= /*K_*/\"MPWH\"\r\n | /*K_*/\"MPWL\"\r\n | /*K_*/\"PERIOD\"\r\ntwo_pin_trigger\r\n ::= /*K_*/\"SETUP\"\r\n | /*K_*/\"HOLD\"\r\n | /*K_*/\"RECOVERY\"\r\n | /*K_*/\"SKEW\"\r\nfrom_pin_trigger\r\n ::= /*K_*/\"ANYEDGE\"\r\n | /*K_*/\"POSEDGE\"\r\n | /*K_*/\"NEGEDGE\"\r\nto_pin_trigger\r\n ::= /*K_*/\"ANYEDGE\"\r\n | /*K_*/\"POSEDGE\"\r\n | /*K_*/\"NEGEDGE\"\r\ndelay_or_transition\r\n ::= /*K_*/\"DELAY\"\r\n | /*K_*/\"TRANSITIONTIME\"\r\ntable_entry\r\n ::= '(' int_number int_number int_number ')'\r\nslew_spec\r\n ::= ( int_number int_number int_number int_number ( int_number int_number int_number )? )?\r\nrisefall ::= /*K_*/\"RISE\"\r\n | /*K_*/\"FALL\"\r\nunateness\r\n ::= /*K_*/\"INVERT\"\r\n | /*K_*/\"NONINVERT\"\r\n | /*K_*/\"NONUNATE\"\r\narray ::= start_array array_rule* end_array\r\nstart_array\r\n ::= /*K_*/\"ARRAY\" T_STRING\r\nend_array\r\n ::= /*K_*/\"END\" T_STRING\r\narray_rule\r\n ::= ( ( site_word | /*K_*/\"CANPLACE\" | /*K_*/\"CANNOTOCCUPY\" ) sitePattern | /*K_*/\"TRACKS\" trackPattern | /*K_*/\"GCELLGRID\" gcellPattern ) ';'\r\n | floorplan_start floorplan_element* /*K_*/\"END\" T_STRING\r\n | /*K_*/\"DEFAULTCAP\" int_number one_cap* /*K_*/\"END\" /*K_*/\"DEFAULTCAP\"\r\n | def_statement\r\nfloorplan_start\r\n ::= /*K_*/\"FLOORPLAN\" T_STRING\r\nfloorplan_element\r\n ::= ( /*K_*/\"CANPLACE\" | /*K_*/\"CANNOTOCCUPY\" ) sitePattern ';'\r\none_cap ::= /*K_*/\"MINPINS\" int_number /*K_*/\"WIRECAP\" int_number ';'\r\nmsg_statement\r\n ::= /*K_*/\"MESSAGE\" T_STRING '=' s_expr dtrm\r\ncreate_file_statement\r\n ::= /*K_*/\"CREATEFILE\" T_STRING '=' s_expr dtrm\r\ndef_statement\r\n ::= ( /*K_*/\"DEFINE\" T_STRING '=' expression | /*K_*/\"DEFINES\" T_STRING '=' s_expr | /*K_*/\"DEFINEB\" T_STRING '=' b_expr ) dtrm\r\ndtrm ::= ( ';' | '\\n' )?\r\nthen ::= '\\n'? /*K_*/\"THEN\"\r\nelse ::= '\\n'? /*K_*/\"ELSE\"\r\nexpression\r\n ::= ( expression ( '+' | '-' | '*' | '/' ) | '-' | /*K_*/\"IF\" b_expr then expression else ) expression\r\n | '(' expression ')'\r\n | int_number\r\nb_expr ::= expression ( relop | /*K_*/\"AND\" | /*K_*/\"OR\" ) expression\r\n | s_expr ( relop | /*K_*/\"AND\" | /*K_*/\"OR\" ) s_expr\r\n | ( b_expr ( /*K_*/\"EQ\" | /*K_*/\"NE\" | /*K_*/\"AND\" | /*K_*/\"OR\" ) | /*K_*/\"NOT\" | /*K_*/\"IF\" b_expr then b_expr else ) b_expr\r\n | '(' b_expr ')'\r\n | /*K_*/\"TRUE\"\r\n | /*K_*/\"FALSE\"\r\ns_expr ::= ( s_expr '+' | /*K_*/\"IF\" b_expr then s_expr else ) s_expr\r\n | '(' s_expr ')'\r\n | QSTRING\r\nrelop ::= /*K_*/\"LE\"\r\n | /*K_*/\"LT\"\r\n | /*K_*/\"GE\"\r\n | /*K_*/\"GT\"\r\n | /*K_*/\"EQ\"\r\n | /*K_*/\"NE\"\r\n | '='\r\n | '<'\r\n | '>'\r\nprop_def_section\r\n ::= /*K_*/\"PROPDEF\" prop_stmt* /*K_*/\"END\" /*K_*/\"PROPDEF\"\r\nprop_stmt\r\n ::= ( /*K_*/\"LIBRARY\" | /*K_*/\"COMPONENTPIN\" | /*K_*/\"PIN\" | /*K_*/\"MACRO\" | /*K_*/\"VIA\" | /*K_*/\"VIARULE\" | /*K_*/\"LAYER\" | /*K_*/\"NONDEFAULTRULE\" ) T_STRING prop_define ';'\r\nprop_define\r\n ::= /*K_*/\"INTEGER\" opt_def_range opt_def_dvalue\r\n | /*K_*/\"REAL\" opt_def_range opt_def_value\r\n | /*K_*/\"STRING\" QSTRING?\r\n | /*K_*/\"NAMEMAPSTRING\" T_STRING\r\nopt_range_second\r\n ::= ( /*K_*/\"INFLUENCE\" int_number )? ( /*K_*/\"RANGE\" int_number int_number )?\r\n | /*K_*/\"USELENGTHTHRESHOLD\"\r\nopt_endofline\r\n ::= ( /*K_*/\"PARALLELEDGE\" int_number /*K_*/\"WITHIN\" int_number opt_endofline_twoedges )?\r\nopt_endofline_twoedges\r\n ::= /*K_*/\"TWOEDGES\"?\r\nopt_samenetPGonly\r\n ::= /*K_*/\"PGONLY\"?\r\nopt_def_range\r\n ::= ( /*K_*/\"RANGE\" int_number int_number )?\r\nopt_def_value\r\n ::= NUMBER?\r\nopt_def_dvalue\r\n ::= int_number?\r\nlayer_spacing_opt\r\n ::= /*K_*/\"CENTERTOCENTER\"\r\n | /*K_*/\"SAMENET\" opt_samenetPGonly\r\n | /*K_*/\"PARALLELOVERLAP\"\r\nlayer_spacing_cut_routing\r\n ::= ( /*K_*/\"LAYER\" T_STRING spacing_cut_layer_opt | /*K_*/\"ADJACENTCUTS\" int_number /*K_*/\"WITHIN\" int_number opt_adjacentcuts_exceptsame | /*K_*/\"AREA\" NUMBER | /*K_*/\"RANGE\" int_number int_number opt_range_second | ( /*K_*/\"LENGTHTHRESHOLD\" ( int_number /*K_*/\"RANGE\" int_number )? | ( /*K_*/\"ENDOFNOTCHWIDTH\" int_number /*K_*/\"NOTCHSPACING\" int_number )? /*K_*/\"NOTCHLENGTH\" ) int_number | /*K_*/\"ENDOFLINE\" int_number /*K_*/\"WITHIN\" int_number opt_endofline )?\r\nspacing_cut_layer_opt\r\n ::= /*K_*/\"STACK\"?\r\nopt_adjacentcuts_exceptsame\r\n ::= /*K_*/\"EXCEPTSAMEPGNET\"?\r\nopt_layer_name\r\n ::= ( /*K_*/\"LAYER\" T_STRING )?\r\nreq_layer_name\r\n ::= /*K_*/\"LAYER\" T_STRING\r\nuniversalnoisemargin\r\n ::= /*K_*/\"UNIVERSALNOISEMARGIN\" int_number int_number ';'\r\nedgeratethreshold1\r\n ::= /*K_*/\"EDGERATETHRESHOLD1\" int_number ';'\r\nedgeratethreshold2\r\n ::= /*K_*/\"EDGERATETHRESHOLD2\" int_number ';'\r\nedgeratescalefactor\r\n ::= /*K_*/\"EDGERATESCALEFACTOR\" int_number ';'\r\nnoisetable\r\n ::= /*K_*/\"NOISETABLE\" int_number ';' noise_table_entry+ end_noisetable dtrm\r\nend_noisetable\r\n ::= /*K_*/\"END\" /*K_*/\"NOISETABLE\"\r\nnoise_table_entry\r\n ::= /*K_*/\"EDGERATE\" int_number ';'\r\n | output_resistance_entry\r\noutput_resistance_entry\r\n ::= /*K_*/\"OUTPUTRESISTANCE\" int_number+ ';' victim+\r\nvictim ::= /*K_*/\"VICTIMLENGTH\" int_number ';' /*K_*/\"VICTIMNOISE\" int_number+ ';'\r\ncorrectiontable\r\n ::= /*K_*/\"CORRECTIONTABLE\" int_number ';' correction_table_item+ end_correctiontable dtrm\r\nend_correctiontable\r\n ::= /*K_*/\"END\" /*K_*/\"CORRECTIONTABLE\"\r\ncorrection_table_item\r\n ::= /*K_*/\"EDGERATE\" int_number ';'\r\n | output_list\r\noutput_list\r\n ::= /*K_*/\"OUTPUTRESISTANCE\" int_number+ ';' corr_victim+\r\ncorr_victim\r\n ::= /*K_*/\"VICTIMLENGTH\" int_number ';' /*K_*/\"CORRECTIONFACTOR\" int_number+ ';'\r\ninput_antenna\r\n ::= /*K_*/\"INPUTPINANTENNASIZE\" int_number ';'\r\noutput_antenna\r\n ::= /*K_*/\"OUTPUTPINANTENNASIZE\" int_number ';'\r\ninout_antenna\r\n ::= /*K_*/\"INOUTPINANTENNASIZE\" int_number ';'\r\nantenna_input\r\n ::= /*K_*/\"ANTENNAINPUTGATEAREA\" NUMBER ';'\r\nantenna_inout\r\n ::= /*K_*/\"ANTENNAINOUTDIFFAREA\" NUMBER ';'\r\nantenna_output\r\n ::= /*K_*/\"ANTENNAOUTPUTDIFFAREA\" NUMBER ';'\r\nextension_opt\r\n ::= extension?\r\nextension\r\n ::= /*K_*/\"BEGINEXT\"\r\n```\r\n```\r\n/* converted on Sat Nov 12, 2022, 11:29 (UTC+01) by bison-to-w3c v0.61 which is Copyright (c) 2011-2022 by Gunther Rademacher */\r\n//From https://raw.githubusercontent.com/The-OpenROAD-Project/OpenROAD/7f985d5e0a07ebb08ccff8c4453abec95d747a26/src/odb/src/def/def/def.y\r\ndef_file ::= version_stmt case_sens_stmt error? rule* end_design\r\nversion_stmt\r\n ::= ( /*K_*/\"VERSION\" T_STRING ';' )?\r\ncase_sens_stmt\r\n ::= ( /*K_*/\"NAMESCASESENSITIVE\" ( /*K_*/\"ON\" | /*K_*/\"OFF\" ) ';' )?\r\nrule ::= design_section\r\n | assertions_section\r\n | blockage_section\r\n | comps_section\r\n | constraint_section\r\n | extension_section\r\n | fill_section\r\n | comps_maskShift_section\r\n | floorplan_contraints_section\r\n | groups_section\r\n | iotiming_section\r\n | nets_section\r\n | nondefaultrule_section\r\n | partitions_section\r\n | pin_props_section\r\n | regions_section\r\n | scanchains_section\r\n | slot_section\r\n | snets_section\r\n | styles_section\r\n | timingdisables_section\r\n | via_section\r\ndesign_section\r\n ::= array_name\r\n | bus_bit_chars\r\n | canplace\r\n | cannotoccupy\r\n | design_name\r\n | die_area\r\n | divider_char\r\n | floorplan_name\r\n | gcellgrid\r\n | history\r\n | pin_cap_rule\r\n | pin_rule\r\n | prop_def_section\r\n | row_rule\r\n | tech_name\r\n | tracks_rule\r\n | units\r\ndesign_name\r\n ::= /*K_*/\"DESIGN\" T_STRING ';'\r\nend_design\r\n ::= /*K_*/\"END\" /*K_*/\"DESIGN\"\r\ntech_name\r\n ::= /*K_*/\"TECH\" T_STRING ';'\r\narray_name\r\n ::= /*K_*/\"ARRAY\" T_STRING ';'\r\nfloorplan_name\r\n ::= /*K_*/\"FLOORPLAN\" T_STRING ';'\r\nhistory ::= /*K_*/\"HISTORY\"\r\nprop_def_section\r\n ::= /*K_*/\"PROPERTYDEFINITIONS\" property_def* /*K_*/\"END\" /*K_*/\"PROPERTYDEFINITIONS\"\r\nproperty_def\r\n ::= ( ( /*K_*/\"DESIGN\" | /*K_*/\"NET\" | /*K_*/\"SNET\" | /*K_*/\"REGION\" | /*K_*/\"GROUP\" | /*K_*/\"COMPONENT\" | /*K_*/\"ROW\" | /*K_*/\"COMPONENTPIN\" | /*K_*/\"NONDEFAULTRULE\" ) T_STRING property_type_and_val | error ) ';'\r\nproperty_type_and_val\r\n ::= ( /*K_*/\"INTEGER\" | /*K_*/\"REAL\" ) opt_range opt_num_val\r\n | /*K_*/\"STRING\" QSTRING?\r\n | /*K_*/\"NAMEMAPSTRING\" T_STRING\r\nopt_num_val\r\n ::= NUMBER?\r\nunits ::= /*K_*/\"UNITS\" /*K_*/\"DISTANCE\" /*K_*/\"MICRONS\" NUMBER ';'\r\ndivider_char\r\n ::= /*K_*/\"DIVIDERCHAR\" QSTRING ';'\r\nbus_bit_chars\r\n ::= /*K_*/\"BUSBITCHARS\" QSTRING ';'\r\ncanplace ::= /*K_*/\"CANPLACE\" T_STRING NUMBER NUMBER orient /*K_*/\"DO\" NUMBER /*K_*/\"BY\" NUMBER /*K_*/\"STEP\" NUMBER NUMBER ';'\r\ncannotoccupy\r\n ::= /*K_*/\"CANNOTOCCUPY\" T_STRING NUMBER NUMBER orient /*K_*/\"DO\" NUMBER /*K_*/\"BY\" NUMBER /*K_*/\"STEP\" NUMBER NUMBER ';'\r\norient ::= /*K_*/\"N\"\r\n | /*K_*/\"W\"\r\n | /*K_*/\"S\"\r\n | /*K_*/\"E\"\r\n | /*K_*/\"FN\"\r\n | /*K_*/\"FW\"\r\n | /*K_*/\"FS\"\r\n | /*K_*/\"FE\"\r\ndie_area ::= /*K_*/\"DIEAREA\" firstPt nextPt otherPts ';'\r\npin_cap_rule\r\n ::= start_def_cap pin_cap* end_def_cap\r\nstart_def_cap\r\n ::= /*K_*/\"DEFAULTCAP\" NUMBER\r\npin_cap ::= /*K_*/\"MINPINS\" NUMBER /*K_*/\"WIRECAP\" NUMBER ';'\r\nend_def_cap\r\n ::= /*K_*/\"END\" /*K_*/\"DEFAULTCAP\"\r\npin_rule ::= start_pins pin* end_pins\r\nstart_pins\r\n ::= /*K_*/\"PINS\" NUMBER ';'\r\npin ::= '-' T_STRING '+' /*K_*/\"NET\" T_STRING pin_option* ';'\r\npin_option\r\n ::= '+' ( /*K_*/\"SPECIAL\" | ( /*K_*/\"DIRECTION\" | /*K_*/\"SUPPLYSENSITIVITY\" | /*K_*/\"GROUNDSENSITIVITY\" | ( /*K_*/\"ANTENNAPINMAXAREACAR\" | /*K_*/\"ANTENNAPINMAXSIDEAREACAR\" | /*K_*/\"ANTENNAPINMAXCUTCAR\" ) NUMBER /*K_*/\"LAYER\" ) T_STRING | /*K_*/\"NETEXPR\" QSTRING | /*K_*/\"USE\" use_type | /*K_*/\"PORT\" | /*K_*/\"LAYER\" T_STRING pin_layer_mask_opt pin_layer_spacing_opt pt pt | /*K_*/\"POLYGON\" T_STRING pin_poly_mask_opt pin_poly_spacing_opt firstPt nextPt nextPt otherPts | /*K_*/\"VIA\" T_STRING pin_via_mask_opt '(' NUMBER NUMBER ')' | ( /*K_*/\"ANTENNAPINPARTIALMETALAREA\" | /*K_*/\"ANTENNAPINPARTIALMETALSIDEAREA\" | /*K_*/\"ANTENNAPINGATEAREA\" | /*K_*/\"ANTENNAPINDIFFAREA\" | /*K_*/\"ANTENNAPINPARTIALCUTAREA\" ) NUMBER pin_layer_opt | /*K_*/\"ANTENNAMODEL\" pin_oxide )\r\n | extension_stmt\r\n | placement_status pt orient\r\npin_layer_mask_opt\r\n ::= ( /*K_*/\"MASK\" NUMBER )?\r\npin_via_mask_opt\r\n ::= ( /*K_*/\"MASK\" NUMBER )?\r\npin_poly_mask_opt\r\n ::= ( /*K_*/\"MASK\" NUMBER )?\r\npin_layer_spacing_opt\r\n ::= ( ( /*K_*/\"SPACING\" | /*K_*/\"DESIGNRULEWIDTH\" ) NUMBER )?\r\npin_poly_spacing_opt\r\n ::= ( ( /*K_*/\"SPACING\" | /*K_*/\"DESIGNRULEWIDTH\" ) NUMBER )?\r\npin_oxide\r\n ::= /*K_*/\"OXIDE1\"\r\n | /*K_*/\"OXIDE2\"\r\n | /*K_*/\"OXIDE3\"\r\n | /*K_*/\"OXIDE4\"\r\nuse_type ::= /*K_*/\"SIGNAL\"\r\n | /*K_*/\"POWER\"\r\n | /*K_*/\"GROUND\"\r\n | /*K_*/\"CLOCK\"\r\n | /*K_*/\"TIEOFF\"\r\n | /*K_*/\"ANALOG\"\r\n | /*K_*/\"SCAN\"\r\n | /*K_*/\"RESET\"\r\npin_layer_opt\r\n ::= ( /*K_*/\"LAYER\" T_STRING )?\r\nend_pins ::= /*K_*/\"END\" /*K_*/\"PINS\"\r\nrow_rule ::= /*K_*/\"ROW\" T_STRING T_STRING NUMBER NUMBER orient row_do_option row_option* ';'\r\nrow_do_option\r\n ::= ( /*K_*/\"DO\" NUMBER /*K_*/\"BY\" NUMBER row_step_option )?\r\nrow_step_option\r\n ::= ( /*K_*/\"STEP\" NUMBER NUMBER )?\r\nrow_option\r\n ::= '+' /*K_*/\"PROPERTY\" row_prop*\r\nrow_prop ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\ntracks_rule\r\n ::= track_start NUMBER /*K_*/\"DO\" NUMBER /*K_*/\"STEP\" NUMBER track_opts ';'\r\ntrack_start\r\n ::= /*K_*/\"TRACKS\" track_type\r\ntrack_type\r\n ::= /*K_*/\"X\"\r\n | /*K_*/\"Y\"\r\ntrack_opts\r\n ::= track_mask_statement track_layer_statement\r\ntrack_mask_statement\r\n ::= ( /*K_*/\"MASK\" NUMBER same_mask )?\r\nsame_mask\r\n ::= /*K_*/\"SAMEMASK\"?\r\ntrack_layer_statement\r\n ::= ( /*K_*/\"LAYER\" track_layer+ )?\r\ntrack_layer\r\n ::= T_STRING\r\ngcellgrid\r\n ::= /*K_*/\"GCELLGRID\" track_type NUMBER /*K_*/\"DO\" NUMBER /*K_*/\"STEP\" NUMBER ';'\r\nextension_section\r\n ::= /*K_*/\"BEGINEXT\"\r\nextension_stmt\r\n ::= '+' /*K_*/\"BEGINEXT\"\r\nvia_section\r\n ::= via via_declaration* via_end\r\nvia ::= /*K_*/\"VIAS\" NUMBER ';'\r\nvia_declaration\r\n ::= '-' T_STRING layer_stmt* ';'\r\nlayer_stmt\r\n ::= '+' ( /*K_*/\"RECT\" T_STRING mask pt pt | /*K_*/\"POLYGON\" T_STRING mask firstPt nextPt nextPt otherPts | /*K_*/\"PATTERNNAME\" T_STRING | /*K_*/\"VIARULE\" T_STRING '+' /*K_*/\"CUTSIZE\" NUMBER NUMBER '+' /*K_*/\"LAYERS\" T_STRING T_STRING T_STRING '+' /*K_*/\"CUTSPACING\" NUMBER NUMBER '+' /*K_*/\"ENCLOSURE\" NUMBER NUMBER NUMBER NUMBER )\r\n | layer_viarule_opts\r\n | extension_stmt\r\nlayer_viarule_opts\r\n ::= '+' ( ( /*K_*/\"ROWCOL\" | /*K_*/\"ORIGIN\" | /*K_*/\"OFFSET\" NUMBER NUMBER ) NUMBER NUMBER | /*K_*/\"PATTERN\" T_STRING )\r\nfirstPt ::= pt\r\nnextPt ::= pt\r\notherPts ::= nextPt*\r\npt ::= '(' ( NUMBER | '*' ) ( NUMBER | '*' ) ')'\r\nmask ::= ( '+' /*K_*/\"MASK\" NUMBER )?\r\nvia_end ::= /*K_*/\"END\" /*K_*/\"VIAS\"\r\nregions_section\r\n ::= regions_start regions_stmt* /*K_*/\"END\" /*K_*/\"REGIONS\"\r\nregions_start\r\n ::= /*K_*/\"REGIONS\" NUMBER ';'\r\nregions_stmt\r\n ::= '-' T_STRING ( pt pt )+ region_option* ';'\r\nregion_option\r\n ::= '+' ( /*K_*/\"PROPERTY\" region_prop* | /*K_*/\"TYPE\" region_type )\r\nregion_prop\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nregion_type\r\n ::= /*K_*/\"FENCE\"\r\n | /*K_*/\"GUIDE\"\r\ncomps_maskShift_section\r\n ::= /*K_*/\"COMPSMASKSHIFT\" maskLayer* ';'\r\ncomps_section\r\n ::= start_comps comp* end_comps\r\nstart_comps\r\n ::= /*K_*/\"COMPS\" NUMBER ';'\r\nmaskLayer\r\n ::= T_STRING\r\ncomp ::= comp_start comp_option* ';'\r\ncomp_start\r\n ::= comp_id_and_name ( '*' | T_STRING )*\r\ncomp_id_and_name\r\n ::= '-' T_STRING T_STRING\r\ncomp_option\r\n ::= comp_generate\r\n | comp_source\r\n | comp_type\r\n | weight\r\n | maskShift\r\n | comp_foreign\r\n | comp_region\r\n | comp_eeq\r\n | comp_halo\r\n | comp_routehalo\r\n | comp_property\r\n | comp_extension_stmt\r\ncomp_extension_stmt\r\n ::= extension_stmt\r\ncomp_eeq ::= '+' /*K_*/\"EEQMASTER\" T_STRING\r\ncomp_generate\r\n ::= '+' /*K_*/\"COMP_GEN\" T_STRING opt_pattern\r\nopt_pattern\r\n ::= T_STRING?\r\ncomp_source\r\n ::= '+' /*K_*/\"SOURCE\" source_type\r\nsource_type\r\n ::= /*K_*/\"NETLIST\"\r\n | /*K_*/\"DIST\"\r\n | /*K_*/\"USER\"\r\n | /*K_*/\"TIMING\"\r\ncomp_region\r\n ::= comp_region_start ( ( pt pt )+ | T_STRING )\r\ncomp_halo\r\n ::= '+' /*K_*/\"HALO\" halo_soft NUMBER NUMBER NUMBER NUMBER\r\nhalo_soft\r\n ::= /*K_*/\"SOFT\"?\r\ncomp_routehalo\r\n ::= '+' /*K_*/\"ROUTEHALO\" NUMBER T_STRING T_STRING\r\ncomp_property\r\n ::= '+' /*K_*/\"PROPERTY\" comp_prop+\r\ncomp_prop\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\ncomp_region_start\r\n ::= '+' /*K_*/\"REGION\"\r\ncomp_foreign\r\n ::= '+' /*K_*/\"FOREIGN\" T_STRING opt_paren orient\r\nopt_paren\r\n ::= pt\r\n | NUMBER NUMBER\r\ncomp_type\r\n ::= placement_status pt orient\r\n | '+' /*K_*/\"UNPLACED\" ( pt orient )?\r\nmaskShift\r\n ::= '+' /*K_*/\"MASKSHIFT\" T_STRING\r\nplacement_status\r\n ::= '+' ( /*K_*/\"FIXED\" | /*K_*/\"COVER\" | /*K_*/\"PLACED\" )\r\nweight ::= '+' /*K_*/\"WEIGHT\" NUMBER\r\nend_comps\r\n ::= /*K_*/\"END\" /*K_*/\"COMPS\"\r\nnets_section\r\n ::= start_nets one_net* end_nets\r\nstart_nets\r\n ::= /*K_*/\"NETS\" NUMBER ';'\r\none_net ::= net_and_connections net_option* ';'\r\nnet_and_connections\r\n ::= net_start\r\nnet_start\r\n ::= '-' net_name\r\nnet_name ::= T_STRING net_connection*\r\n | /*K_*/\"MUSTJOIN\" '(' T_STRING T_STRING ')'\r\nnet_connection\r\n ::= '(' ( T_STRING | '*' | /*K_*/\"PIN\" ) T_STRING conn_opt ')'\r\nconn_opt ::= ( extension_stmt | '+' /*K_*/\"SYNTHESIZED\" )?\r\nnet_option\r\n ::= '+' ( ( net_type | /*K_*/\"NOSHIELD\" ) paths | /*K_*/\"SOURCE\" netsource_type | /*K_*/\"FIXEDBUMP\" | ( /*K_*/\"FREQUENCY\" | /*K_*/\"WEIGHT\" | /*K_*/\"XTALK\" | /*K_*/\"ESTCAP\" | /*K_*/\"STYLE\" ) NUMBER | ( /*K_*/\"ORIGINAL\" | /*K_*/\"NONDEFAULTRULE\" | /*K_*/\"SHIELDNET\" ) T_STRING | /*K_*/\"PATTERN\" pattern_type | /*K_*/\"USE\" use_type | /*K_*/\"SUBNET\" T_STRING comp_name* subnet_option* | /*K_*/\"PROPERTY\" net_prop+ )\r\n | vpin_stmt\r\n | extension_stmt\r\nnet_prop ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nnetsource_type\r\n ::= /*K_*/\"NETLIST\"\r\n | /*K_*/\"DIST\"\r\n | /*K_*/\"USER\"\r\n | /*K_*/\"TIMING\"\r\n | /*K_*/\"TEST\"\r\nvpin_stmt\r\n ::= vpin_begin vpin_layer_opt pt pt vpin_options\r\nvpin_begin\r\n ::= '+' /*K_*/\"VPIN\" T_STRING\r\nvpin_layer_opt\r\n ::= ( /*K_*/\"LAYER\" T_STRING )?\r\nvpin_options\r\n ::= ( vpin_status pt orient )?\r\nvpin_status\r\n ::= /*K_*/\"PLACED\"\r\n | /*K_*/\"FIXED\"\r\n | /*K_*/\"COVER\"\r\nnet_type ::= /*K_*/\"FIXED\"\r\n | /*K_*/\"COVER\"\r\n | /*K_*/\"ROUTED\"\r\npaths ::= path new_path*\r\nnew_path ::= /*K_*/\"NEW\" path\r\npath ::= T_STRING opt_taper_style* path_pt path_item_list\r\nvirtual_statement\r\n ::= /*K_*/\"VIRTUAL\" virtual_pt\r\nrect_statement\r\n ::= /*K_*/\"RECT\" rect_pts\r\npath_item_list\r\n ::= path_item*\r\npath_item\r\n ::= T_STRING orient? ( /*K_*/\"DO\" NUMBER /*K_*/\"BY\" NUMBER /*K_*/\"STEP\" NUMBER NUMBER )?\r\n | /*K_*/\"MASK\" NUMBER ( T_STRING orient? ( /*K_*/\"DO\" NUMBER /*K_*/\"BY\" NUMBER /*K_*/\"STEP\" NUMBER NUMBER )? | /*K_*/\"RECT\" '(' NUMBER NUMBER NUMBER NUMBER ')' | path_pt )\r\n | virtual_statement\r\n | rect_statement\r\n | path_pt\r\npath_pt ::= '(' ( NUMBER | '*' ) ( NUMBER | '*' ) NUMBER? ')'\r\nvirtual_pt\r\n ::= '(' ( NUMBER | '*' ) ( NUMBER | '*' ) ')'\r\nrect_pts ::= '(' NUMBER NUMBER NUMBER NUMBER ')'\r\nopt_taper_style\r\n ::= opt_style\r\n | opt_taper\r\nopt_taper\r\n ::= /*K_*/\"TAPER\"\r\n | /*K_*/\"TAPERRULE\" T_STRING\r\nopt_style\r\n ::= /*K_*/\"STYLE\" NUMBER\r\nopt_shape_style\r\n ::= '+' ( /*K_*/\"SHAPE\" shape_type | /*K_*/\"STYLE\" NUMBER )\r\nend_nets ::= /*K_*/\"END\" /*K_*/\"NETS\"\r\nshape_type\r\n ::= /*K_*/\"RING\"\r\n | /*K_*/\"STRIPE\"\r\n | /*K_*/\"FOLLOWPIN\"\r\n | /*K_*/\"IOWIRE\"\r\n | /*K_*/\"COREWIRE\"\r\n | /*K_*/\"BLOCKWIRE\"\r\n | /*K_*/\"FILLWIRE\"\r\n | /*K_*/\"FILLWIREOPC\"\r\n | /*K_*/\"DRCFILL\"\r\n | /*K_*/\"BLOCKAGEWIRE\"\r\n | /*K_*/\"PADRING\"\r\n | /*K_*/\"BLOCKRING\"\r\nsnets_section\r\n ::= start_snets snet_rule* end_snets\r\nsnet_rule\r\n ::= net_and_connections snet_option* ';'\r\nsnet_option\r\n ::= snet_width\r\n | snet_voltage\r\n | snet_spacing\r\n | snet_other_option\r\nsnet_other_option\r\n ::= '+' ( net_type spaths? | /*K_*/\"SHIELD\" T_STRING shield_layer | /*K_*/\"SHAPE\" shape_type | ( /*K_*/\"MASK\" | /*K_*/\"FREQUENCY\" | /*K_*/\"WEIGHT\" | /*K_*/\"ESTCAP\" | /*K_*/\"STYLE\" ) NUMBER | ( /*K_*/\"POLYGON\" T_STRING firstPt nextPt nextPt | /*K_*/\"VIA\" T_STRING orient_pt firstPt ) otherPts | /*K_*/\"RECT\" T_STRING pt pt | /*K_*/\"SOURCE\" source_type | /*K_*/\"FIXEDBUMP\" | /*K_*/\"ORIGINAL\" T_STRING | /*K_*/\"PATTERN\" pattern_type | /*K_*/\"USE\" use_type | /*K_*/\"PROPERTY\" snet_prop+ )\r\n | extension_stmt\r\norient_pt\r\n ::= ( /*K_*/\"N\" | /*K_*/\"W\" | /*K_*/\"S\" | /*K_*/\"E\" | /*K_*/\"FN\" | /*K_*/\"FW\" | /*K_*/\"FS\" | /*K_*/\"FE\" )?\r\nshield_layer\r\n ::= spaths?\r\nsnet_width\r\n ::= '+' /*K_*/\"WIDTH\" T_STRING NUMBER\r\nsnet_voltage\r\n ::= '+' /*K_*/\"VOLTAGE\" T_STRING\r\nsnet_spacing\r\n ::= '+' /*K_*/\"SPACING\" T_STRING NUMBER opt_snet_range\r\nsnet_prop\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nopt_snet_range\r\n ::= ( /*K_*/\"RANGE\" NUMBER NUMBER )?\r\nopt_range\r\n ::= ( /*K_*/\"RANGE\" NUMBER NUMBER )?\r\npattern_type\r\n ::= /*K_*/\"BALANCED\"\r\n | /*K_*/\"STEINER\"\r\n | /*K_*/\"TRUNK\"\r\n | /*K_*/\"WIREDLOGIC\"\r\nspaths ::= spath snew_path*\r\nsnew_path\r\n ::= /*K_*/\"NEW\" spath\r\nspath ::= T_STRING width opt_shape_style* path_pt path_item_list\r\nwidth ::= NUMBER\r\nstart_snets\r\n ::= /*K_*/\"SNETS\" NUMBER ';'\r\nend_snets\r\n ::= /*K_*/\"END\" /*K_*/\"SNETS\"\r\ngroups_section\r\n ::= groups_start group_rule* groups_end\r\ngroups_start\r\n ::= /*K_*/\"GROUPS\" NUMBER ';'\r\ngroup_rule\r\n ::= start_group group_member* group_option* ';'\r\nstart_group\r\n ::= '-' T_STRING\r\ngroup_member\r\n ::= T_STRING\r\ngroup_option\r\n ::= '+' ( /*K_*/\"SOFT\" group_soft_option* | /*K_*/\"PROPERTY\" group_prop* | /*K_*/\"REGION\" group_region )\r\n | extension_stmt\r\ngroup_region\r\n ::= pt pt\r\n | T_STRING\r\ngroup_prop\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\ngroup_soft_option\r\n ::= ( /*K_*/\"MAXX\" | /*K_*/\"MAXY\" | /*K_*/\"MAXHALFPERIMETER\" ) NUMBER\r\ngroups_end\r\n ::= /*K_*/\"END\" /*K_*/\"GROUPS\"\r\nassertions_section\r\n ::= assertions_start constraint_rules assertions_end\r\nconstraint_section\r\n ::= constraints_start constraint_rules constraints_end\r\nassertions_start\r\n ::= /*K_*/\"ASSERTIONS\" NUMBER ';'\r\nconstraints_start\r\n ::= /*K_*/\"CONSTRAINTS\" NUMBER ';'\r\nconstraint_rules\r\n ::= constraint_rule*\r\nconstraint_rule\r\n ::= operand_rule\r\n | wiredlogic_rule\r\noperand_rule\r\n ::= '-' operand delay_spec* ';'\r\noperand ::= ( /*K_*/\"NET\" | /*K_*/\"PATH\" T_STRING T_STRING T_STRING ) T_STRING\r\n | ( /*K_*/\"SUM\" | /*K_*/\"DIFF\" ) '(' operand_list ')'\r\noperand_list\r\n ::= operand ( ','? operand )*\r\nwiredlogic_rule\r\n ::= '-' /*K_*/\"WIREDLOGIC\" T_STRING opt_plus /*K_*/\"MAXDIST\" NUMBER ';'\r\nopt_plus ::= '+'?\r\ndelay_spec\r\n ::= '+' ( /*K_*/\"RISEMIN\" | /*K_*/\"RISEMAX\" | /*K_*/\"FALLMIN\" | /*K_*/\"FALLMAX\" ) NUMBER\r\nconstraints_end\r\n ::= /*K_*/\"END\" /*K_*/\"CONSTRAINTS\"\r\nassertions_end\r\n ::= /*K_*/\"END\" /*K_*/\"ASSERTIONS\"\r\nscanchains_section\r\n ::= scanchain_start scan_rule* scanchain_end\r\nscanchain_start\r\n ::= /*K_*/\"SCANCHAINS\" NUMBER ';'\r\nscan_rule\r\n ::= start_scan scan_member* ';'\r\nstart_scan\r\n ::= '-' T_STRING\r\nopt_pin ::= T_STRING?\r\nscan_member\r\n ::= '+' ( ( /*K_*/\"START\" | /*K_*/\"STOP\" ) T_STRING opt_pin | /*K_*/\"FLOATING\" one_floating_inst* | /*K_*/\"ORDERED\" one_ordered_inst* | /*K_*/\"COMMONSCANPINS\" opt_common_pins | /*K_*/\"PARTITION\" T_STRING partition_maxbits )\r\n | extension_stmt\r\nopt_common_pins\r\n ::= ( '(' T_STRING T_STRING ')' ( '(' T_STRING T_STRING ')' )? )?\r\none_floating_inst\r\n ::= T_STRING floating_pins\r\nfloating_pins\r\n ::= ( '(' T_STRING T_STRING ')' ( '(' T_STRING T_STRING ')' ( '(' T_STRING T_STRING ')' )? )? )?\r\none_ordered_inst\r\n ::= T_STRING ordered_pins\r\nordered_pins\r\n ::= ( '(' T_STRING T_STRING ')' ( '(' T_STRING T_STRING ')' ( '(' T_STRING T_STRING ')' )? )? )?\r\npartition_maxbits\r\n ::= ( /*K_*/\"MAXBITS\" NUMBER )?\r\nscanchain_end\r\n ::= /*K_*/\"END\" /*K_*/\"SCANCHAINS\"\r\niotiming_section\r\n ::= iotiming_start iotiming_rule* iotiming_end\r\niotiming_start\r\n ::= /*K_*/\"IOTIMINGS\" NUMBER ';'\r\niotiming_rule\r\n ::= start_iotiming iotiming_member* ';'\r\nstart_iotiming\r\n ::= '-' '(' T_STRING T_STRING ')'\r\niotiming_member\r\n ::= '+' ( ( risefall ( /*K_*/\"VARIABLE\" | /*K_*/\"SLEWRATE\" ) NUMBER | /*K_*/\"CAPACITANCE\" ) NUMBER | /*K_*/\"DRIVECELL\" T_STRING iotiming_drivecell_opt )\r\n | extension_stmt\r\niotiming_drivecell_opt\r\n ::= iotiming_frompin /*K_*/\"TOPIN\" T_STRING iotiming_parallel\r\niotiming_frompin\r\n ::= ( /*K_*/\"FROMPIN\" T_STRING )?\r\niotiming_parallel\r\n ::= ( /*K_*/\"PARALLEL\" NUMBER )?\r\nrisefall ::= /*K_*/\"RISE\"\r\n | /*K_*/\"FALL\"\r\niotiming_end\r\n ::= /*K_*/\"END\" /*K_*/\"IOTIMINGS\"\r\nfloorplan_contraints_section\r\n ::= fp_start fp_stmt* /*K_*/\"END\" /*K_*/\"FPC\"\r\nfp_start ::= /*K_*/\"FPC\" NUMBER ';'\r\nfp_stmt ::= '-' T_STRING h_or_v constraint_type constrain_what* ';'\r\nh_or_v ::= /*K_*/\"HORIZONTAL\"\r\n | /*K_*/\"VERTICAL\"\r\nconstraint_type\r\n ::= /*K_*/\"ALIGN\"\r\n | ( /*K_*/\"MAX\" | /*K_*/\"MIN\" | /*K_*/\"EQUAL\" ) NUMBER\r\nconstrain_what\r\n ::= '+' ( /*K_*/\"BOTTOMLEFT\" | /*K_*/\"TOPRIGHT\" ) row_or_comp_list\r\nrow_or_comp_list\r\n ::= row_or_comp*\r\nrow_or_comp\r\n ::= '(' ( /*K_*/\"ROWS\" | /*K_*/\"COMPS\" ) T_STRING ')'\r\ntimingdisables_section\r\n ::= timingdisables_start timingdisables_rule* timingdisables_end\r\ntimingdisables_start\r\n ::= /*K_*/\"TIMINGDISABLES\" NUMBER ';'\r\ntimingdisables_rule\r\n ::= '-' ( ( /*K_*/\"FROMPIN\" T_STRING T_STRING /*K_*/\"TOPIN\" | /*K_*/\"THRUPIN\" ) T_STRING T_STRING | /*K_*/\"MACRO\" T_STRING td_macro_option | /*K_*/\"REENTRANTPATHS\" ) ';'\r\ntd_macro_option\r\n ::= ( /*K_*/\"FROMPIN\" T_STRING /*K_*/\"TOPIN\" | /*K_*/\"THRUPIN\" ) T_STRING\r\ntimingdisables_end\r\n ::= /*K_*/\"END\" /*K_*/\"TIMINGDISABLES\"\r\npartitions_section\r\n ::= partitions_start partition_rule* partitions_end\r\npartitions_start\r\n ::= /*K_*/\"PARTITIONS\" NUMBER ';'\r\npartition_rule\r\n ::= start_partition partition_member* ';'\r\nstart_partition\r\n ::= '-' T_STRING turnoff\r\nturnoff ::= ( /*K_*/\"TURNOFF\" turnoff_setup turnoff_hold )?\r\nturnoff_setup\r\n ::= ( /*K_*/\"SETUPRISE\" | /*K_*/\"SETUPFALL\" )?\r\nturnoff_hold\r\n ::= ( /*K_*/\"HOLDRISE\" | /*K_*/\"HOLDFALL\" )?\r\npartition_member\r\n ::= '+' ( ( /*K_*/\"FROMCLOCKPIN\" | /*K_*/\"TOCLOCKPIN\" ) T_STRING T_STRING risefall minmaxpins | ( /*K_*/\"FROMCOMPPIN\" | /*K_*/\"TOCOMPPIN\" ) T_STRING T_STRING risefallminmax2_list | ( /*K_*/\"FROMIOPIN\" | /*K_*/\"TOIOPIN\" ) T_STRING risefallminmax1_list )\r\n | extension_stmt\r\nminmaxpins\r\n ::= min_or_max_member* /*K_*/\"PINS\" T_STRING*\r\nmin_or_max_member\r\n ::= ( /*K_*/\"MIN\" | /*K_*/\"MAX\" ) NUMBER NUMBER\r\nrisefallminmax1_list\r\n ::= risefallminmax1*\r\nrisefallminmax1\r\n ::= ( /*K_*/\"RISEMIN\" | /*K_*/\"FALLMIN\" | /*K_*/\"RISEMAX\" | /*K_*/\"FALLMAX\" ) NUMBER\r\nrisefallminmax2_list\r\n ::= risefallminmax2+\r\nrisefallminmax2\r\n ::= ( /*K_*/\"RISEMIN\" | /*K_*/\"FALLMIN\" | /*K_*/\"RISEMAX\" | /*K_*/\"FALLMAX\" ) NUMBER NUMBER\r\npartitions_end\r\n ::= /*K_*/\"END\" /*K_*/\"PARTITIONS\"\r\ncomp_name\r\n ::= '(' T_STRING T_STRING subnet_opt_syn ')'\r\nsubnet_opt_syn\r\n ::= ( '+' /*K_*/\"SYNTHESIZED\" )?\r\nsubnet_option\r\n ::= subnet_type paths\r\n | /*K_*/\"NONDEFAULTRULE\" T_STRING\r\nsubnet_type\r\n ::= /*K_*/\"FIXED\"\r\n | /*K_*/\"COVER\"\r\n | /*K_*/\"ROUTED\"\r\n | /*K_*/\"NOSHIELD\"\r\npin_props_section\r\n ::= begin_pin_props pin_prop_terminal* end_pin_props\r\nbegin_pin_props\r\n ::= /*K_*/\"PINPROPERTIES\" NUMBER opt_semi\r\nopt_semi ::= ';'?\r\nend_pin_props\r\n ::= /*K_*/\"END\" /*K_*/\"PINPROPERTIES\"\r\npin_prop_terminal\r\n ::= '-' T_STRING T_STRING pin_prop* ';'\r\npin_prop ::= '+' /*K_*/\"PROPERTY\" pin_prop_name_value*\r\npin_prop_name_value\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nblockage_section\r\n ::= blockage_start blockage_def* blockage_end\r\nblockage_start\r\n ::= /*K_*/\"BLOCKAGES\" NUMBER ';'\r\nblockage_end\r\n ::= /*K_*/\"END\" /*K_*/\"BLOCKAGES\"\r\nblockage_def\r\n ::= blockage_rule rectPoly_blockage+ ';'\r\nblockage_rule\r\n ::= '-' ( /*K_*/\"LAYER\" T_STRING layer_blockage_rule* | /*K_*/\"PLACEMENT\" placement_comp_rule* )\r\nlayer_blockage_rule\r\n ::= '+' ( /*K_*/\"SPACING\" | /*K_*/\"DESIGNRULEWIDTH\" ) NUMBER\r\n | mask_blockage_rule\r\n | comp_blockage_rule\r\nmask_blockage_rule\r\n ::= '+' /*K_*/\"MASK\" NUMBER\r\ncomp_blockage_rule\r\n ::= '+' ( /*K_*/\"COMPONENT\" T_STRING | /*K_*/\"SLOTS\" | /*K_*/\"FILLS\" | /*K_*/\"PUSHDOWN\" | /*K_*/\"EXCEPTPGNET\" )\r\nplacement_comp_rule\r\n ::= '+' ( /*K_*/\"COMPONENT\" T_STRING | /*K_*/\"PUSHDOWN\" | /*K_*/\"SOFT\" | /*K_*/\"PARTIAL\" NUMBER )\r\nrectPoly_blockage\r\n ::= /*K_*/\"RECT\" pt pt\r\n | /*K_*/\"POLYGON\" firstPt nextPt nextPt otherPts\r\nslot_section\r\n ::= slot_start slot_def* slot_end\r\nslot_start\r\n ::= /*K_*/\"SLOTS\" NUMBER ';'\r\nslot_end ::= /*K_*/\"END\" /*K_*/\"SLOTS\"\r\nslot_def ::= slot_rule geom_slot* ';'\r\nslot_rule\r\n ::= '-' /*K_*/\"LAYER\" T_STRING geom_slot\r\ngeom_slot\r\n ::= /*K_*/\"RECT\" pt pt\r\n | /*K_*/\"POLYGON\" firstPt nextPt nextPt otherPts\r\nfill_section\r\n ::= fill_start fill_def* fill_end\r\nfill_start\r\n ::= /*K_*/\"FILLS\" NUMBER ';'\r\nfill_end ::= /*K_*/\"END\" /*K_*/\"FILLS\"\r\nfill_def ::= ( fill_rule geom_fill* | '-' /*K_*/\"VIA\" T_STRING opt_mask_opc* fill_via_pt ) ';'\r\nfill_rule\r\n ::= '-' /*K_*/\"LAYER\" T_STRING opt_mask_opc_l* geom_fill\r\ngeom_fill\r\n ::= /*K_*/\"RECT\" pt pt\r\n | /*K_*/\"POLYGON\" firstPt nextPt nextPt otherPts\r\nopt_mask_opc_l\r\n ::= fill_layer_opc\r\n | fill_mask\r\nfill_layer_opc\r\n ::= '+' /*K_*/\"OPC\"\r\nfill_via_pt\r\n ::= firstPt otherPts\r\nopt_mask_opc\r\n ::= fill_via_opc\r\n | fill_viaMask\r\nfill_via_opc\r\n ::= '+' /*K_*/\"OPC\"\r\nfill_mask\r\n ::= '+' /*K_*/\"MASK\" NUMBER\r\nfill_viaMask\r\n ::= '+' /*K_*/\"MASK\" NUMBER\r\nnondefaultrule_section\r\n ::= nondefault_start nondefault_def+ nondefault_end\r\nnondefault_start\r\n ::= /*K_*/\"NONDEFAULTRULES\" NUMBER ';'\r\nnondefault_end\r\n ::= /*K_*/\"END\" /*K_*/\"NONDEFAULTRULES\"\r\nnondefault_def\r\n ::= '-' T_STRING nondefault_option* ';'\r\nnondefault_option\r\n ::= '+' ( /*K_*/\"HARDSPACING\" | /*K_*/\"LAYER\" T_STRING /*K_*/\"WIDTH\" NUMBER nondefault_layer_option* | ( /*K_*/\"VIA\" | /*K_*/\"VIARULE\" ) T_STRING | /*K_*/\"MINCUTS\" T_STRING NUMBER )\r\n | nondefault_prop_opt\r\nnondefault_layer_option\r\n ::= ( /*K_*/\"DIAGWIDTH\" | /*K_*/\"SPACING\" | /*K_*/\"WIREEXT\" ) NUMBER\r\nnondefault_prop_opt\r\n ::= '+' /*K_*/\"PROPERTY\" nondefault_prop*\r\nnondefault_prop\r\n ::= T_STRING ( NUMBER | QSTRING | T_STRING )\r\nstyles_section\r\n ::= styles_start styles_rule* styles_end\r\nstyles_start\r\n ::= /*K_*/\"STYLES\" NUMBER ';'\r\nstyles_end\r\n ::= /*K_*/\"END\" /*K_*/\"STYLES\"\r\nstyles_rule\r\n ::= '-' /*K_*/\"STYLE\" NUMBER firstPt nextPt otherPts ';'\r\n```"}, {"assistant": "That's clever. What do you propose we do with it?\r\n\r\nThere are places where it would be nice to inline the rules in the diagram, e.g.\r\n![image](https://user-images.githubusercontent.com/761514/201478735-f3f5832b-8795-4931-b957-5527e9479956.png)\r\n![image](https://user-images.githubusercontent.com/761514/201478753-28310625-68ce-48d1-b82a-d558086bab6d.png)\r\n![image](https://user-images.githubusercontent.com/761514/201478761-7f19d07d-3727-4818-924a-d23b983c6f17.png)\r\n"}, {"user": "I hope it'll help document the language and also debug/develop the grammar, you can download the railroad diagram and add to the documentation."}, {"user": "Also notice that the railroad diagram is navigable so we can click on `[spacing_type]` to jump to it's definition, if the definition is not singular it always appear separated."}, {"assistant": "If you compare the diagrams on https://www.json.org/json-en.html they are more readable. In general the [reference manual](https://www.ispd.cc/contests/18/lefdefref.pdf) gives a fuller definition and description. "}]} +{"num": 2497, "messages": [{"user": "It looks like PDNGen may not be complying with a particular array spacing rule in GF180MCU.\r\n\r\nhttps://github.com/efabless/caravel_user_project/issues/185\r\n\r\nSpecifically \r\n\r\n\r\nhttps://github.com/google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0/blob/d3798681284ea9b96bfd57bfda20948ac86767e4/tech/gf180mcu_5LM_1TM_9K_7t_tech.lef#L111"}, {"assistant": "@arlpetergadfort thoughts?"}, {"assistant": "@maliberty @QuantamHD looks real, not sure why its missing this (it might be honoring the LEF85 ones and missing the non-LEF58, with a testcase I would be able to replicate and fix the issue."}, {"assistant": "@arlpetergadfort this is related to gf180 caravel and I've asked for a test case already from efabless"}, {"assistant": "@kareefardi please attached the test case to this issue."}, {"assistant": "@maliberty Looking around the LEF parser, I cannot see where the array spacing data is stored? There is clearly handling for it in the lef parser itself, but I can't see where it gets added to ODB."}, {"user": "@arlpetergadfort It looks like it's set in odb here. \r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/odb/src/lefin/ArraySpacingParser.cpp#L64\r\n\r\nWith the array spacing rules accessible here. https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/odb/include/odb/db.h#L7027"}, {"assistant": "@QuantamHD those are only the LEF58_ARRAYSPACING rules as far as I can tell. (it's only triggered on the LEF58_ARRAYSPACING keyword)."}, {"assistant": "This is where that keyword is added to the lefiLayer, but that data is never access again: https://github.com/The-OpenROAD-Project/OpenROAD/blob/c653563d31c25f39cc23f84c3d487aea7ca30136/src/odb/src/lef/lef/lefiLayer.cpp#L3750"}, {"assistant": "It looks like we don't store it currently for the base rule but do for the property. That just means somebody has to add the code to query the lef parser and build up the odb structure. @arlpetergadfort is this something you want to handle or do you prefer I do it?"}, {"assistant": "@maliberty I can take a stab at it this afternoon"}, {"user": "@proppy Could you provide a test case?"}, {"assistant": "here is a test case [issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10020940/issue_reproducible.tar.gz)\r\n"}, {"assistant": "@kareefardi how was this issue generated? The ODB does not have the array spacing rules in it, which leads me to think it was not run with the head of OpenROAD or now run from the beginning. Could you please update OpenROAD and rerun from the start to ensure the tech lef if read in with the new version."}, {"assistant": "Yes it is using an older version of openroad. I will generate a new one based on the current head."}, {"assistant": "Here is another reproducible. this time there is a change in vias inserted looks like vias are using 0.36um spacing. [issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10026155/issue_reproducible.tar.gz)\r\n"}, {"assistant": "@kareefardi is the 0.36 acceptable (ie is this resolved)?"}, {"user": "@kareefardi Is there still a DRC violation? "}, {"assistant": "It is DRC clean now"}]} +{"num": 2503, "messages": [{"user": "I have been unable to determine if dual (or triple) via can be implemented in SKY130 for clock and other designated special nets as the default connectivity instead of single via. The purpose is 3 fold: \r\n\r\n1) Reduce clock net timing variation across corners by reducing the portion of the clock impedance that is consumed by via by either 2x or 4x. This reduces the probability of hold time violations.\r\n\r\n2) Reduce the variability of low impedance data nets with high capacitive load across corners. (Compiled memory word line drivers as an example)\r\n\r\n3)Increase the current carrying capability of via connections in very high drive and high capacitive load nets like resetb or porb\r\n\r\nIs there a flow for this that works today? Thanks in advance , A"}, {"assistant": "Do you mean a double cut via or two different vias? I'm guessing the former.\r\n\r\nWe have some support for NDRs but it hasn't undergone a lot of usage so far. If you want to try out there is a create_ndr command but I would expect some bumps."}, {"user": "Yes the former is what I'm looking for but for only a specific subset of routes operating using NDR. \r\nAs an example. Route Key analog nets group 1 using NDR1 (For example a fully shielded input reference voltage) , Clock group 2 using NDR2, Reset and POR using NDR3 and rest of nets using Default rules. I know this sounds like a lot but is consistent with my prior use of commercial tools and has significant advantages for functionality. Other use would be to declare WL nets in a compiled memory and use the tool to increase vias on WL driver to reduce X corner variation in the memory. (Metal resistance changes 10s of % but VIA changes >3X min to max on many layers."}, {"assistant": "I agree that NDRs can bring big advantages which is why we have worked on them. The person working on NDRs left the project which is why the work stopped progressing. I would be glad to pick it up again if you want to help test it out."}, {"user": "I might not get to test it myself Mat but I am sure that somebody at efabless can test it and we do want the functionality so I'll commit to that and get you a name to add to this ticket in a day or two."}, {"user": "Person to work with Kareem and he is being added to ticket. Could I also request permission to assign in the future? "}]} +{"num": 2514, "messages": [{"user": "### Describe the bug\n\nI'm building OpenRoad-flow-scripts with build_openroad --local --latest on a M1 MacBook Air.\r\n\r\nOn Nov 15 I had to \"brew install or-tools\" to be able to build. \r\nA fresh build after build_openroad --clean succeeded.\r\n\r\nTodays build_openroad --local --latest failed with a CMake Error, missing cbc, see log below.\n\n### Expected Behavior\n\nbe able to run build_openroad --local --latest incrementally without having to --clean first.\n\n### Environment\n\n```shell\nGit commit: 63206cb894bbb07a2e388cdfda31a46095bf737a\r\nkernel: Darwin 21.6.0\r\nos: \tmacOS \t12.6.1\r\ncmake version 3.24.3\r\nApple clang version 13.1.6 (clang-1316.0.21.2.5)\n```\n\n\n### To Reproduce\n\n./build_openroad.sh --local --latest on MacBook twice, fails the 2nd time\n\n### Relevant log output\n\n```shell\nCMake Error at /opt/homebrew/lib/cmake/ortools/ortoolsTargets.cmake:61 (set_target_properties):\r\n The link interface of target \"ortools::ortools\" contains:\r\n\r\n PkgConfig::Cbc\r\n\r\n but the target was not found. Possible reasons include:\r\n\r\n * There is a typo in the target name.\r\n * A find_package call is missing for an IMPORTED target.\r\n * An ALIAS target is missing.\r\n\r\nCall Stack (most recent call first):\r\n /opt/homebrew/lib/cmake/ortools/ortoolsConfig.cmake:82 (include)\r\n src/mpl2/CMakeLists.txt:42 (find_package)\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "> On Nov 15 I had to \"brew install or-tools\" to be able to build.\r\n\r\nWe are installing or-tools by downloading the upstream tar distribution, see [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/174739b179897aaf160580061053a213e5a5b07c/etc/DependencyInstaller.sh#L118-L128). This will pin the version to something we know is working for our application. We are also assuming the location of `or-tools` to be where our installer sets, see [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/174739b179897aaf160580061053a213e5a5b07c/src/CMakeLists.txt#L36-L37) and [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/174739b179897aaf160580061053a213e5a5b07c/src/mpl2/CMakeLists.txt#L36-L40).\r\n\r\nYou can try to workaround by exporting the following variables:\r\n```\r\nexport CMAKE_INSTALL_RPATH=\"/opt/homebrew/or-tools/lib\"\r\nexport CMAKE_PREFIX_PATH=\"/opt/homebrew/or-tools/lib/cmake\"\r\n```\r\n\r\nOr using the `DependencyInstaller.sh` script to install in the OpenROAD's default path.\r\n\r\nNote: there is no guarantee that OpenROAD will always work with the latest `or-tools`, I would suggest to run `brew pin or-tools` to avoid updating by accident."}, {"user": "a) the DependencyInstaller.sh want's to install or-tools in /opt but I don't have root access to this machine\r\nb) openroad compile after a build_openroad.sh --clean cleaning OpenRoad or the first time after a clone\r\nSo why would it fail the cmake configure the 2nd time around ?\r\nc) the issue is not that cmake wouldn't find or-tools, the log indicates that or-tools cmake has an issue finding cbc, even though both are installed via homebrew.\r\n\r\nI'm aware that Mac and howebrew are not primary build targets.\r\nI also have to admit zero knowledge of how cmake works, so any hint on how to debug cmake and get this to work with or-tools and cbc from homebrew is welcome."}, {"user": "I saw the \"cmake-or-tools\" commit merge but now OpenRoad fails in a different way before it get's to or-tools.\r\n\r\n./build_openroad.sh --local --latest\r\n[INFO FLW-0002] Updating git submodules.\r\nSubmodule path 'tools/OpenROAD': checked out 'd95c9111c39beea98c34ef9089f722057cdca58c'\r\n[INFO FLW-0004] Updating OpenROAD app to the HEAD of origin/master.\r\nPrevious HEAD position was d95c9111c Merge pull request #2477 from The-OpenROAD-Project-staging/odb-lefbloat\r\nHEAD is now at 655c839d2 Merge pull request #2527 from vvbandeira/cmake-or-tools\r\nFrom https://github.com/The-OpenROAD-Project/OpenROAD\r\n * branch master -> FETCH_HEAD\r\n...\r\n-- GUI is enabled\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\nCMake Error at /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:230 (message):\r\n Could NOT find OpenMP_CXX (missing: OpenMP_CXX_FLAGS OpenMP_CXX_LIB_NAMES)\r\nCall Stack (most recent call first):\r\n /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:600 (_FPHSA_FAILURE_MESSAGE)\r\n /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindOpenMP.cmake:580 (find_package_handle_standard_args)\r\n src/drt/CMakeLists.txt:48 (find_package)\r\n-- Configuring incomplete, errors occurred!\r\n"}, {"assistant": "Nothing has changed with regard to openmp"}, {"user": "I don't know why it's failing with FindOpenMP after a fresh pull today.\r\n\r\nI've been compiling OR for month with only 'export LEMON_DIR' pointing to the lonely dependency that wasn't using homebrew packages.\r\n\r\nI would hope that, if there is a need to pin a brew or-tools dependency, this will be done in the same was as it's currently done for spdr and fmt.\r\n\r\nPlease don't introduce a dependency that requires root access when there is a brew package available."}, {"assistant": "I don't know what \"Please don't introduce a dependency that requires root access when there is a brew package available.\" means. I'm not a mac users but it is just a ordinary package with no special requirements."}, {"assistant": "> I've been compiling OR for month with only 'export LEMON_DIR' pointing to the lonely dependency that wasn't using homebrew packages.\r\n\r\n@stefanottili you should be able to use:\r\n```\r\nbrew install The-OpenROAD-Project/lemon-graph/lemon-graph\r\n```\r\nWe host a homebrew formula for this reason."}, {"assistant": "> I saw the \"cmake-or-tools\" commit merge but now OpenRoad fails in a different way before it get's to or-tools.\r\n> \r\n> ./build_openroad.sh --local --latest [INFO FLW-0002] Updating git submodules. Submodule path 'tools/OpenROAD': checked out 'd95c9111c39beea98c34ef9089f722057cdca58c' [INFO FLW-0004] Updating OpenROAD app to the HEAD of origin/master. Previous HEAD position was [d95c911](https://github.com/The-OpenROAD-Project/OpenROAD/commit/d95c9111c39beea98c34ef9089f722057cdca58c) Merge pull request #2477 from The-OpenROAD-Project-staging/odb-lefbloat HEAD is now at [655c839](https://github.com/The-OpenROAD-Project/OpenROAD/commit/655c839d206bae189124392364553baad7e665f2) Merge pull request #2527 from vvbandeira/cmake-or-tools From https://github.com/The-OpenROAD-Project/OpenROAD\r\n> \r\n> * branch master -> FETCH_HEAD\r\n> ...\r\n> -- GUI is enabled\r\n> -- Found Boost: /opt/homebrew/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n> CMake Error at /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:230 (message):\r\n> Could NOT find OpenMP_CXX (missing: OpenMP_CXX_FLAGS OpenMP_CXX_LIB_NAMES)\r\n> Call Stack (most recent call first):\r\n> /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:600 (_FPHSA_FAILURE_MESSAGE)\r\n> /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindOpenMP.cmake:580 (find_package_handle_standard_args)\r\n> src/drt/CMakeLists.txt:48 (find_package)\r\n> -- Configuring incomplete, errors occurred!\r\n\r\n@stefanottili did you update your `xcode` since you first posted the issue? could you add here the output of:\r\n```\r\ncd tools/OpenROAD\r\n./etc/Env.sh\r\n```"}, {"user": "MacBook Air M1, macOS Monterey 12.6.1\r\n\r\na) Command Line Tools are 13.1.6 because 14.0 didn't compile abc, didn't try with 14.1 (yet)\r\n\r\nb) ./tools/OpenROAD/etc/DependencyInstaller.sh requires root privileges to install or-tools in /opt/or-tools.\r\nThere is a homebrew or-tools package, why not use that ?\r\nEvery other package requirement is using homebrew too.\r\nspdr and fmt are pinned to specific versions.\r\n\r\nc) I've removed a local installation of lemon and used\r\nbrew install The-OpenROAD-Project/lemon-graph/lemon-graph\r\n\r\nd) Nov 22 build attempt\r\n\r\nOpenRoad-flow-scripts % git pull\r\nAlready up to date.\r\nbuild_openroad.sh --local --latest\r\n\r\n... \r\nbuilds yosys\r\n...\r\n[INFO FLW-0018] Compiling OpenROAD.\r\n-- The CXX compiler identification is AppleClang 13.1.6.13160021\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /Library/Developer/CommandLineTools/usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-5810-g40f3313c1\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 13.1.6.13160021\r\n-- Build type: RELEASE\r\n-- Install prefix: /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- The C compiler identification is AppleClang 13.1.6.13160021\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /Library/Developer/CommandLineTools/usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n...\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: /opt/homebrew/opt/tcl-tk/include/tcl.h\r\n-- Found SWIG: /opt/homebrew/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\nCMake Warning at src/CMakeLists.txt:162 (message):\r\n Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /opt/homebrew/opt/python@3.11/Frameworks/Python.framework/Versions/3.11/include/python3.11 (found version \"3.11.0\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /Library/Developer/CommandLineTools/SDKs/MacOSX12.3.sdk/usr/lib/libz.tbd (found version \"1.2.11\") \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- spdlog: 1.9.2\r\nCMake Warning at src/CMakeLists.txt:224 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n-- Found BISON: /opt/homebrew/opt/bison/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.3.2\r\n-- STA git sha: 895ab26f6c1b83671a32ff2678b4f6f866f4221e\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 13.1.6.13160021\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- Found FLEX: /opt/homebrew/opt/flex/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: /opt/homebrew/opt/tcl-tk/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /Users/stefanthiede/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found Protobuf: /opt/homebrew/lib/libprotobuf.dylib (found version \"3.21.9\") \r\n-- Found re2: /opt/homebrew/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Eigen3: /opt/homebrew/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\nCMake Error at /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:230 (message):\r\n Could NOT find OpenMP_CXX (missing: OpenMP_CXX_FLAGS OpenMP_CXX_LIB_NAMES)\r\nCall Stack (most recent call first):\r\n /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:600 (_FPHSA_FAILURE_MESSAGE)\r\n /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindOpenMP.cmake:580 (find_package_handle_standard_args)\r\n src/drt/CMakeLists.txt:48 (find_package)\r\n-- Configuring incomplete, errors occurred!\r\nSee also \"/Users/stefanthiede/OpenROAD-flow-scripts/tools/OpenROAD/build/CMakeFiles/CMakeOutput.log\".\r\nSee also \"/Users/stefanthiede/OpenROAD-flow-scripts/tools/OpenROAD/build/CMakeFiles/CMakeError.log\".\r\n\r\ne) env \r\n\r\ncd tools/OpenRoad\r\nOpenROAD % ./etc/Env.sh \r\nGit commit: 40f3313c1c6b44144938de07064b940d08fd5e06\r\nkernel: Darwin 21.6.0\r\nos: \tmacOS \t12.6.1\r\ncmake version 3.25.0\r\nApple clang version 13.1.6 (clang-1316.0.21.2.5)\r\n\r\n"}, {"user": "./build_openroad.sh --local --latest\r\n[INFO FLW-0002] Updating git submodules.\r\nSubmodule path 'tools/OpenROAD': checked out 'd95c9111c39beea98c34ef9089f722057cdca58c'\r\n[INFO FLW-0004] Updating OpenROAD app to the HEAD of origin/master.\r\nPrevious HEAD position was d95c9111c Merge pull request #2477 from The-OpenROAD-Project-staging/odb-lefbloat\r\nHEAD is now at 40f3313c1 Merge pull request #2538 from The-OpenROAD-Project-staging/mpl2_opt\r\nFrom https://github.com/The-OpenROAD-Project/OpenROAD\r\n * branch master -> FETCH_HEAD\r\nAlready up to date.\r\n[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.\r\n[INFO FLW-0017] Compiling Yosys.\r\n$BREW_PREFIX is [/opt/homebrew/opt]\r\nmkdir -p /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/bin\r\ncp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/bin\r\nstrip -S /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys\r\nstrip /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-abc\r\nstrip /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-filterlib\r\nmkdir -p /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/share/yosys\r\ncp -r share/. /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/share/yosys/.\r\n[INFO FLW-0018] Compiling OpenROAD.\r\n-- OpenROAD version: v2.0-5810-g40f3313c1\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 13.1.6.13160021\r\n-- Build type: RELEASE\r\n-- Install prefix: /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: /opt/homebrew/opt/tcl-tk/include/tcl.h\r\nCMake Warning at src/CMakeLists.txt:162 (message):\r\n Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n\r\n\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- spdlog: 1.9.2\r\nCMake Warning at src/CMakeLists.txt:224 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.3.2\r\n-- STA git sha: 895ab26f6c1b83671a32ff2678b4f6f866f4221e\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 13.1.6.13160021\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: /opt/homebrew/opt/tcl-tk/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /Users/stefanthiede/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- GUI is enabled\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\nCMake Error at /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:230 (message):\r\n Could NOT find OpenMP_CXX (missing: OpenMP_CXX_FLAGS OpenMP_CXX_LIB_NAMES)\r\nCall Stack (most recent call first):\r\n /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindPackageHandleStandardArgs.cmake:600 (_FPHSA_FAILURE_MESSAGE)\r\n /opt/homebrew/Cellar/cmake/3.25.0/share/cmake/Modules/FindOpenMP.cmake:580 (find_package_handle_standard_args)\r\n src/drt/CMakeLists.txt:48 (find_package)\r\n\r\n\r\n-- Configuring incomplete, errors occurred!\r\nSee also \"/Users/stefanthiede/OpenROAD-flow-scripts/tools/OpenROAD/build/CMakeFiles/CMakeOutput.log\".\r\nSee also \"/Users/stefanthiede/OpenROAD-flow-scripts/tools/OpenROAD/build/CMakeFiles/CMakeError.log\".\r\n"}, {"assistant": "@stefanottili I had the same problem on my mac and was able to fix with:\r\n```\r\nbrew link --force libomp\r\n```"}, {"user": "Yay, many thanks !\r\nAfter another round of ./build_openroad --clean, this did the trick.\r\nBack to being able to compile OpenRoad on Mac M1.\r\nNow this only needs to be reflected in DependencyInstaller.sh, right ?"}, {"user": "well, today's ./build_openroad --local --latest craps out with the same cmake\r\n\r\nCMake Error at /opt/homebrew/lib/cmake/ortools/ortoolsTargets.cmake:61 (set_target_properties):\r\n The link interface of target \"ortools::ortools\" contains:\r\n PkgConfig::Cbc\r\n but the target was not found. Possible reasons include:\r\n\r\nbrew link --force libomp doesn't help.\r\nI have to ./build_openroad --clean and blow the OpenRoad build directory away in order to be able to compile it.\r\n"}, {"assistant": "@stefanottili do you have any changes to the source code? I am not able to reproduce your issue. Are you using any env like conda, venv or similar?"}, {"user": "This still happens when using\r\n./build_openroad.sh --local --latest\r\nor a completely fresh git clone ... \r\n\r\nThe only other variable I can think of is what brew packages are installed.\r\nI'll consider blowing all 3.5GB of brew packages away and reinstalling the dependencies.\r\n\r\nBut current ./tools/OpenROAD/etc/DependencyInstaller.sh want's to install or-tools in /opt\r\nwhich requires root access, whereas I have it installed via brew.\r\n\r\n```\r\n==> or-tools: stable 9.4 (bottled), HEAD\r\nGoogle's Operations Research tools\r\nhttps://developers.google.com/optimization/\r\n/opt/homebrew/Cellar/or-tools/9.4 (457 files, 20.4MB) *\r\n Poured from bottle on 2022-11-20 at 09:21:32\r\nFrom: https://github.com/Homebrew/homebrew-core/blob/HEAD/Formula/or-tools.rb\r\n```\r\n```\r\n118 _installOrTools() {\r\n119 os=$1\r\n120 version=$2\r\n121 arch=$3\r\n122 orToolsVersionBig=9.4\r\n123 orToolsVersionSmall=${orToolsVersionBig}.1874\r\n124 orToolsFile=or-tools_${arch}_${os}-${version}_cpp_v${orToolsVersionSmall}.tar.gz\r\n125 wget https://github.com/google/or-tools/releases/download/v${orToolsVersionBig}/${orToolsFile}\r\n126 mkdir -p /opt/or-tools\r\n127 tar --strip 1 --dir /opt/or-tools -xf ${orToolsFile}\r\n128 }\r\n```\r\n\r\n.zshrc\r\n```\r\nexport PATH=$PATH:/Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD/bin\r\nexport PATH=/opt/homebrew/opt/bison/bin:$PATH\r\nexport PATH=/opt/homebrew/opt/flex/bin:$PATH\r\nexport PATH=/opt/homebrew/opt/ruby@3.1/bin:$PATH\r\nexport PATH=/opt/homebrew/opt/qt@5/bin:$PATH\r\nexport PATH=/opt/homebrew/bin:$PATH\r\nexport PATH=.:$PATH\r\n```"}, {"assistant": "@stefanottili I was able to reproduce your problem, looks like the version installed from `brew` is different from the one the installer script installs. Could you try to install using the installer script? If you do not want to use `sudo`, you can try to use another path on lines 126 and 127.\r\n\r\n`[A]` is the brew install\r\n\r\n```\r\n[A]=/usr/local/opt/or-tools\r\n[B]=/opt/or-tools\r\nUsage: /'o'=open,'s'=sync,'q'=quit\r\nOptions: 'u'=update,'x'=set excludes,'i'=set ignore,'a'=set args, 'h'=hex mode, 'w'=wrap mode\r\nDiff Args: -r --brief\r\n\r\n Files [A]/bin/fzn-ortools and [B]/bin/fzn-ortools differ\r\n==> Files [A]/include/ortools/bop/bop_parameters.pb.h and [B]/include/ortools/bop/bop_parameters.pb.h differ\r\n Files [A]/include/ortools/constraint_solver/assignment.pb.h and [B]/include/ortools/constraint_solver/assignment.pb.h differ\r\n Files [A]/include/ortools/constraint_solver/demon_profiler.pb.h and [B]/include/ortools/constraint_solver/demon_profiler.pb.h differ\r\n Files [A]/include/ortools/constraint_solver/routing_enums.pb.h and [B]/include/ortools/constraint_solver/routing_enums.pb.h differ\r\n Files [A]/include/ortools/constraint_solver/routing_parameters.pb.h and [B]/include/ortools/constraint_solver/routing_parameters.pb.h differ\r\n Files [A]/include/ortools/constraint_solver/search_limit.pb.h and [B]/include/ortools/constraint_solver/search_limit.pb.h differ\r\n Files [A]/include/ortools/constraint_solver/search_stats.pb.h and [B]/include/ortools/constraint_solver/search_stats.pb.h differ\r\n Files [A]/include/ortools/constraint_solver/solver_parameters.pb.h and [B]/include/ortools/constraint_solver/solver_parameters.pb.h differ\r\n Files [A]/include/ortools/glop/parameters.pb.h and [B]/include/ortools/glop/parameters.pb.h differ\r\n Files [A]/include/ortools/graph/flow_problem.pb.h and [B]/include/ortools/graph/flow_problem.pb.h differ\r\n Files [A]/include/ortools/linear_solver/linear_solver.pb.h and [B]/include/ortools/linear_solver/linear_solver.pb.h differ\r\n Files [A]/include/ortools/packing/multiple_dimensions_bin_packing.pb.h and [B]/include/ortools/packing/multiple_dimensions_bin_packing.pb.h differ\r\n Files [A]/include/ortools/packing/vector_bin_packing.pb.h and [B]/include/ortools/packing/vector_bin_packing.pb.h differ\r\n Files [A]/include/ortools/pdlp/solve_log.pb.h and [B]/include/ortools/pdlp/solve_log.pb.h differ\r\n Files [A]/include/ortools/pdlp/solvers.pb.h and [B]/include/ortools/pdlp/solvers.pb.h differ\r\n Files [A]/include/ortools/sat/boolean_problem.pb.h and [B]/include/ortools/sat/boolean_problem.pb.h differ\r\n Files [A]/include/ortools/sat/cp_model.pb.h and [B]/include/ortools/sat/cp_model.pb.h differ\r\n Files [A]/include/ortools/sat/cp_model_service.pb.h and [B]/include/ortools/sat/cp_model_service.pb.h differ\r\n Files [A]/include/ortools/sat/sat_parameters.pb.h and [B]/include/ortools/sat/sat_parameters.pb.h differ\r\n Files [A]/include/ortools/scheduling/course_scheduling.pb.h and [B]/include/ortools/scheduling/course_scheduling.pb.h differ\r\n Files [A]/include/ortools/scheduling/jobshop_scheduling.pb.h and [B]/include/ortools/scheduling/jobshop_scheduling.pb.h differ\r\n Files [A]/include/ortools/scheduling/rcpsp.pb.h and [B]/include/ortools/scheduling/rcpsp.pb.h differ\r\n Files [A]/include/ortools/util/optional_boolean.pb.h and [B]/include/ortools/util/optional_boolean.pb.h differ\r\n Files [A]/lib/cmake/ortools/ortoolsConfig.cmake and [B]/lib/cmake/ortools/ortoolsConfig.cmake differ\r\n Files [A]/lib/cmake/ortools/ortoolsConfigVersion.cmake and [B]/lib/cmake/ortools/ortoolsConfigVersion.cmake differ\r\n Files [A]/lib/cmake/ortools/ortoolsTargets-release.cmake and [B]/lib/cmake/ortools/ortoolsTargets-release.cmake differ\r\n Files [A]/lib/cmake/ortools/ortoolsTargets.cmake and [B]/lib/cmake/ortools/ortoolsTargets.cmake differ\r\n Files [A]/lib/libortools.9.4.dylib and [B]/lib/libortools.9.4.dylib differ\r\n Files [A]/lib/libortools.9.dylib and [B]/lib/libortools.9.dylib differ\r\n Files [A]/lib/libortools.dylib and [B]/lib/libortools.dylib differ\r\n Files [A]/lib/libortools_flatzinc.9.4.dylib and [B]/lib/libortools_flatzinc.9.4.dylib differ\r\n Files [A]/lib/libortools_flatzinc.9.dylib and [B]/lib/libortools_flatzinc.9.dylib differ\r\n Files [A]/lib/libortools_flatzinc.dylib and [B]/lib/libortools_flatzinc.dylib differ\r\n Files [A]/README.md and [B]/README.md differ\r\n Files [A]/share/minizinc/solvers/ortools.msc and [B]/share/minizinc/solvers/ortools.msc differ\r\n Only in [A]/share: or-tools\r\n Only in [A]: .brew\r\n Only in [A]: INSTALL_RECEIPT.json\r\n Only in [A]: LICENSE\r\n Only in [B]/bin: protoc\r\n Only in [B]/bin: protoc-3.19.4.0\r\n Only in [B]/bin: scip\r\n Only in [B]/include/ortools/algorithms: csharp\r\n Only in [B]/include/ortools/algorithms: java\r\n Only in [B]/include/ortools/algorithms: python\r\n Only in [B]/include/ortools/algorithms: samples\r\n Only in [B]/include/ortools/constraint_solver: csharp\r\n Only in [B]/include/ortools/constraint_solver: docs\r\n Only in [B]/include/ortools/constraint_solver: python\r\n Only in [B]/include/ortools/constraint_solver: samples\r\n Only in [B]/include/ortools/flatzinc: mznlib\r\n Only in [B]/include/ortools/glop: samples\r\n Only in [B]/include/ortools/graph: csharp\r\n Only in [B]/include/ortools/graph: java\r\n Only in [B]/include/ortools/graph: python\r\n Only in [B]/include/ortools/graph: samples\r\n Only in [B]/include/ortools/gscip: gscip.pb.h\r\n Only in [B]/include/ortools/init: csharp\r\n Only in [B]/include/ortools/init: java\r\n Only in [B]/include/ortools/init: python\r\n Only in [B]/include/ortools/linear_solver: csharp\r\n Only in [B]/include/ortools/linear_solver: java\r\n Only in [B]/include/ortools/linear_solver: python\r\n Only in [B]/include/ortools/linear_solver: samples\r\n Only in [B]/include/ortools/math_opt: samples\r\n Only in [B]/include/ortools/math_opt: tools\r\n Only in [B]/include/ortools/model_builder: java\r\n Only in [B]/include/ortools/model_builder: python\r\n Only in [B]/include/ortools/model_builder: samples\r\n Only in [B]/include/ortools/model_builder: testdata\r\n Only in [B]/include/ortools/sat: csharp\r\n Only in [B]/include/ortools/sat: docs\r\n Only in [B]/include/ortools/sat: java\r\n Only in [B]/include/ortools/sat: python\r\n Only in [B]/include/ortools/sat: samples\r\n Only in [B]/include/ortools/scheduling: python\r\n Only in [B]/include/ortools/util: csharp\r\n Only in [B]/include/ortools/util: java\r\n Only in [B]/include/ortools/util: python\r\n Only in [B]/include/ortools: cpp\r\n Only in [B]/include/ortools: dotnet\r\n Only in [B]/include/ortools: java\r\n Only in [B]/include/ortools: python\r\n Only in [B]/include: absl\r\n Only in [B]/include: blockmemshell\r\n Only in [B]/include: coin\r\n Only in [B]/include: dijkstra\r\n Only in [B]/include: eigen3\r\n Only in [B]/include: google\r\n Only in [B]/include: lpi\r\n Only in [B]/include: objscip\r\n Only in [B]/include: re2\r\n Only in [B]/include: scip\r\n Only in [B]/include: symmetry\r\n Only in [B]/include: tclique\r\n Only in [B]/include: tinycthread\r\n Only in [B]/include: tpi\r\n Only in [B]/include: xml\r\n Only in [B]/include: zconf.h\r\n Only in [B]/include: zlib.h\r\n Only in [B]/lib/cmake/ortools/modules: FindSCIP.cmake\r\n Only in [B]/lib/cmake: absl\r\n Only in [B]/lib/cmake: Cbc\r\n Only in [B]/lib/cmake: Cgl\r\n Only in [B]/lib/cmake: Clp\r\n Only in [B]/lib/cmake: CoinUtils\r\n Only in [B]/lib/cmake: Osi\r\n Only in [B]/lib/cmake: protobuf\r\n Only in [B]/lib/cmake: re2\r\n Only in [B]/lib/cmake: scip\r\n Only in [B]/lib/cmake: ZLIB\r\n Only in [B]/lib: libabsl_bad_any_cast_impl.a\r\n Only in [B]/lib: libabsl_bad_optional_access.a\r\n Only in [B]/lib: libabsl_bad_variant_access.a\r\n Only in [B]/lib: libabsl_base.a\r\n Only in [B]/lib: libabsl_city.a\r\n Only in [B]/lib: libabsl_civil_time.a\r\n Only in [B]/lib: libabsl_cord.a\r\n Only in [B]/lib: libabsl_cord_internal.a\r\n Only in [B]/lib: libabsl_cordz_functions.a\r\n Only in [B]/lib: libabsl_cordz_handle.a\r\n Only in [B]/lib: libabsl_cordz_info.a\r\n Only in [B]/lib: libabsl_cordz_sample_token.a\r\n Only in [B]/lib: libabsl_debugging_internal.a\r\n Only in [B]/lib: libabsl_demangle_internal.a\r\n Only in [B]/lib: libabsl_examine_stack.a\r\n Only in [B]/lib: libabsl_exponential_biased.a\r\n Only in [B]/lib: libabsl_failure_signal_handler.a\r\n Only in [B]/lib: libabsl_flags.a\r\n Only in [B]/lib: libabsl_flags_commandlineflag.a\r\n Only in [B]/lib: libabsl_flags_commandlineflag_internal.a\r\n Only in [B]/lib: libabsl_flags_config.a\r\n Only in [B]/lib: libabsl_flags_internal.a\r\n Only in [B]/lib: libabsl_flags_marshalling.a\r\n Only in [B]/lib: libabsl_flags_parse.a\r\n Only in [B]/lib: libabsl_flags_private_handle_accessor.a\r\n Only in [B]/lib: libabsl_flags_program_name.a\r\n Only in [B]/lib: libabsl_flags_reflection.a\r\n Only in [B]/lib: libabsl_flags_usage.a\r\n Only in [B]/lib: libabsl_flags_usage_internal.a\r\n Only in [B]/lib: libabsl_graphcycles_internal.a\r\n Only in [B]/lib: libabsl_hash.a\r\n Only in [B]/lib: libabsl_hashtablez_sampler.a\r\n Only in [B]/lib: libabsl_int128.a\r\n Only in [B]/lib: libabsl_leak_check.a\r\n Only in [B]/lib: libabsl_leak_check_disable.a\r\n Only in [B]/lib: libabsl_log_severity.a\r\n Only in [B]/lib: libabsl_low_level_hash.a\r\n Only in [B]/lib: libabsl_malloc_internal.a\r\n Only in [B]/lib: libabsl_periodic_sampler.a\r\n Only in [B]/lib: libabsl_random_distributions.a\r\n Only in [B]/lib: libabsl_random_internal_distribution_test_util.a\r\n Only in [B]/lib: libabsl_random_internal_platform.a\r\n Only in [B]/lib: libabsl_random_internal_pool_urbg.a\r\n Only in [B]/lib: libabsl_random_internal_randen.a\r\n Only in [B]/lib: libabsl_random_internal_randen_hwaes.a\r\n Only in [B]/lib: libabsl_random_internal_randen_hwaes_impl.a\r\n Only in [B]/lib: libabsl_random_internal_randen_slow.a\r\n Only in [B]/lib: libabsl_random_internal_seed_material.a\r\n Only in [B]/lib: libabsl_random_seed_gen_exception.a\r\n Only in [B]/lib: libabsl_random_seed_sequences.a\r\n Only in [B]/lib: libabsl_raw_hash_set.a\r\n Only in [B]/lib: libabsl_raw_logging_internal.a\r\n Only in [B]/lib: libabsl_scoped_set_env.a\r\n Only in [B]/lib: libabsl_spinlock_wait.a\r\n Only in [B]/lib: libabsl_stacktrace.a\r\n Only in [B]/lib: libabsl_status.a\r\n Only in [B]/lib: libabsl_statusor.a\r\n Only in [B]/lib: libabsl_str_format_internal.a\r\n Only in [B]/lib: libabsl_strerror.a\r\n Only in [B]/lib: libabsl_strings.a\r\n Only in [B]/lib: libabsl_strings_internal.a\r\n Only in [B]/lib: libabsl_symbolize.a\r\n Only in [B]/lib: libabsl_synchronization.a\r\n Only in [B]/lib: libabsl_throw_delegate.a\r\n Only in [B]/lib: libabsl_time.a\r\n Only in [B]/lib: libabsl_time_zone.a\r\n Only in [B]/lib: libCbc.a\r\n Only in [B]/lib: libCbcSolver.a\r\n Only in [B]/lib: libCgl.a\r\n Only in [B]/lib: libClp.a\r\n Only in [B]/lib: libClpSolver.a\r\n Only in [B]/lib: libCoinUtils.a\r\n Only in [B]/lib: libOsi.a\r\n Only in [B]/lib: libOsiCbc.a\r\n Only in [B]/lib: libOsiClp.a\r\n Only in [B]/lib: libprotobuf-lite.a\r\n Only in [B]/lib: libprotobuf.a\r\n Only in [B]/lib: libprotoc.a\r\n Only in [B]/lib: libre2.a\r\n Only in [B]/lib: libscip.a\r\n Only in [B]/lib: libz.a\r\n Only in [B]/lib: pkgconfig\r\n Only in [B]/share: eigen3\r\n Only in [B]/share: man\r\n Only in [B]/share: pkgconfig\r\n Only in [B]: examples\r\n Only in [B]: Makefile\r\n```"}, {"user": "Thanks for trying to get to the bottom of this.\r\n\r\nI've changed tools/OpenROAD/etc/DependencyInstaller.sh 133,134 or-tools location to /opt/homebrew/or-tools,\r\nran the installer, looks good, files untarred.\r\n```\r\n./build_openroad.sh --local --latest\r\n[INFO FLW-0002] Updating git submodules.\r\nerror: Your local changes to the following files would be overwritten by checkout:\r\n\tetc/DependencyInstaller.sh\r\nPlease commit your changes or stash them before you switch branches.\r\nAborting\r\nfatal: Unable to checkout '40f3313c1c6b44144938de07064b940d08fd5e06' in submodule path 'tools/OpenROAD'\r\n```\r\nreversed the changes to be able to proceed and now cmake finds the ortools Config.cmake but fails with\r\n```\r\n./build_openroad.sh --local --latest\r\n....\r\nCMake Error at src/mpl2/CMakeLists.txt:42 (find_package):\r\n Found package configuration file:\r\n\r\n /opt/homebrew/or-tools/lib/cmake/ortools/ortoolsConfig.cmake\r\n\r\n but it set ortools_FOUND to FALSE so package \"ortools\" is considered to be\r\n NOT FOUND. Reason given by package:\r\n\r\n The following imported targets are referenced, but are missing:\r\n Coin::CbcSolver Coin::OsiCbc Coin::ClpSolver Coin::OsiClp\r\n```\r\nAs a cmake novice, I dunno why it would find cmake/ortools/ortoolsConfig.cmake but not the rest of what it needs."}, {"user": "Is there a reason why the version specific or-tools are not installed the same way on MacOS as lemon-graph is ?"}, {"assistant": "@stefanottili depending on where you installed or-tools, can you try?\r\n```\r\nexport CMAKE_PREFIX_PATH=\r\n```\r\nExample:\r\n```\r\nexport CMAKE_PREFIX_PATH=/opt/or-tools\r\n```\r\n\r\n> Is there a reason why the version specific or-tools are not installed the same way on MacOS as lemon-graph is ?\r\n\r\nWe inherited that from another developer in the team, I personally do not have any experience with packaging something for brew, but I can take a look."}, {"user": "I still get\r\n```\r\nThe following imported targets are referenced, but are missing:\r\n Coin::CbcSolver Coin::OsiCbc Coin::ClpSolver Coin::OsiClp\r\n```\r\n\r\nA little bit of googling got me to this ..\r\nhttps://stackoverflow.com/questions/50061678/cmake-the-following-imported-targets-are-referenced-but-are-missing"}, {"user": "using a stand alone build of the or-tools and \r\nexport CMAKE_PREFIX_PATH=\"/Users/user/or-tools_cpp_MacOsX-12.5_v9.4.1874\"\r\ngets OR to compile on Mac M1 again.\r\n"}]} +{"num": 2515, "messages": [{"user": " This is great - I definitely did not know about this when I made the bug report for ORFS a while back. Hopefully this improves bug report quality!\r\n\r\n@vvbandeira @maliberty Maybe it's too aggressive, but it might be worth considering using this bot https://github.com/marketplace/actions/auto-close-issues to automatically close issues which do not follow the format (and automatically reopen them once they are edited to follow the format).\r\n\r\nBut before that, maybe a next step is to add a form for \"feature requests\" and/or \"questions\".\r\n\r\n_Originally posted by @rovinski in https://github.com/The-OpenROAD-Project/OpenROAD/issues/2489#issuecomment-1318079657_\r\n "}, {"user": "Created this issue to get feedback on useful fields to include in these forms for feature request and questions. Suggestion for other forms are also welcome."}]} +{"num": 2516, "messages": [{"user": "### Describe the bug\n\nopenroad reports antenna violations but it is unable to repair them\n\n### Expected Behavior\n\nrepair antenna violations\n\n### OpenROAD Environment\n\n```shell\nGit commit: 7f00621cb612fd94e15b35790afe744c89d433a7\r\nkernel: Linux 5.14.0-1054-oem\r\nos: Ubuntu 20.04.3 LTS (Focal Fossa)\r\ncmake version 3.14.0\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v5.14.0-1054-oem\r\nDistribution: ubuntu 20.04\r\nPython: v3.8.10 (OK)\r\nContainer Engine: docker v20.10.11 (OK)\r\nOpenLane Git Version: 1da2eec3d9b5a3612cb026cf9060cb7954e4c24a\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n1da2eec3 2022-11-16T01:37:27+02:00 [BOT] Update netgen (#1452) - Openlane Bot - (HEAD -> master, tag: 2022.11.16, origin/master, origin/HEAD)\r\n77fd531e 2022-11-15T13:32:13+02:00 Revert #1485 (#1492) - Mohamed Gaber - ()\r\ndbb24870 2022-11-15T13:05:26+02:00 [BOT] Update PDK (#1455) - Openlane Bot - ()\r\n---\r\nGit Remotes\r\n\r\ndonn\tgit@github.com:donn/openlane.git (fetch)\r\ndonn\tgit@github.com:donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\n```\n\n\n### To Reproduce\n\n`./run.sh` or `./run.tcl` [issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10032235/issue_reproducible.tar.gz)\r\n\n\n### Relevant log output\n\n```shell\n[INFO GRT-0018] Total wirelength: 1570186 um\r\n[INFO GRT-0014] Routed nets: 12882\r\n[INFO GRT-0006] Repairing antennas, iteration 1.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 115 antenna violations.\r\n[WARNING GRT-0243] Unable to repair antennas on net with diodes.\r\n[INFO GRT-0015] Inserted 0 diodes.\r\n[INFO GRT-0009] rerouting 9219 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 15\r\n[INFO GRT-0006] Repairing antennas, iteration 2.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 128 antenna violations.\r\n[WARNING GRT-0243] Unable to repair antennas on net with diodes.\r\n[INFO GRT-0015] Inserted 0 diodes.\r\n[INFO GRT-0009] rerouting 39 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 11\r\n[INFO GRT-0006] Repairing antennas, iteration 3.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 132 antenna violations.\r\n[WARNING GRT-0243] Unable to repair antennas on net with diodes.\r\n[INFO GRT-0015] Inserted 0 diodes.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nthis is for `gf180mcuC`"}, {"assistant": "Apparently the issue is related to one of the antenna fixing strategies of breaking up a long wire by moving down a layer. This apparently isn't working and sometimes the router does the wrong thing by going up a layer instead of down. This is my vague understanding from talking to Andy at Efabless "}, {"assistant": "Request from Google: Could Efablesss provide a little more feedback on the actual issue observed as a failure to fix. What is it that happens to the antenna violating nets that causes them not to be fixed? "}, {"user": "This is something different. This is about openroad not repairing antenna violations at all. "}, {"assistant": "This looks like the issue comes down to GF180MCU being simpler than we expect.\r\n\r\nIn SKY130 we have antenna rules that look like this.\r\n```\r\n ANTENNAMODEL OXIDE1 ;\r\n ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;\r\n\r\n```\r\n\r\nHowever, in GF180MCU we have rules that look like.\r\n```\r\n ANTENNAMODEL OXIDE1 ;\r\n ANTENNADIFFSIDEAREARATIO 400 ;\r\n ANTENNAGATEPLUSDIFF 2 ;\r\n```\r\n\r\nThere is only a single value instead of a linearly interpolated list of values. \r\n\r\n```c++\r\nbool AntennaChecker::antennaRatioDiffDependent(dbTechLayer* layer)\r\n{\r\n if (layer->hasDefaultAntennaRule()) {\r\n const dbTechLayerAntennaRule* antenna_rule = layer->getDefaultAntennaRule();\r\n dbTechLayerAntennaRule::pwl_pair diffPAR = antenna_rule->getDiffPAR();\r\n dbTechLayerAntennaRule::pwl_pair diffPSR = antenna_rule->getDiffPSR();\r\n return diffPAR.indices.size() > 1 || diffPSR.indices.size() > 1;\r\n }\r\n return false;\r\n}\r\n```\r\n\r\nThe following code asserts that there must be at least two values for the rule to be diff dependent which is incorrect. When I changed the code to \r\n\r\n```c++\r\nbool AntennaChecker::antennaRatioDiffDependent(dbTechLayer* layer)\r\n{\r\n if (layer->hasDefaultAntennaRule()) {\r\n const dbTechLayerAntennaRule* antenna_rule = layer->getDefaultAntennaRule();\r\n dbTechLayerAntennaRule::pwl_pair diffPAR = antenna_rule->getDiffPAR();\r\n dbTechLayerAntennaRule::pwl_pair diffPSR = antenna_rule->getDiffPSR();\r\n return diffPAR.indices.size() >= 1 || diffPSR.indices.size() >= 1;\r\n }\r\n return false;\r\n}\r\n```\r\n\r\nOpenROAD fixed nearly all of the antennas in this design."}]} +{"num": 2521, "messages": [{"user": "Describe the bug\r\nopenroad does not find libortools.so.9\r\n\r\nExpected Behavior\r\nopenroad should find libortools.so.9\r\n\r\nOpenROAD Environment\r\nopenroad v2.0-5770-g9e3721536\r\nos: Ubuntu 20.04\r\n\r\nTo Reproduce\r\nrun openroad\r\n\r\nRelevant output\r\n[INFO][FLOW] Using platform directory ./platforms/gf180\r\n/home/regenmacher/Schreibtisch/ORFS/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad: error while loading shared libraries: libortools.so.9: cannot open shared object file: No such file or directory\r\n\r\n\r\nAdditional Context:\r\nOR use the precompiled or-tools, installed in /opt/or-tools\r\nThe libortools.so.9 exists in /opt/or-tools/lib,\r\nbut is searched in /opt/or-tools/lib64\r\n\r\nSolution: rename "}, {"assistant": "@mi-and-core \r\nFollow below steps:\r\n```\r\n ./etc/DependencyInstaller.sh -run\r\n ./etc/DependencyInstaller.sh -dev\r\ngit clean -xdi\r\n./etc/Build.sh\r\n```\r\n"}, {"user": "@vijayank88\r\nThe problem is that after unpacking or-tools_amd64_ubuntu-20.04_cpp_v9.4.1874 in _installOrTools() ( ./etc/DependencyInstaller.sh) we have the following :\r\n \r\n/opt/or-tools/bin/\r\n/opt/or-tools/examples/\r\n/opt/or-tools/include/\r\n/opt/or-tools/lib/\r\n/opt/or-tools/share/\r\n\r\nbut no\r\n/opt/or-tools/lib64/\r\n\r\nwhile ./src/CMakeLists.txt says :\r\n\r\n```\r\n# The location used by etc/DependencyInstaller.sh\r\nlist(APPEND CMAKE_INSTALL_RPATH \"/opt/or-tools/lib64\")\r\n```\r\n\r\nso here is a mismatch between /lib and /lib64\r\n\r\nnote: ./etc/DependencyInstaller.sh untar the binary-release, but dont build googles or-tools from source "}, {"assistant": "@vvbandeira fyi\r\n"}, {"assistant": "@mi-and-core \r\nPlease provide list of commands you executed."}, {"user": "@vijayank88 \r\n\r\n### How to reproduce :\r\n\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts.git\r\ncd OpenROAD-flow-scripts\r\nsudo ./tools/openroad/etc/DependencyInstaller -run \r\nsudo ./tools/openroad/etc/DependencyInstaller -dev \r\n./build_openroad.sh --nice --local **--latest**\r\n\r\n( Note the \"--latest\" so that a recent commit \"ord: add rpath for default or-tools install\" is taken into account. Thats the one that adds \"list(APPEND CMAKE_INSTALL_RPATH \"/opt/or-tools/lib64\")\" to openroad/src/CMakeLists.txt )\r\n\r\nsource setup_env.sh\r\ncd flow\r\nmake \r\n\r\nEverything went as expected - except for the last make\r\n\r\nrelevant message: \r\nOpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad: \r\nerror while loading shared libraries: libortools.so.9: \r\ncannot open shared object file: No such file or directory \r\n\r\nThe reason for this is very clear: see my previous post\r\n\r\nProof: \r\n\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts.git\r\ncd OpenROAD-flow-scripts\r\nsudo ./tools/openroad/etc/DependencyInstaller -run \r\nsudo ./tools/openroad/etc/DependencyInstaller -dev \r\n./build_openroad.sh --nice --local \r\nsource setup_env.sh\r\ncd flow\r\nmake \r\n\r\n( Note: without --latest so that the mentioned or-commit does not take effect. This \"solves\" the problem )"}, {"assistant": "You need to add `/opt/or-tools/bin` to your PATH. I think there is an open PR somewhere that adds a helper message to the dependency installer script to do so."}, {"assistant": "@rovinski if it is installed in /opt/or-tools then that shouldn't be necessary."}, {"assistant": "Are you sure? Packages in `/opt` are not in the PATH by default. It seems that openroad builds fine because cmake is set up to find it, it's just that during runtime the shared lib is not found."}, {"assistant": "@maliberty @rovinski this is a \"odd\" choice from upstream/or-tools. They have both `lib` and `lib64` for CentOS and openSUSE distributions and only `lib` for Ubuntu and macOS. Trying a fix with #2527 "}, {"user": "@rovinski @maliberty \r\nAt present it is not yet necessary to have /opt/or-tools/bin in the PATH, as the or-tools are not yet used. Putting /opt/or-tools/bin in the PATH does not solve the problem.\r\n@vvbandeira \r\nThat solves the \"Problem\".\r\nbtw.: If the or-tools are compiled from source (e.g. see https://github.com/google/or-tools/blob/stable/cmake/README.md), instead of untar the release, then an or-tools/lib64 is also created for ubuntu."}, {"assistant": "> btw.: If the or-tools are compiled from source (e.g. see https://github.com/google/or-tools/blob/stable/cmake/README.md), instead of untar the release, then an or-tools/lib64 is also created for ubuntu.\r\n\r\nCompiling from source could be an option to add to our installer script, but I rather not since it would add the build time and more important another point of possible failure.\r\n\r\nSince the issue can be fixed with the proposed changes I would opt to merge the PR and close this issue. @maliberty @rovinski ?"}, {"assistant": "Merged"}]} +{"num": 2526, "messages": [{"user": "### Describe the bug\r\n\r\nOpenroad was not able to accept ispd2015 DFF file and report that \" error: Non-default rule (DWDS) has no rule for layer via1.\" However, it is OK in previous version (around 11/07). I find that recent commit add additional checks in https://github.com/The-OpenROAD-Project/OpenROAD/blob/174739b179897aaf160580061053a213e5a5b07c/src/odb/src/defin/definNonDefaultRule.cpp#L219.\r\n\r\nI ignored these codes and it is reported that\r\n......\r\n[INFO ODB-0130] Created 374 pins.\r\n[INFO ODB-0131] Created 108292 components and 310036 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 0 connections.\r\n[INFO ODB-0133] Created 110281 nets and 310036 connections.\r\n[INFO ODB-0134] Finished DEF file: /OpenROAD/data/ispd2015/results/mgc_des_perf_a/design/design.gp.def\r\n[INFO GRT-0020] Min routing layer: metal1\r\n[INFO GRT-0021] Max routing layer: metal5\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0088] Layer metal1 Track-Pitch = 0.2000 line-2-Via Pitch: 0.2000\r\n[INFO GRT-0088] Layer metal2 Track-Pitch = 0.2000 line-2-Via Pitch: 0.2000\r\n[INFO GRT-0088] Layer metal3 Track-Pitch = 0.2000 line-2-Via Pitch: 0.2000\r\n[INFO GRT-0088] Layer metal4 Track-Pitch = 0.2000 line-2-Via Pitch: 0.2000\r\n[INFO GRT-0088] Layer metal5 Track-Pitch = 0.2000 line-2-Via Pitch: 0.2000\r\n[INFO GRT-0019] Found 0 clock nets.\r\n/usr/include/c++/9/bits/stl_vector.h:1042: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = int; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = int&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000560A43C696D8 in openroad\r\n 1# 0x00007FC2ACCE7090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# 0x0000560A43C69838 in openroad\r\n 5# grt::GlobalRouter::computeTrackConsumption(grt::Net const*, int&, std::vector >*&) in openroad\r\n 6# grt::GlobalRouter::makeFastrouteNet(grt::Net*) in openroad\r\n 7# grt::GlobalRouter::initNets(std::vector >&) in openroad\r\n 8# grt::GlobalRouter::initFastRoute(int, int) in openroad\r\n 9# grt::GlobalRouter::globalRoute(bool) in openroad\r\n10# 0x0000560A4443EDFB in openroad\r\n11# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# 0x00007FC2AE9D4924 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# ord::tclAppInit(Tcl_Interp*) in openroad\r\n16# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# main in openroad\r\n18# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n19# _start in openroad\r\nAborted\r\n \r\n\r\n\r\n### Expected Behavior\r\n\r\nAccept ispd2015 benchmarks input (https://www.ispd.cc/contests/15/ispd2015_contest.html)\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 9e7714bf023650c8ee4b3013506ccd91ff6d292d\r\nkernel: Linux 5.15.74.2-microsoft-standard-WSL2\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\ngcc (GCC) 4.8.5 20150623 (Red Hat 4.8.5-44)\r\n./etc/Env.sh: line 45: clang: command not found\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nsource gr.tcl\r\n[mgc_des_perf_a.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10046859/mgc_des_perf_a.zip)\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nN/A\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n\"image\"\r\n\r\n\r\n### Additional Context\r\n\r\nN/A"}, {"assistant": "I believe this has already been fixed. Please update and try again."}, {"assistant": "@maliberty so is this a reversal on your previous stance that NDRs need to be defined at every layer?"}, {"assistant": "No it is a bug that it requires them on the first via layer - they are required on all routing layers."}, {"user": "The issue is solved and thanks a lot."}, {"assistant": "**Describe the bug**\r\nI meet the bug when accept ispd2015 for mpl2\r\n\r\n\"OpenROAD v2.0-7231-gff3b43866 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /home/data/ispd15/mgc_fft_b/tech.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2007): NAMESCASESENSITIVE statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/data/ispd15/mgc_fft_b/tech.lef at line 4.\r\n\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal1 :\"MINSTEP 0.100 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal1 :\"SPACING 0.11 ENDOFLINE 0.12 WITHIN 0.045 PARALLELEDGE 0.11 WITHIN 0.11 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via1 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal2 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal2 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via2 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal3 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal3 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via3 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal4 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal4 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via4 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal5 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal5 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 472.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 482.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 638.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 648.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 804.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 814.\r\n\r\n[INFO ODB-0223] Created 9 technology layers\r\n[INFO ODB-0224] Created 64 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/data/ispd15/mgc_fft_b/tech.lef\r\n[INFO ODB-0222] Reading LEF file: /home/data/ispd15/mgc_fft_b/cells.lef\r\n[INFO ODB-0225] Created 16 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/data/ispd15/mgc_fft_b/cells.lef\r\n[WARNING ORD-1011] LEF master in01f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master oa12f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master oa22f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ao12f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master no02f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ao22s01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master na03f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master na02f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master no03m01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h0 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h1 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h2 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h3 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h4 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h5 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ms00f80 has no liberty cell.\r\n[INFO ODB-0128] Design: fft\r\n[WARNING ODB-0249] skipping undefined net vss encountered in FLOORPLAN DEF\r\n[WARNING ODB-0249] skipping undefined net vdd encountered in FLOORPLAN DEF\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0149] DEF parser returns an error!\"\r\n\r\n**Expected Behavior**\r\nAccept ispd2015 benchmarks input (https://www.ispd.cc/contests/15/ispd2015_contest.html)\r\n\r\n**Environment**\r\ni am in a docker environment\r\n\r\nGit commit ff3b43866c9c65b9b2e0f64323f4c1f719752a3c\r\nkernel: Linux 5.4.0-122-generic\r\nos: Ubuntu 18.04.6 LTS\r\ncmake version 3.24.2\r\ngcc version 8.4.0 (Ubuntu 8.4.0-1ubuntu1~18.04)\r\n\r\n**Screenshots**\r\n![image](https://user-images.githubusercontent.com/74290495/227708795-51386755-ba5b-4a36-b315-b1ac9ed91847.png)\r\n\r\n![image](https://user-images.githubusercontent.com/74290495/227708784-56ae7e64-ad0d-4073-b9a4-8cc01cd5322c.png)\r\n\r\n**tcl**\r\nsource \"/home/project/OpenROAD/src/mpl2/test/helpers.tcl\"\r\nset top_module \"fft\"\r\nset CASE \"mgc_fft_b\"\r\nset DATA_DIR \"/home/data/ispd15\"\r\nset CASE_DIR [file join $DATA_DIR $CASE]\r\n\r\nset TCL_DIR [file join \"/home/tcl/ispd15\" $CASE]\r\nset RESULT_DIR [file join \"/home/results/ispd15\" $CASE]\r\nset CONFIG_FILE [file join $TCL_DIR \"$CASE.txt\"]\r\n\r\nset tech_lef \"$CASE_DIR/tech.lef\"\r\nset std_cell_lef \"$CASE_DIR/cells.lef\"\r\nset synth_verilog \"$CASE_DIR/design.v\"\r\nset floorplan_def \"$CASE_DIR/floorplan.def\"\r\nset result_dir \"/home/results/ispd2015/mgc_fft_b\"\r\n \r\nread_lef $tech_lef\r\nread_lef $std_cell_lef\r\n \r\nread_verilog $synth_verilog\r\nlink_design $top_module\r\nread_def $floorplan_def -floorplan_initialize\r\n\r\nrtl_macro_placer -max_num_inst 20000 -min_num_inst 1000 \\\r\n -max_num_macro 2 -min_num_macro 1 \\\r\n -report_directory $result_dir\r\nexit\r\n\r\n**run**\r\n/home/project/OpenROAD/build/src/openroad /home/tcl/ispd15/mgc_fft_b/mgc_fft_b.tcl"}, {"assistant": "Please open a new issue and don't tack onto a closed one."}, {"assistant": "Dear Openroad Team,\r\n\r\nThank you for reaching out to me. I appreciate your prompt response and guidance regarding the issue I submitted. I apologize for not following proper protocol in my previous submission.\r\n\r\nI have taken your advice and submitted a new issue as instructed. It is labeled as the #3082, and I hope that it addresses everything that needed attention.\r\n\r\nThank you once again for your help, and please let me know if there is anything else I need to do.\r\n\r\nBest regards, Jiangkao Li"}, {"assistant": "No problem. "}]} +{"num": 2531, "messages": [{"user": "### Describe the bug\r\n\r\nWith https://github.com/The-OpenROAD-Project/OpenROAD/pull/2528 applied so we can graph iroutes, we see drt/ta creating iroutes with discontinuities. An example, using the attached test case is `clknet_leaf_50_clk`. There are a number of breaks in the net, and also two segments that overlap (but do not connect) on the left:\r\n\r\n![iroute](https://user-images.githubusercontent.com/2029971/202947848-1d645ba7-af2c-4cf2-8554-34fd3d9e8c97.png)\r\n\r\nIn the end drt resolves these issues, but I wonder if creating better iroutes would help drt do a better job.\r\n\r\n### Expected Behavior\r\n\r\nOne connected graph per net.\r\n\r\n### Environment\r\n\r\n```shell\r\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.24.2\r\ngcc (GCC) 12.2.1 20220819 (Red Hat 12.2.1-2)\r\nclang version 14.0.5 (Fedora 14.0.5-1.fc36)\r\n```\r\n\r\n### To Reproduce\r\n\r\nTest case: [ta-issue.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10052059/ta-issue.tar.gz)\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "When I run with your branch and this test case I don't get the same results in the GUI:\r\n![image](https://user-images.githubusercontent.com/761514/202968708-60c4f49c-3f59-4997-859b-2bf481822ead.png)\r\n\r\nthe crossing edges in your leftmost circle are not crossing in my run. "}, {"assistant": "If I show the instances being connected (and the gcell grid) its more apparent what is going on:\r\n![image](https://user-images.githubusercontent.com/761514/202969989-799a25a5-aca2-4465-ba68-0ed4da5858fa.png)\r\n\r\nThe breaks are where an edge ends and a new edge begins within a gcell. As the instances are on different tracks the router will have to change tracks which is what the breaks represent. As the edge in the other direction is local to a single gcell there is no need to create an iroute for it."}, {"assistant": "I see the cross appears on the 2nd iteration."}, {"assistant": "At iter 2 I see:\r\n![image](https://user-images.githubusercontent.com/761514/203112586-d7aa29d1-5d13-44a4-9e02-1c8744bb90a1.png)\r\n\r\nI'm not convinced that the crossing matters as it is entirely inside one gcell. It is interesting that the met2 segment extends."}, {"assistant": "Is there a negative effect in dr that you observe?"}, {"user": "> Is there a negative effect in dr that you observe?\r\n\r\nNothing concrete, it was just something that the TA paper didn't go into detail on (it only talks about multiple pins in a gcell). I'm happy to just document this and move on."}, {"assistant": "The interesting question to me is does the track assigner consider local nets (ie single gcell) when computing the assignment. Its similar to the question of local nets during congestion calculation in grt."}, {"user": "I looked at a few local nets, and it doesn't appear to be doing the right thing. Looking at net `_11533_` (a local 2 pin net) in the above test case:\r\n\r\n```\r\ndetailed_route_debug -net _11533_ -ta\r\n```\r\n\r\nWe end up with a square of `li1` surrounding one of the access points, and nothing else."}, {"assistant": "The out.guide only has\r\n```\r\n_11533_\r\n(\r\n365700 151800 372600 158700 li1\r\n)\r\n```\r\nso there is nothing for ta to do. The problem is upstream in guide post-processing as the incoming route.guide has\r\n```\r\n_11533_\r\n(\r\n365700 151800 372600 158700 li1\r\n365700 151800 372600 158700 met1\r\n)\r\n```"}, {"assistant": "I would guess the A* search sees the met1 is unneeded and removes it. Probably it needs to be smarter about routing layers."}]} +{"num": 2535, "messages": [{"user": "### Describe the bug\r\n\r\nThe OpenLane project contains scripts that require external python modules. For example, [lefutil.py](https://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/odbpy/lefutil.py#L15) requires a module called `click`.\r\n\r\nopenroad includes a python interpreter, but does not appear to include support for installing 3rd party modules. As a result, openlane fails to run:\r\n\r\n```sh\r\n$ openroad -exit -no_init -python /opt/Si/OpenLane/scripts/odbpy/lefutil.py get_metal_layers -o /opt/Si/work/inverter/runs/RUN_2022.11.21_13.35.35/tmp/layers.list /opt/Si/work/caravel_example/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef\r\nOpenROAD v2.0-5616-g7f4902a7b\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nTraceback (most recent call last):\r\n File \"/opt/Si/OpenLane/scripts/odbpy/lefutil.py\", line 15, in \r\n import click\r\nModuleNotFoundError: No module named 'click'\r\n$\r\n```\r\n\r\nThe build steps at https://github.com/The-OpenROAD-Project/OpenROAD#build do not document how to install these modules, and since the interactive interpreter does not support `pip` it is unclear how to proceed.\r\n\r\n### Expected Behavior\r\n\r\nOpenroad should be usable with the scripts from openlane\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: d1b69464717b4b93e568051688bc99d2fc16fdeb\r\nkernel: Linux 5.15.74.2-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.1\r\ngcc (Ubuntu 11.2.0-19ubuntu1) 11.2.0\r\nUbuntu clang version 14.0.0-1ubuntu1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nRun the following:\r\n\r\n```\r\nopenroad -exit -no_init -python ${OPENLANE_PATH}/scripts/odbpy/lefutil.py\r\n```\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\nI have tried the following, none of which have worked:\r\n\r\n1. Run openroad from inside of a python venv\r\n2. Copy the `lib` directory from the venv to `/opt/Si/` which is the prefix used by openroad\r\n3. Run openroad from the venv directory"}, {"user": "I did discover that manually setting `PYTHONPATH` does get it to work. Perhaps that should be documented somewhere?\r\n\r\n```\r\n$ PYTHONPATH=$VIRTUAL_ENV/lib/python3.10/site-packages/ openroad -python\r\nOpenROAD v2.0-5790-ge6f8378ab\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nPython 3.10.6 (main, Aug 10 2022, 11:40:04) [GCC 11.3.0] on linux\r\nType \"help\", \"copyright\", \"credits\" or \"license\" for more information.\r\n>>> import click\r\n>>>\r\n```"}, {"assistant": "@proppy any thoughts?"}, {"assistant": "@xobs I think https://github.com/The-OpenROAD-Project/OpenROAD/issues/1424 is ultimatly the right way to solve this. In the meantime the workaround you're pointing sounds appropriate!\r\n"}, {"user": "For reference, I'm trying to understand the design flow better which is very difficult to do with a Docker container. This was the last piece I had to solve in order to get it to work.\r\n\r\nI've succeeded in hardening the inverter sample project using the following invocation:\r\n\r\n```\r\n PYTHONPATH=$VIRTUAL_ENV/lib/python3.10/site-packages/ \\\r\n STD_CELL_LIBRARY_OPT=sky130_fd_sc_hd \\\r\n STD_CELL_LIBRARY=sky130_fd_sc_hd \\\r\n PDK_ROOT=/opt/Si/PDKs/share/pdk \\\r\n PDK=sky130B \\\r\n ./flow.tcl \\\r\n -design /opt/Si/work/inverter/ \\\r\n -ignore_mismatches\r\n```"}, {"assistant": "> I'm trying to understand the design flow better which is very difficult to do with a Docker container.\r\n\r\nDid you gave the conda-eda installation a try?\r\nhttps://github.com/chipsalliance/silicon-notebooks/blob/main/digital-inverter-openlane.ipynb\r\n\r\nThis shouldn't require any container, can should give you an easy to get an environment bootstrapped, also see https://github.com/hdl/conda-eda/pull/247"}, {"user": "I did see that, and I've been referring to that quite a bit when setting things up. It's been super helpful.\r\n\r\nI couldn't see where the PDK files were sourced from, which is what led me to start from there."}, {"assistant": "@proppy do you understand why it wouldn't import the package in OR?"}, {"assistant": "What is sys.path in python3 versus OR? I just tried it locally and they are the same."}, {"assistant": "@maliberty it must be because the system python lib directory doesn't get added to the module search path.\r\n\r\nThis could be fixed by calling https://docs.python.org/3/c-api/init.html#c.Py_SetPath before init, but you'd have to detect it from the host python environment."}, {"user": "I'm not very well-versed in the ways of Python, but I thought the general rule was to avoid using system python. Besides, users may not have the rights to install packages in the root.\r\n\r\nThat's the reason why I went with a venv, and why the `VIRTUAL_ENV` path was set.\r\n\r\nIt seems as though the build process ignores any sort of venv and will use the system python regardless of whether there is another interpreter in the path. I just did a clean build of `openroad` after making sure I had the venv activated, and it still wouldn't work without having `PYTHONPATH` manually set."}, {"assistant": "@proppy from https://docs.python.org/3/glossary.html#term-import-path\r\n```\r\nA list of locations (or [path entries](https://docs.python.org/3/glossary.html#term-path-entry)) that are searched by the [path based finder](https://docs.python.org/3/glossary.html#term-path-based-finder) for modules to import. During import, this list of locations usually comes from [sys.path](https://docs.python.org/3/library/sys.html#sys.path), but for subpackages it may also come from the parent package\u2019s __path__ attribute.\r\n```\r\n\r\nso it does seem to come from sys.path."}, {"assistant": "> It seems as though the build process ignores any sort of venv and will use the system python regardless of whether there is another interpreter in the path\r\n\r\nSmall precision, openroad is its own python interpreter, so having a different `python` in `PATH` wouldn't really make a difference. `PYTHONPATH` seems like the right way to discover the venv `site-packages`.\r\n\r\n> so it does seem to come from sys.path\r\n\r\nYes but in that case I believe @xobs has installed additional packages in a venv, which does not seem discoverable by the embedded python interpreter unless provided with `PYTHONPATH` explicitly.\r\n\r\n@xobs can you check if setting `PYTHONHOME` to the venv path helps, maybe we need to forward it explicitly to the embedded interpreter using https://docs.python.org/3/c-api/init.html#c.Py_SetPythonHome"}, {"assistant": "@proppy however venv is passing that to the regular python interpreter should work the same for OR. \r\nI'm assuming that you can import click into python3."}, {"user": "> @xobs can you check if setting `PYTHONHOME` to the venv path helps, maybe we need to forward it explicitly to the embedded interpreter using https://docs.python.org/3/c-api/init.html#c.Py_SetPythonHome\r\n\r\nSetting `PYTHONHOME` is a good thought, but it makes things even less happy:\r\n\r\n```\r\n$ PYTHONHOME=$VIRTUAL_ENV openroad -python\r\nOpenROAD v2.0-5790-ge6f8378ab\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nPython path configuration:\r\n PYTHONHOME = '/opt/Si/pyenv'\r\n PYTHONPATH = (not set)\r\n program name = 'python3'\r\n isolated = 0\r\n environment = 1\r\n user site = 1\r\n import site = 1\r\n sys._base_executable = '/opt/Si/pyenv/bin/python3'\r\n sys.base_prefix = '/opt/Si/pyenv'\r\n sys.base_exec_prefix = '/opt/Si/pyenv'\r\n sys.platlibdir = 'lib'\r\n sys.executable = '/opt/Si/pyenv/bin/python3'\r\n sys.prefix = '/opt/Si/pyenv'\r\n sys.exec_prefix = '/opt/Si/pyenv'\r\n sys.path = [\r\n '/opt/Si/pyenv/lib/python310.zip',\r\n '/opt/Si/pyenv/lib/python3.10',\r\n '/opt/Si/pyenv/lib/python3.10/lib-dynload',\r\n ]\r\nFatal Python error: init_fs_encoding: failed to get the Python codec of the filesystem encoding\r\nPython runtime state: core initialized\r\nModuleNotFoundError: No module named 'encodings'\r\n\r\nCurrent thread 0x00007fd8591f7080 (most recent call first):\r\n \r\n```"}, {"assistant": "> however venv is passing that to the regular python interpreter should work the same for OR\r\n\r\nby default venv create a symlink to the system python:\r\n```\r\n1 \ud83c\udf59 ls -al env/bin/python3\r\nlrwxrwxrwx 1 proppy proppy 16 Nov 23 01:50 env/bin/python3 -> /usr/bin/python3\r\n```\r\nThe default interpreter has a quite a bit logic in it, to resolve the default import path depending of the location executable/symlinks, the presence of a `pyvenv.cfg` and the `include-system-site-packages` option, see:\r\nhttps://github.com/python/cpython/blob/c2102136be569e6fc8ed90181f229b46d07142f8/Modules/getpath.py#L69\r\nhttps://github.com/python/cpython/blob/c2102136be569e6fc8ed90181f229b46d07142f8/Lib/site.py#L16\r\n\r\nIn the case of openroad since we embed the interpreter, my initial guess was that none of things that compute default import path relative to the `prefix`/`exec_prefix` would really apply, but https://docs.python.org/3.10/c-api/intro.html#embedding-python seems to implies that some \"guesswork\" is being done wrt to the system python path:\r\n\r\n> On most systems (in particular, on Unix and Windows, although the details are slightly different), [Py_Initialize()](https://docs.python.org/3.10/c-api/init.html#c.Py_Initialize) calculates the module search path based upon its best guess for the location of the standard Python interpreter executable, assuming that the Python library is found in a fixed location relative to the Python interpreter executable. In particular, it looks for a directory named lib/pythonX.Y relative to the parent directory where the executable named python is found on the shell command search path (the environment variable PATH).\r\n\r\nhttps://docs.python.org/3/library/sys_path_init.html#embedded-python"}, {"assistant": "Have we considered some sort of virtual environment management tool? It is very problematic to mess with the system python or install libraries there. Two possible tools to use:\r\n\r\nhttps://python-poetry.org/docs/\r\nOR\r\nhttps://conda.io/projects/conda/en/latest/user-guide/tasks/manage-environments.html\r\n\r\nI personally prefer poetry because it is pretty straightforward to figure out and once it has created a \"lock\" file with all the dependencies... installation is a breeze. Also trivial to maintain multiple environments if your machine does other things than OpenRoad."}, {"assistant": "@openroadie we do have openroad packages for conda, see https://anaconda.org/LiteX-Hub/openroad/ but this problem is a bit different as it refers to the python context embedded in the OpenROAD app executable (which would be different from the system Python).\r\n\r\nSince will eventually becomes moot once openroad starts shipping as a proper python packages (see https://github.com/The-OpenROAD-Project/OpenROAD/issues/2308, https://github.com/The-OpenROAD-Project/OpenROAD/issues/1812, https://github.com/The-OpenROAD-Project/OpenROAD/issues/1424 and https://github.com/The-OpenROAD-Project/OpenROAD/pull/2311)"}, {"user": "Incidentally, I'm experiencing this same issue in Conda:\r\n\r\n```\r\n$ python -c 'import sys;print(sys.path);import click' \r\n['', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/site-packages']\r\n\r\n$ openroad -python -c 'import sys;print(sys.path);import click'\r\nOpenROAD 477bf6f24a6aec95fd1a2ac4d14f703b08738c5b\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\n['', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload']\r\nTraceback (most recent call last):\r\n File \"\", line 1, in \r\nModuleNotFoundError: No module named 'click'\r\n>>>\r\n```\r\n\r\nSomehow, `site-packages` isn't added to the paths.\r\n\r\nSimilar to venvs, I can get it to work if I run:\r\n\r\n```\r\nexport PYTHONPATH=$CONDA_PREFIX/lib/python3.10/site-packages\r\n```"}, {"assistant": "> export PYTHONPATH=$CONDA_PREFIX/lib/python3.10/site-packages\r\n\r\nThat should already happen if you activate the conda environment."}, {"user": "I've updated the bug to reflect what I believe is an issue with Python 3.10. It may be an issue for any version of Python after 3.7.\r\n\r\nThis may be related to https://github.com/python/cpython/issues/78189 where it seems somewhat questionable to call `Py_Main()` after calling `Py_Initialize()`.\r\n\r\nAs an example, here is what happens if I use a venv on Linux with Python 3.10:\r\n\r\n```\r\n(pyenv) user@Ondo:/opt/Si/pyenv$ openroad -python\r\nOpenROAD v2.0-6773-g74d2fb645\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nPython 3.10.6 (main, Nov 14 2022, 16:10:14) [GCC 11.3.0] on linux\r\nType \"help\", \"copyright\", \"credits\" or \"license\" for more information.\r\n>>> import click\r\nTraceback (most recent call last):\r\n File \"\", line 1, in \r\nModuleNotFoundError: No module named 'click'\r\n>>> import sys\r\n>>> sys.path\r\n['', '/usr/lib/python310.zip', '/usr/lib/python3.10', '/usr/lib/python3.10/lib-dynload']\r\n>>> import site; from importlib import reload; reload(site)\r\n\r\n>>> sys.path\r\n['/opt/Si/pyenv', '/usr/lib/python310.zip', '/usr/lib/python3.10', '/usr/lib/python3.10/lib-dynload', '/opt/Si/pyenv/lib/python3.10/site-packages']\r\n>>> import click\r\n>>>\r\n```\r\n\r\nYou can see that reloading `site` correctly picked up `site-packages`.\r\n\r\nIn Conda on macos I see the exact same thing, where `site-packages` is not included unless I do a `reload()`:\r\n\r\n```\r\nbash-3.2$ openroad -python\r\nOpenROAD 477bf6f24a6aec95fd1a2ac4d14f703b08738c5b\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nPython 3.10.9 (main, Jan 11 2023, 09:20:18) [Clang 14.0.6 ] on darwin\r\nType \"help\", \"copyright\", \"credits\" or \"license\" for more information.\r\n>>> import sys; print(sys.path)\r\n['', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload']\r\n>>> import site; from importlib import reload; reload(site); print(sys.path)\r\n\r\n['/opt/homebrew/Caskroom/miniconda/base/envs/eda/conda-bld', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/site-packages']\r\n>>>\r\n```\r\n\r\nWhen running Python by itself, the path is correct:\r\n\r\n```\r\nbash-3.2$ python\r\nPython 3.10.9 (main, Jan 11 2023, 09:18:18) [Clang 14.0.6 ] on darwin\r\nType \"help\", \"copyright\", \"credits\" or \"license\" for more information.\r\n>>> import sys; print(sys.path)\r\n['', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/site-packages']\r\n>>>\r\n```\r\n\r\nHOWEVER, when running `python -S`, the path exactly matches the path given by openroad:\r\n\r\n```\r\nbash-3.2$ python -S\r\nPython 3.10.9 (main, Jan 11 2023, 09:18:18) [Clang 14.0.6 ] on darwin\r\n>>> import sys; print(sys.path)\r\n['', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload']\r\n>>>\r\n```\r\n\r\nIf I patch `site.py` I see it running when doing `openroad -python`. I see it correctly set `sys.path`. Then the path is thrown away.\r\n\r\nI beleive what is happening is this:\r\n\r\n1. openroad runs `Py_Initialize()`\r\n2. python evaluates `site.py` and sets `sys.path`\r\n3. openroad runs `Py_Main()`\r\n4. `Py_Main()` reinitializes the environment, throwing away the work done in (2)\r\n\r\nThis is probably an issue in newer pythons, but I can't be sure.\r\n"}, {"user": "Here's an example showing that `sys.path` is just thrown away:\r\n\r\n```\r\nbash-3.2$ openroad -python print-site.py -exit\r\nOpenROAD 477bf6f24a6aec95fd1a2ac4d14f703b08738c5b\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nin site.py! sys.path: ['/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/site-packages']\r\nin openroad! sys.path: ['/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload']\r\nbash-3.2$ openroad -python print-site.py\r\nOpenROAD 477bf6f24a6aec95fd1a2ac4d14f703b08738c5b\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nin site.py! sys.path: ['/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/site-packages']\r\nin openroad! sys.path: ['/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python310.zip', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10', '/opt/homebrew/Caskroom/miniconda/base/envs/eda-arm64/lib/python3.10/lib-dynload']\r\n>>>\r\n```"}, {"assistant": "We might be able to get python to reuse the existing initialized environment by using https://docs.python.org/3/c-api/init_config.html#c.Py_RunMain on >= 3.8."}]} +{"num": 2540, "messages": [{"user": "### Describe the bug\n\nHi, guys\r\nWhen compiling openroad, i found below issue that 'd2' couldnt be identified by compiler, so i made debugging, and done some trials, then it passed. so i thought we should add below header file for error files(search.h and ruler.h). \r\n\"#include \"\r\n\r\nERROR LOG:\r\n/home/centos/OpenROAD/build/src/gui/gui_autogen/UVLADIE3JM/../../../../../src/gui/src/search.h:65:28: error: \u2018d2\u2019 in namespace \u2018boost::geometry::model\u2019 does not name a type\r\n using Point = bg::model::d2::point_xy;\r\n\r\n/home/centos/OpenROAD/src/gui/src/ruler.cpp:62:35: error: \u2018d2\u2019 in namespace \u2018boost::geometry::model\u2019 does not name a type\r\n typedef boost::geometry::model::d2::point_xy point_t;\n\n### Expected Behavior\n\nadd \"#include \" for search.h and ruler.h\n\n### Environment\n\n```shell\ncentos8\n```\n\n\n### To Reproduce\n\ni refer to all steps in guide, so if you want reproduce, just refer to guide to make test.\n\n### Relevant log output\n\n```shell\nERROR LOG:\r\n/home/centos/OpenROAD/build/src/gui/gui_autogen/UVLADIE3JM/../../../../../src/gui/src/search.h:65:28: error: \u2018d2\u2019 in namespace \u2018boost::geometry::model\u2019 does not name a type\r\n using Point = bg::model::d2::point_xy;\r\n\r\n/home/centos/OpenROAD/src/gui/src/ruler.cpp:62:35: error: \u2018d2\u2019 in namespace \u2018boost::geometry::model\u2019 does not name a type\r\n typedef boost::geometry::model::d2::point_xy point_t;\n```\n\n\n### Screenshots\n\n![image](https://user-images.githubusercontent.com/54980535/203463313-9f6e0ea9-7bb4-4353-8dee-8c7abd165f29.png)\r\n\n\n### Additional Context\n\n_No response_"}, {"assistant": "What version of boost are you using? "}, {"assistant": "@cvic1080 \r\nCan you confirm dependency packages installed by running below steps?\r\n```\r\n./etc/DependencyInstaller.sh -run\r\n./etc/DependencyInstaller.sh -dev\r\n```"}, {"user": "> @cvic1080 Can you confirm dependency packages installed by running below steps?\r\n> \r\n> ```\r\n> ./etc/DependencyInstaller.sh -run\r\n> ./etc/DependencyInstaller.sh -dev\r\n> ```\r\n\r\nmy system is centos8, seems it couldnt be supported by this 2 scripts."}, {"user": "> \r\n\r\nboost 1.66, actually, i solved this issue manually, but hope it better supports more version, it will be convenient to users."}, {"assistant": "Boost 1.66 is very old. I think that openroad is supposed to require 1.78? Or maybe that requirement was removed."}, {"assistant": "@rovinski I don't see any requirement on the boost version and can't find one historically. Do you know where we required that? Why 1.78 in particular?"}, {"assistant": "I don't think it was ever put into the cmake file, but we had it in the readme, you can see evidence of it in #866 and #1530. As I recall, it was DRT that required it (looks like it was boost >= 1.68).\r\n\r\nI think at some point, there was a PR that fixed the dependency on the specific boost version. But we never tested with older boost versions, only newer ones. I think it is worth a shot for @cvic1080 to try building with boost >= 1.68 to see if the issue is resolved."}, {"assistant": "> boost 1.66, actually, i solved this issue manually, but hope it better supports more version, it will be convenient to users.\r\n\r\nClosing since the user reports that the issue is fixed."}, {"assistant": "@vvbandeira shall we put a minimum boost version in cmake to avoid this? "}, {"assistant": "Did we actually get a clear response of what the issue was and what the fix is?"}, {"assistant": "> @vvbandeira shall we put a minimum boost version in cmake to avoid this?\r\n\r\nI think a range would be better. At least from other issues linked here, there was an issue with 1.75 and 1.80 works fine on macOS builds. Let me run some tests and propose a PR.\r\n\r\n> Did we actually get a clear response of what the issue was and what the fix is?\r\n\r\n@cvic1080 did you update your boost install or modify the code? If you modified your code with e.g. `ifdefs` it could be nice to have a PR for that."}]} +{"num": 2541, "messages": [{"user": "I am working on OpenFASOC' s ldo-gen which has a comparator (cmp1). The cmp1 is placed in seperate voltage domain.Now the issue for one of the setting is that the VDD rail is passing over cmp1's VSS pin and VSS rail is passing over cmp1's VDD pin this then further causes LVS issue.\r\nHere is screenshots for incorrect placement \r\nIncorrect placement :\r\n![cmp1_error](https://user-images.githubusercontent.com/61928883/203491869-d16d74a4-0ee4-43a5-8d90-67b4e2f9a308.jpg)\r\n\r\nHere is a screenshot of correct placement\r\n![cmp1_correct](https://user-images.githubusercontent.com/61928883/203492952-4e1d5ebd-d6ff-43d1-80c9-0911d09fbdfe.png)\r\n\r\nOpenROAD version:\r\nOpenROAD v2.0-5170-gd919ca2d4 \r\n\r\nTo reproduce the issue:\r\n[detail_place_ldo_sky130hvl_base_2022-11-23_12-44.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10073446/detail_place_ldo_sky130hvl_base_2022-11-23_12-44.tar.gz)\r\n"}, {"assistant": "@lulu9312 \r\nPlease follow issue template to fill `OpenROAD` version, OS etc.,\r\n`OpenROAD v2.0-5170-gd919ca2d4` this commit is an one month old, please commit latest one and attach the test case if same issue repeats"}, {"assistant": "with latest OR LDO placement as follows\r\n![OR_2541](https://user-images.githubusercontent.com/85787913/203512630-dde2e281-fe95-401d-b589-f4ce15183ed8.png)\r\n"}, {"user": "> with latest OR LDO placement as follows ![OR_2541](https://user-images.githubusercontent.com/85787913/203512630-dde2e281-fe95-401d-b589-f4ce15183ed8.png)\r\n\r\n @vijayank88 This is incorrect,this is same as the error image above."}, {"assistant": "This is a double-height standard cell legalization issue. The issue could be in either DPL or DPO."}, {"assistant": "OR doesn't support double height cells. I suggest you manually place it and set its status to firm."}, {"assistant": "It used to support multi-height cells. Was that completely removed? Would it take significant effort to support it again?"}, {"user": "> OR doesn't support double height cells. I suggest you manually place it and set its status to firm.\r\n\r\n@maliberty Yes. I thought of that but I have kept the status to PLACED as keeping it FIRM causes site aligned failed issue.\r\nThis is implemented here https://github.com/idea-fasoc/OpenFASOC/pull/140"}, {"assistant": "@lulu9312 then you need to make sure you snap the cell to a cell site when you place it."}, {"user": "@rovinski Sorry , but what do you mean by snap the cell ?"}, {"assistant": "@rovinski it used to incorrectly attempt to support multi-height cells only in dpl. Since that code was not in use and not at all correct it was removed. Creating proper support is a project that would need non-trivial effort.\r\n\r\nWhen manually placing the cell you must pick a location that aligns with the sites/rows."}, {"assistant": "@lulu9312 There is a cell site grid in each row. You need to manually align your cell to this grid, otherwise fill cells cannot be inserted properly."}, {"user": "@maliberty @rovinski Understood."}]} +{"num": 2547, "messages": [{"user": "### Describe the bug\n\nRequesting to support the installation for Debian as well, as the script works only for Ubuntu and fails on debian \r\nPlease update the https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/etc/DependencyInstaller.sh \r\nas there are some dependencies are different from debain and ubuntu and also the installation steps for debian as well. \n\n### Expected Behavior\n\n.\n\n### Environment\n\n```shell\n.\n```\n\n\n### To Reproduce\n\n.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "https://shenki.github.io/Building-OpenROAD/\r\ni found this script to build and install dependencies but the build failed due to the following error.\r\n\r\n\r\n\r\nCMake Error at src/gpl/CMakeLists.txt:43 (find_package):\r\n By not providing \"FindEigen3.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"Eigen3\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"Eigen3\" with any\r\n of the following names:\r\n\r\n Eigen3Config.cmake\r\n eigen3-config.cmake\r\n\r\n Add the installation prefix of \"Eigen3\" to CMAKE_PREFIX_PATH or set\r\n \"Eigen3_DIR\" to a directory containing one of the above files. If \"Eigen3\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n\r\n"}, {"assistant": "You need to install [Eigen3](https://eigen.tuxfamily.org). It might be under the package name `libeigen3-dev` or similar."}, {"user": "> You need to install [Eigen3](https://eigen.tuxfamily.org). It might be under the package name `libeigen3-dev` or similar.\r\n\r\nThanks that worked\r\n"}, {"user": "-- STA version: 2.3.2\r\n-- STA git sha: b752018e27dc09c545a0226329581a3861cb0944\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /home/vinay/tools/openroad\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/vinay/pkg/OpenRoad/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\nCMake Error at /usr/share/cmake-3.25/Modules/CMakeFindDependencyMacro.cmake:47 (find_package):\r\n Could not find a package configuration file provided by \"Protobuf\" with any\r\n of the following names:\r\n\r\n ProtobufConfig.cmake\r\n protobuf-config.cmake\r\n\r\n Add the installation prefix of \"Protobuf\" to CMAKE_PREFIX_PATH or set\r\n \"Protobuf_DIR\" to a directory containing one of the above files. If\r\n \"Protobuf\" provides a separate development package or SDK, be sure it has\r\n been installed.\r\nCall Stack (most recent call first):\r\n /usr/lib/x86_64-linux-gnu/cmake/ortools/ortoolsConfig.cmake:45 (find_dependency)\r\n src/mpl2/CMakeLists.txt:42 (find_package)\r\n\r\n\r\nbut i have libortools-dev and libprotobuf-dev installed on debian\r\n\r\n"}, {"assistant": "@vinay-keshava we are adding support for debian, it won't take long to merge I think. But if you would like to try before the merge you can run the following lines and install or-tools by hand:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/96cc136e20fd2072593306d6fc25c947eb77534d/etc/DependencyInstaller.sh#L301-L324\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/96cc136e20fd2072593306d6fc25c947eb77534d/etc/DependencyInstaller.sh#L125-L135"}, {"user": "@vvbandeira i tried using the above method, got the following errors\r\n\r\n1. Package qt5-default is not available, but is referred to by another package.\r\nThis may mean that the package is missing, has been obsoleted, or\r\nis only available from another source\r\n\r\nE: Package 'qt5-default' has no installation candidate\r\n\r\nIs there any other package provided. ?\r\n\r\n2. After running cmake or the build script \r\ngot the following error\r\nhttps://gist.github.com/vinay-keshava/5a4fbaaea7b661e38bcbd4cdc1065845\r\n\r\n"}, {"assistant": "@vinay-keshava which Debian version are you using? "}, {"user": "> @vinay-keshava which Debian version are you using?\r\nI am using Debian 12 (bookworm, hasn't released yet but testing) \r\n\r\n"}, {"assistant": "@vinay-keshava I did some changes to the installer\u2026.can you try it again?"}, {"assistant": "The issue got closed automatically with the PR merge. Discussion about Debian 12 can continue here; if there's a docker image available we can consider adding support. Otherwise, we would wait to add support as we would not have a way to implement and test the feature."}]} +{"num": 2549, "messages": [{"user": "### Describe the bug\n\nI am trying to place some cells in a voltage domain created by using create_voltage_domain command. Earlier detail_placer was able place cells in region but the region was inside core_area. Now in this case when I try to place the cells outside core_area it gives the error as shown in below screenshot. \n\n### Expected Behavior\n\nDeatil placer should place the cells\n\n### Environment\n\n```shell\nOpenROAD version : OpenROAD v2.0-5170-gd919ca2d4\n```\n\n\n### To Reproduce\n\n[detail_place_ldo_sky130hvl_base_2022-11-30_10-11.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10119591/detail_place_ldo_sky130hvl_base_2022-11-30_10-11.tar.gz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\nHere's the screenshot of error:\r\n![detail_place_error1](https://user-images.githubusercontent.com/61928883/204711288-6e72b594-4e44-4b5a-9a6c-f5ba8973a055.png)\r\nHere's the floorplan:\r\n![floorplan_DLDO](https://user-images.githubusercontent.com/61928883/204711403-fd2c9262-e893-471d-a30d-024a58850df1.png)\r\n\n\n### Additional Context\n\n_No response_"}, {"user": "@maliberty Is there a way to specify second core area inside same die area and then try to place cells there."}, {"assistant": "Your test case is incorrectly packaged with full paths\r\n```\r\n[ERROR STA-0511] cannot open '/home/pranav/OpenFASOC/openfasoc/generators/ldo-gen/flow/scripts/detail_place.tcl'.\r\n```\r\n\r\nI don't understand what \"place the cells outside core_area\" means. The core area defines where cells can be placed so putting them outside is never legal.\r\n\r\nThere is no meaning to a second core area. The core area encompasses all placeable area."}, {"user": "@maliberty Understood. Actually I want to seperate the two voltage domains. How can I achieve that ?"}, {"assistant": "OR has never had support for multiple voltage domains. We have work in progress to do that in the future."}, {"user": "@maliberty Got it. Looking forward to it! "}, {"assistant": "@maliberty It might be helpful to post some issues blocking the feature so the community could take a look and see if they could lend a hand."}, {"assistant": "This is part of the general UPF support work. If there is interest I'm glad to figure out how to divide up the work."}, {"assistant": "@lulu9312 you might be able to approximate separate voltage domains by putting placement fences around your two regions and using `cutRow` to remove rows between the two regions. This should become easier with multivoltage support, but I don't think there is a timetable on that."}, {"assistant": "@rovinski we don't have placement fences... that's on the UPF support list."}, {"assistant": "I thought GPL has supported placement fences for a while? Is there a lack of DPL support?"}, {"user": "@rovinski @maliberty Is there a way to have seperate rings for the two domains as it can be seen in the above floorplan image?? "}, {"assistant": "@rovinski it has been talked about a long time but it has never been implemented. There may be some support in dpl but without gpl it won't help too much if the cells are far off. I don't know about dpo but doubt it.\r\n\r\n@msaligane how do you handle this in FaSOC?"}, {"assistant": "> @rovinski it has been talked about a long time but it has never been implemented. There may be some support in dpl but without gpl it won't help too much if the cells are far off. I don't know about dpo but doubt it.\r\n\r\nI see, that makes things difficult."}]} +{"num": 2560, "messages": [{"user": "The FastRoute 2.0 and later papers all mention monotonic routing. FastRouteCore::routeMonotonicAll is never called anywhere in the code so it seems to be unused in practice. Someone should investigate:\r\n\r\n1) Does it work as the papers describe?\r\n2) Does it help if inserted into FastRouteCore::run before maze routing?"}, {"assistant": "Paper link https://ieeexplore.ieee.org/document/4196040"}]} +{"num": 2562, "messages": [{"user": "Hi, I noticed that in odb/include/odb/db.h, getChips() is marked as \u201cDEPRECATED\u201d. Can a dbDatabase contain multiple dbChip still?"}, {"assistant": "The database supports it but the rest of OR assumes a single chip. What is motivating your question?"}, {"user": "Thank you for replying.\r\nHere is my motivation: A large chip always contains several parts, and each part can be implemented seperately. Since dbBlock supports only two-levels of hierarchy, if there exists one dbChip in dbDatabase, the parts has to be child of the top block and the child of the top dbBlock must be \u201cflatten\u201d, i.e. without submodules in it. This is not so friendly for each part implementation. So I\u2019m thinking that making each part a single chip may be a good choice."}, {"assistant": "I would recommend against that. The correct solution would likely be a hierarchical `dbBlock` implementation (arbitrary hierarchy depth) but it is a large task to support it and it has been pending for a while. \r\n\r\nThe current best flow is to use a hierarchical flow where the block is extracted as a macro using liberty extraction and LEF extraction, then incorporated as a macro into the next level of hierarchy."}, {"user": "Thanks, I've got it."}, {"user": "question solved."}]} +{"num": 2563, "messages": [{"user": "### Describe the bug\r\n\r\nI am using the docker build for OR-flowscripts. When running the default gcd flow for NanGate45, I realised that the hold violation count and setup violation count are inconsistent in the final logs. \r\n\r\nCheck 6_report.log and 6_report.json, we see different numbers.\r\n\r\n**In 6_report.log**\r\n\r\n![image](https://user-images.githubusercontent.com/39641663/205257260-deadaf22-ce34-4413-b797-55782ea0dddf.png)\r\n\r\n**In 6_report.json**\r\n\r\n![image](https://user-images.githubusercontent.com/39641663/205257305-81d9556c-ecd2-4b47-99bf-0873da14f93a.png)\r\n\r\n### Expected Behavior\r\n\r\nTo my best understanding these two variables should be the same value? I saw the definitions are different in the two source files. So my confusion is which is the correct definition for hold/setup violation? If it is the former I can repost it the flow-scripts repo.\r\n\r\nOpenROAD/src/Metrics.tcl\r\n![image](https://user-images.githubusercontent.com/39641663/205256258-ab789c85-70ff-43f1-8248-ceadf0443637.png)\r\n\r\nOpenROAD-flow-scripts/flow/scripts/report_metrics.tcl\r\n![image](https://user-images.githubusercontent.com/39641663/205256590-278500d2-58eb-484a-b526-d5eb810f34f9.png)\r\n\r\n\r\n\r\n### Environment\r\n\r\n```shell\r\ndocker\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@luarss \r\nYes, its bug from script `OpenROAD-flow-scripts/flow/scripts/report_metrics.tcl`.\r\nI am not sure, but this issue was already discussed and I thought its fixed. I will check the PR list.\r\n\r\n@vvbandeira fyi"}]} +{"num": 2564, "messages": [{"user": "### Describe the bug\n\nError in Detail placement\r\n[CRITICAL DPL-0026] legalPt called on fixed cell.\r\n\r\n\"Screenshot\r\n\n\n### Expected Behavior\n\nSuccesfull placement\n\n### Environment\n\n```shell\nPlease pull the latest changes and try again, if problem persists file a github issue with the re-producible test case.\n```\n\n\n### To Reproduce\n\n[Issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10141299/Issue_reproducible.zip)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "The attached test case is not valid. Please provide steps to reproduce.\r\nAlso you're using outdated OpenROAD version, update and try.\r\n"}, {"assistant": "`Please don't attach screenshot, always copy paste the logs as texts in given template`\r\n\r\nAs per the log trying to copy `3_5_place_dp.odb` and file not found and flow failing."}, {"user": "@vijayank88 I am using OpenROAD in macOS. `3_5_place_dp.odb` file is the output of the detail placement. Since there is an error in the detail placement, the output file is not created and the next step is giving error as no file or directory. The actual error is `[CRITICAL DPL-0026] legalPt called on fixed cell`.\r\n"}, {"assistant": "A valid test case is needed to resolve the problem."}, {"assistant": "Please re-open an issue with test case, if still there is an issue with latest OpenROAD commits."}]} +{"num": 2566, "messages": [{"user": "### Describe the bug\n\nI tried adding \r\n```\r\nforeach clock [get_clocks *] {\r\n set clock_name [get_name $clock]\r\n gui::save_clocktree_image $::env(REPORTS_DIR)/cts_$clock_name.webp $clock_name\r\n}\r\n```\r\nto flow/scripts/save_images.tcl. If I run this in non-graphical mode I see the CTS window briefly maps to the screen and then disappears but I get the normal image on a white background. If I run it with DISPLAY= then I get:\r\n```\r\nThis plugin does not support propagateSizeHints()\r\nThis plugin does not support propagateSizeHints()\r\nThis plugin does not support raise()\r\nThis plugin does not support propagateSizeHints()\r\nThis plugin does not support propagateSizeHints()\r\nThis plugin does not support propagateSizeHints()\r\nThis plugin does not support propagateSizeHints()\r\n```\r\nand the image is saved but it is on a black background:\r\n![image](https://user-images.githubusercontent.com/761514/205422887-36f375cb-67d7-4ac7-9ad8-ece37df4ab43.png)\r\n\n\n### Expected Behavior\n\nIt should be possible to save the correct image without needing to map the window or generating any warnings. The other image save commands don't have this issue.\n\n### Environment\n\n```shell\nmaster branch\n```\n\n\n### To Reproduce\n\nany design (eg gcd/ng45)\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty I tried this and I get the `This plugin does not support propagateSizeHints()` which appears to have always been there. But I get a white background on the clocks and no warning about the `raise()`. This was on WSL Ubuntu 20, what are you doing it on?"}, {"assistant": "I suspect the image includes transparency. So it shows the background color of the image viewer "}, {"user": "That's a good guess but the result looks different in Firefox on CentOS depending on whether DISPLAY is set or not."}]} +{"num": 2575, "messages": [{"user": "### Describe the bug\r\n\r\nDuring detailed routing, pins on my custom cell cannot be accessed and I'm unsure why. For example, I get errors like this:\r\n\r\n```\r\n[WARNING DRT-6000] Macro pin has more than 1 polygon\r\n[WARNING DRT-6000] Macro pin has more than 1 polygon\r\n[WARNING DRT-6000] Macro pin has more than 1 polygon\r\n[WARNING DRT-6000] Macro pin has more than 1 polygon\r\n[WARNING DRT-6000] Macro pin has more than 1 polygon\r\n[WARNING DRT-6000] Macro pin has more than 1 polygon\r\n[WARNING DRT-6000] Macro pin has more than 1 polygon\r\n[ERROR DRT-0073] No access point for bfg_mux/i1.\r\n```\r\n\r\nThe cell has many pins, shown in this lef (also attached):\r\n[gf180_mux.lef.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10158191/gf180_mux.lef.zip)\r\n\r\nSome of them are clearly trickier than others to get to, but some of them (including i1, i3) seem pretty simple. I get an error for i1 but z seems to work:\r\n![Screenshot 2022-12-06 at 10 59 45 AM](https://user-images.githubusercontent.com/125257/205752004-0aa8adf7-2950-4758-a116-c4f90a0bcba5.png)\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nI'd like to understand how the router negotiates access so that I can design the cell to be accessible by it.\r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\nNot sure which one is being used if I'm honest, I can find out if this is important.\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\n$ python3 ./env.py issue-survey\r\nKernel: Linux v5.10.0-8-amd64\r\nDistribution: debian\r\nPython: v3.9.12 (OK)\r\nContainer Engine: docker v20.10.21 (OK)\r\nOpenLane Git Version: 235fa7a4a2872e779588919c58fc4fa32568e075\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n235fa7a 2022-11-28T17:17:32+02:00 [BOT] Update PDK (#1516) - Openlane Bot - (grafted, HEAD, tag: 2022.11.29)\r\n---\r\nGit Remotes\r\n\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (fetch)\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (push)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nI invoke this through the `caravel_user_project` Make scripts. It seems to just be running OpenLane. The initial and final config.tcl are attached.\r\n[config.tcl.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10158257/config.tcl.zip)\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Questions about how the router founds legal routes:\r\n\r\n- Is it a problem if a pin polygon is touching an obstruction polygon on the same layer?\r\n- Is the router checking for DRC correctness (or constructing routes for DRC correctness) based on only the routes it creates or, for instance, will unrelated DRC errors in the cell cause it to fail?"}, {"user": "I have made the access pins comically large and comically far apart but I still get pin access errors. Currently, i1_r (input 1 on the right):\r\n\r\n```\r\n[INFO DRT-0033] Metal5 shape region query size = 0.\r\n[INFO DRT-0165] Start pin access.\r\n[ERROR DRT-0073] No access point for bfg_mux/i1_r.\r\nError: droute.tcl, 38 DRT-0073\r\n```\r\n\r\n![Screenshot 2022-12-06 at 5 12 27 PM](https://user-images.githubusercontent.com/125257/205811713-0391edb7-dbe1-4174-93be-ee851242bfe6.png)\r\n\r\nLEF: \r\n[gf180_mux.lef.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10162186/gf180_mux.lef.zip)\r\n\r\nThe cell is DRC clean according to the magic, but the LEF is a bit of a hack job so might be the problem?\r\n\r\n\r\n"}, {"user": "Leaving the right-side disconnected, the router succeeds in connecting to the other nets but with violations. I'm not sure what's different about the right-hand side?\r\n\r\nHere are the routes (with 1 violation allegedly) in the openroad gui:\r\n\r\n![image](https://user-images.githubusercontent.com/125257/206034381-d91985ec-a110-4770-88c7-72507864a5a9.png)\r\n"}, {"assistant": "It would be helpful to package this as a standalone test case."}, {"assistant": "pa access issues can depend on the relative placement of the instance to the routing pattern "}, {"assistant": "from OL https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/for_developers/using_or_issue.md"}, {"user": "Thanks -\r\n\r\nWhen attempting to connect only the right-hand pins the pin access failures occur and the flow automatically creates this `issue_reproducible` package: \r\n[bfg_mux_test_right_pins_access_failure.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10181186/bfg_mux_test_right_pins_access_failure.tar.gz)\r\n\r\n\r\nWhen attempting to connect only the _left_-hand pins, pin access seems to have been figured out but there is a violation and the flow ends prematurely. I have attempted to package the artefacts manually, but I'm not sure I got the right script or right input DEF: \r\n[bfg_mux_test_left_pins_routed_but_violating.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10181187/bfg_mux_test_left_pins_routed_but_violating.tar.gz)\r\n"}, {"assistant": "The left pins test is off:\r\n```\r\nset ::env(CURRENT_ODB) {./tmp/routing/16-global.def};\r\n```\r\na .def is not a .odb. "}, {"assistant": "There is no lef included so I can't even piece it together."}, {"assistant": "Your cell gf180mcu_mux has bad shapes in its i1_r pin:\r\n```\r\nMANUFACTURINGGRID 0.005 ;\r\nMACRO gf180mcu_mux\r\n PIN i1_r\r\n PORT\r\n LAYER Metal1 ;\r\n RECT 11.455 1.975 11.795 2.17 ;\r\n RECT 11.455 2.17 11.795 2.899 ;\r\n RECT 11.455 2.899 11.795 4.584 ;\r\n RECT 15.69 4.529 16.08 4.584 ;\r\n RECT 11.455 4.584 16.08 4.814 ;\r\n RECT 15.69 4.814 16.08 4.869 ;\r\n```\r\nyour shapes are off the manufacturing grid. All the above coordinates need to be a multiple of 0.005. All attempts at pin access always yield an off-grid violation because the cell itself is bad."}, {"user": "Ah, thank you! So by fluke it seems the working pins are all on the grid. So then this isn't DRC clean, possibly because the magic checks don't include it and I needed to run the klayout DRC checks too.\r\n\r\nPS.\r\n1. The example in [the instructions](https://github.com/The-OpenROAD-Project/OpenLane/blob/master/docs/source/for_developers/using_or_issue.md) specifies a DEF, not an ODB, so that's what I gave it. Here is the repackage: \r\n[bfg_mux_test_left_pins_routed_but_violating.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10190657/bfg_mux_test_left_pins_routed_but_violating.tar.gz)\r\n\r\n\r\n2. LEF was attached to a previous comment (\r\n[gf180_mux.lef.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10162186/gf180_mux.lef.zip)) but I think you've got a post-rectangle-covering version anyway\r\n"}, {"assistant": "Likely or_issue directions were not update for the switch from def to odb. You could file an OL doc bug."}, {"assistant": "I would expect magic to check off grid"}, {"user": "I had expected that too. Probably my error, I'll look."}, {"user": "I can confirm that with all units snapped to a 0.005 um grid routing completes successfully:\r\n\r\n![image](https://user-images.githubusercontent.com/125257/206827605-b26282b2-9596-403a-b29c-2ebc095a6669.png)\r\n\r\nI can also confirm that magic does not catch this error!\r\n"}]} +{"num": 2577, "messages": [{"user": "### Describe the bug\r\n\r\nI think this is a similar issue to https://github.com/The-OpenROAD-Project/OpenROAD/issues/1518 however in this case it's the top layer of metal (Metal5) and there is an obstruction covering Metal4. This test is on GF180.\r\n\r\ndrt is finishing with one short, seen just to the right of the middle of this screenshot:\r\n\r\n![drt-short-met5](https://user-images.githubusercontent.com/2029971/205795732-70f82b09-9878-484c-807b-9b54b633a9d4.png)\r\n\r\nThe macro has an obstruction on Metal4, and grt has created guides for two wires on Metal5:\r\n\r\n`_027524_`\r\n![_027524_](https://user-images.githubusercontent.com/2029971/205796551-8e2cd9cd-f8c5-459d-aefd-bee666335fa1.png)\r\n\r\nand\r\n\r\n`microwatt_0.soc0.processor.execute1_0.divider_0_div_0.d_in_divisor\\[22\\] `\r\n![microwatt-divisor-22](https://user-images.githubusercontent.com/2029971/205796589-ce537258-9d9a-47a1-9222-e87277cbcc14.png)\r\n\r\nIt would be a pity not to use all that free space on Metal5, but on the other hand it seems extremely easy to get stuck with unroutable situations in drt.\r\n\r\nTest case: [metal5-grt-drt.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10161804/metal5-grt-drt.tar.gz)\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo DRCs from drt\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: bdb90cc3f51a1a866234817effb6ac129d9bc0c8\r\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.24.2\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 14.0.5 (Fedora 14.0.5-1.fc36)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee test case above.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "The problem isn't the guides but that drt doesn't follow them as it sees a much shorter path. One of the shorted nets:\r\n![image](https://user-images.githubusercontent.com/761514/205821572-e4c814e3-5206-4aaf-964b-ef8959312b9a.png)\r\nand the other\r\n![image](https://user-images.githubusercontent.com/761514/205821751-57cf7dd9-689c-4d28-8f4d-477b10849840.png)\r\n\r\nPerhaps we should increase the out-of-guide cost when in a planar routing area. Note that the guides are pretty ugly and suggest major detouring due to congestion."}, {"assistant": "Another option is to remove/cost the wrong way edges higher in the planar regions"}, {"user": "> Perhaps we should increase the out-of-guide cost when in a planar routing area. Note that the guides are pretty ugly and suggest major detouring due to congestion.\r\n\r\nI wonder if we should test an increase to `GUIDECOST`. If there is little cost for drt to stay on the grt guide, then drt could drastically change the wire length (as it has above) and create hold violations.\r\n\r\nA change to `GUIDECOST` could negatively impact some designs, but I bet in a lot of cases the root cause is the huge derating we often apply to grt (eg 50% by default in ORFS)"}]} +{"num": 2580, "messages": [{"user": "### Describe the bug\n\nI encountered some problems when I ran the stage of global placement (RePlAce).\r\n\r\nWhen I ran the global placement(GP) with the benchmark of ISPD 2015 contest,\r\nthere were two benchmarks that could not process the GP stage smoothly.\r\n( mgc_superblue16_a and mgc_superblue19 )\r\n*benchmark of ISPD 2015 : https://www.ispd.cc/contests/15/web/downloads.html (Hidden benchmark designs )\r\n\r\nAnd I process these two benchmark with the following commands in my tcl file:\r\nread_lef ${tech.lef}\r\nread_lef ${cells.lef}\r\nread_def ${floorplan.def}\r\nglobal_placement -density 1.0\r\n\r\nAfter I processed benchmark of mgc_superblue19, the last 2 rows of error messages are: \r\n[NesterovSolve] Iter: 680 overflow: 0.18357 HPWL: 14598922301\r\n[ERROR GPL-0307] RePlAce divergence detected. Re-run with a smaller max_phi_cof value.\r\n\r\nWhen I try smaller max_phi_cof value ( 1.1,1.3) with following command:\r\nglobal_placement -density 1.0 -max_phi_coef 1.03\r\n\r\nThere still had error message:\r\n[NesterovSolve] Iter: 680 overflow: 0.18357 HPWL: 14598922301\r\n[ERROR GPL-0307] RePlAce divergence detected. Re-run with a smaller max_phi_cof value.\r\n\r\nAnd I further try smaller max_phi_cof\r\nglobal_placement -density 1.0 -max_phi_coef 1.01\r\n\r\nThe error will be solved, but the overflow will not decrease less than 0.1 after 5000 iterations of NesterovSolve. The last 2 rows of messages are:\r\n[NesterovSolve] Iter: 4990 overflow: 0.362241 HPWL: 12902711426\r\n[NesterovSolve] Iter: 5000 overflow: 0.362278 HPWL: 12902395788\r\n\r\nAnother problem is that after I processing mgc_superblue16_a, the error message showed that :\r\n[ERROR GPL-0305] RePlAce diverged at newStepLength.\n\n### Expected Behavior\n\nThe overflow should be converged when iteration less than maybe 2000, without showing error message \"RePlAce diverged at newStepLength\".\n\n### Environment\n\n```shell\ngit commit: unknown, this is not a git repository.\r\n(Please make sure that you have the latest code changes and add the commit hash in the description)\r\nkernel: Linux 5.15.0-53-generic\r\nos: Ubuntu 20.04.3 LTS (Focal Fossa)\r\ncmake version 3.25.0\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\n```\n\n\n### To Reproduce\n\nThe benchmarks are ISPD2015 contest' hidden cases ( mgc_superblue16_a, mgc_superblue19).\r\nIt can be downloaded by the following link : [https://www.ispd.cc/contests/15/web/benchmarks/hidden.tgz](url)\r\n\r\nAnd the commands I used are showed below:\r\n\r\nread_lef ${tech.lef}\r\nread_lef ${cell.lef}\r\nread_def ${floorplan.def}\r\nglobal_placement -density 1\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Your placement density is too high. 1.0 is equivalent to 100% density i.e. perfectly packed try something closer to .35 to start, and move upwards if you think there's room for improvement."}, {"user": "I had also tried density of 0.6,0.7,0.8 and 0.9, but it also showed the same error finally.\r\nAnd the ratio of cells' area and chip area is 0.51 in benchmark mgc_superblue16_a, so the density cann't less than 0.51.\r\n\r\nAlso, the example wrote in paper set target density with 100% while the total cells' area is less than chip area.\r\n(The example was drawed at Fig3 in RePlAce ) \r\n\r\n![image](https://user-images.githubusercontent.com/29401124/206092129-877bd7f6-f932-4c92-8452-597a31b19596.png)\r\n"}, {"assistant": "It does work with 0.55 but fails at higher densities. I look at it briefly and it isn't trivial to resolve. I'll leave this open to investigate but it may be a while until it gets more attention."}]} +{"num": 2608, "messages": [{"user": "### Describe the bug\n\nI am installing OpenROAD with Docker. I have successfully the image and run the container. But when I type `openroad -h`, it failed with \r\n```\r\nopenroad: error while loading shared libraries: libortools.so.9: cannot open shared object file: No such file or directory\r\n```\r\n\r\n```\r\nNAME=\"Ubuntu\"\r\nVERSION=\"20.04.2 LTS (Focal Fossa)\"\r\nID=ubuntu\r\nID_LIKE=debian\r\nPRETTY_NAME=\"Ubuntu 20.04.2 LTS\"\r\nVERSION_ID=\"20.04\"\r\nHOME_URL=\"https://www.ubuntu.com/\"\r\nSUPPORT_URL=\"https://help.ubuntu.com/\"\r\nBUG_REPORT_URL=\"https://bugs.launchpad.net/ubuntu/\"\r\nPRIVACY_POLICY_URL=\"https://www.ubuntu.com/legal/terms-and-policies/privacy-policy\"\r\nVERSION_CODENAME=focal\r\nUBUNTU_CODENAME=focal\r\n```\r\nMy Docker is `Docker version 20.10.8, build 3967b7d`\n\n### Expected Behavior\n\n`openroad -h` should be executed correctly\n\n### Environment\n\n```shell\nI fail to run this command, it tells me to pull the latest branch. But I have already done this and it did not work.\n```\n\n\n### To Reproduce\n\n```bash\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\ncd OpenROAD-flow-scripts\r\n./build_openroad.sh\r\ndocker run -it -u $(id -u ${USER}):$(id -g ${USER}) -v $(pwd)/flow/platforms:/OpenROAD-flow-scripts/flow/platforms:ro openroad/flow-scripts\r\nsource ./setup_env.sh\r\nyosys -help\r\nopenroad -help\r\ncd flow\r\nmake\r\nexit\r\n```\r\nWhen I run `openroad -help`, it failed with `openroad: error while loading shared libraries: libortools.so.9: cannot open shared object file: No such file or directory`\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Where do you have or_tools installed?"}, {"user": "> Where do you have or_tools installed?\r\n\r\nIf I use Docker to build OpenROAD, is it need to install or_tools? Actually I try to build OpenROAD with Docker."}, {"assistant": "@habibayassin @vvbandeira in the openroad/centos7-builder-gcc container:\r\n```\r\n% ldd build/src/openroad|grep or\r\n\tlibortools.so.9 => /opt/or-tools/lib64/libortools.so.9 (0x00007f2e88822000)\r\n```\r\nHowever /opt is empty in the final openroad/flow-scripts:latest container. We need to copy or_tools over as well as the executable."}, {"assistant": "or add /opt/or-tools to openroad/flow-runtime"}, {"user": "So what do I need to do? Wait for the latest container or copy `/opt/or-tools` from `openroad/centos7-builder-gcc` to `openroad/flow-scripts:latest`? Thanks!"}, {"assistant": "We will put out a new openroad/flow-runtime to resolve this."}, {"assistant": "@zerzerzerz can you try the build command again? `./build_openroad.sh`"}, {"user": "> @zerzerzerz can you try the build command again? `./build_openroad.sh`\r\n\r\nThanks! It finally works!"}]} +{"num": 2615, "messages": [{"user": "### Describe the bug\n\nWhile building up test cases for TA I found what looks like a grt issue. Here you can see an obstruction (dark blue) that almost covers the gcell. There is nowhere near enough space for a single track. Even so, the global guide for net `w0_in` goes through this obstructed gcell:\r\n\r\n![grt-1](https://user-images.githubusercontent.com/2029971/207486887-5ba8c1bd-d46e-4f73-81d2-559e1318a982.png)\r\n\r\nYou can see the drt result, where it had to go off guide to resolve it. Even if I add a large derating (40%), grt still wants to route a track through it.\r\n\r\nI'm not sure if it's a gui issue, but it's interesting that top row of gcells is not square.\n\n### Expected Behavior\n\nWe don't create global routing guides through gcells that do not have enough space for a track.\n\n### Environment\n\n```shell\nGit commit: e634017c847e09aa54aa78d2b1386474f975b771\r\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.24.2\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 14.0.5 (Fedora 14.0.5-1.fc36)\n```\n\n\n### To Reproduce\n\nTest case: [drt-1.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10223645/drt-1.tar.gz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "If the design size is not an integral number of gcells the the top/right cell will be taller/wider than the others. It is better to avoid a fractional gcell. \r\n\r\nThe main issue looks like a bug."}, {"user": "This fixes it: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2616"}, {"user": "This is now fixed"}]} +{"num": 2617, "messages": [{"user": "### Describe the bug\n\nThe search function of https://openroad.readthedocs.io dies not work. For example, going to https://openroad.readthedocs.io/en/latest/search.html?q=flowtutorial&check_keywords=yes&area=default results in a never-ending \"Searching...\" prompt, and the error `Uncaught ReferenceError: Stemmer is not defined`\n\n### Expected Behavior\n\nDocumentation search should function\n\n### Environment\n\n```shell\nv:latest\n```\n\n\n### To Reproduce\n\n[Go to Uncaught ReferenceError: Stemmer is not defined](https://openroad.readthedocs.io/en/latest/search.html?q=flowtutorial&check_keywords=yes&area=default)\n\n### Relevant log output\n\n```shell\nUncaught ReferenceError: Stemmer is not defined\r\n query https://openroad.readthedocs.io/en/latest/_static/searchtools.js:245\r\n e https://openroad.readthedocs.io/_/static/javascript/readthedocs-doc-embed.js:1\r\n jQuery 10\r\n e https://openroad.readthedocs.io/_/static/javascript/readthedocs-doc-embed.js:1\r\n promise callback*e https://openroad.readthedocs.io/_/static/javascript/readthedocs-doc-embed.js:1\r\n setIndex https://openroad.readthedocs.io/en/latest/_static/searchtools.js:182\r\n https://openroad.readthedocs.io/en/latest/searchindex.js:1\r\nsearchtools.js:245:21\r\nUncaught (in promise) Error: No ad placements found.\r\n b https://media.ethicalads.io/media/client/beta/ethicalads.min.js:1\r\n g https://media.ethicalads.io/media/client/beta/ethicalads.min.js:1\r\n promise callback*ethicalads https://media.ethicalads.io/media/client/beta/ethicalads.min.js:1\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Try https://openroad.readthedocs.io/en/latest/search.html?q=flow+tutorial\r\n\r\nIf anything this is an upstream template issue."}, {"assistant": "This looks related to https://github.com/sphinx-doc/sphinx/issues/8623\r\n\r\n@vvbandeira what version of sphinx are we building the docs with?"}, {"assistant": "We use the latest of all packages, see [here](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/docs/requirements.txt)."}, {"assistant": "It appears to be working now. @vvbandeira @habibayassin did you fix anything?"}, {"assistant": "I did push some unrelated changes that triggered a new build of the docs. But nothing specific for this issue. "}, {"assistant": "Maybe that triggered picking up a new sphinx version with the fix?"}, {"user": "Still appears to fail for me.\r\n\r\nI only just now noticed that the full error message is:\r\n\r\n```\r\nRead the Docs search failed. Falling back to Sphinx search.\r\n\r\nUncaught (in promise) ReferenceError: Stemmer is not defined\r\n query https://openroad.readthedocs.io/en/latest/_static/searchtools.js:245\r\n T https://openroad.readthedocs.io/_/static/javascript/readthedocs-doc-embed.js:1\r\n e https://openroad.readthedocs.io/_/static/javascript/readthedocs-doc-embed.js:1\r\n promise callback*e https://openroad.readthedocs.io/_/static/javascript/readthedocs-doc-embed.js:1\r\n setIndex https://openroad.readthedocs.io/en/latest/_static/searchtools.js:182\r\n https://openroad.readthedocs.io/en/latest/searchindex.js:1\r\n```"}, {"assistant": "> Maybe that triggered picking up a new sphinx version with the fix?\r\n\r\nIt is possible. @habibayassin mentioned to me on Slack that the theme we use now has a `-old` prefix on the GH repo (https://github.com/SymbiFlow/sphinx_symbiflow_theme-old). We should consider changing the theme to something more up-to-date, I have asked @habibayassin to look at alternatives.\r\n\r\n> Still appears to fail for me.\r\n\r\nI am not able to reproduce the error. When I open the link, the search indeed does not finish, but there's no error message even after 5+min waiting.\r\n\r\n@xobs what is your OS and browser (w/version)?"}, {"user": "I should have clarified -- the error message is in the javascript console:\r\n\r\n![image](https://user-images.githubusercontent.com/238325/209670622-670da597-885b-4c31-8c87-60159976dbf6.png)\r\n\r\nAnd you're right, searching for `flow tutorial` works, but `flowtutorial` does not."}, {"assistant": "Oh, I see. Then I would consider this a limitation of the current search engine rather than a problem/issue since there's no error presented to the user in the browser. Only someone who knows where to look would find this error; for everyone else, I would assume that the neverending search is enough to try some other keyword.\r\n\r\n@habibayassin can you also check if there are any \"search engine\" plugins to improve this behaviour?"}, {"user": "So I think what happens is if there are no search results, it never returns and presents the error.\r\n\r\nIt should be changed so that it displays an error if there are no results."}, {"assistant": "@vvbandeira I have tested out a couple of themes that seem to be solving this issue. As for search plug-ins within this theme, I have tried one extension that didn\u2019t do any difference but I can explore others."}, {"assistant": "@xobs the new theme appears to have a better search engine which does not stall when the search term cannot be found. Please let us know if you find the issue again."}]} +{"num": 2618, "messages": [{"user": "### Describe the bug\n\nThe documentation at https://openroad.readthedocs.io/en/latest/tutorials/FlowTutorial.html has a rather large \"Table of Contents\" in the main page that is bulletted, however the elements are not clickable. There is a large amount of space dedicated to this ToC. Since it is duplicated in the sidebar, it may make sense to simply remove it. Alternately, perhaps it should be made clickable.\n\n### Expected Behavior\n\nThe Table of Contents should be clickable.\n\n### Environment\n\n```shell\nv:latest\n```\n\n\n### To Reproduce\n\nGo to https://openroad.readthedocs.io/en/latest/tutorials/FlowTutorial.html\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n![image](https://user-images.githubusercontent.com/238325/207578814-bdda41af-0809-4dac-887e-4c17b9253a87.png)\r\n\n\n### Additional Context\n\n_No response_"}, {"assistant": "Fixed at https://openroad-flow-scripts.readthedocs.io/en/latest/tutorials/FlowTutorial.html"}]} +{"num": 2620, "messages": [{"user": "### Describe the bug\n\nIn the GUI, running `read_db` multiple times causes a segfault.\n\n### Expected Behavior\n\n`read_db` shouldn't crash. It should either succeed, or should let the user know that it is not safe to read multiple times.\n\n### Environment\n\n```shell\nGit commit: e634017c847e09aa54aa78d2b1386474f975b771\r\nkernel: Linux 5.15.74.2-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\ngcc (Ubuntu 11.2.0-19ubuntu1) 11.2.0\r\nUbuntu clang version 14.0.0-1ubuntu1\n```\n\n\n### To Reproduce\n\n1. Run `openroad -gui`\r\n2. In the `TCL commands` box, load a `.odb` file with `read_db`\r\n3. Repeat the command in (2)\r\n4. Repeat the command in (2) again until it crashes\n\n### Relevant log output\n\n```shell\n$ openroad -gui\r\nOpenROAD v2.0-6061-ge634017c8\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055FFD47754CB in openroad\r\n 1# 0x00007FD1A2E1F520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbInst::getMaster() const in openroad\r\n 3# gui::DbInstDescriptor::getInstanceType(odb::dbInst*) const in openroad\r\n 4# gui::DisplayControls::getInstRow(odb::dbInst*) const in openroad\r\n 5# non-virtual thunk to gui::DisplayControls::isInstanceVisible(odb::dbInst*) in openroad\r\n 6# gui::LayoutViewer::drawBlock(QPainter*, odb::Rect const&, int) in openroad\r\n 7# gui::LayoutViewer::updateBlockPainting(QRect const&) in openroad\r\n 8# gui::LayoutViewer::paintEvent(QPaintEvent*) in openroad\r\n 9# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n10# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n11# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n12# QWidgetPrivate::sendPaintEvent(QRegion const&) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n13# QWidgetPrivate::drawWidget(QPaintDevice*, QRegion const&, QPoint const&, QFlags, QPainter*, QWidgetRepaintManager*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n14# 0x00007FD1A46CC982 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n15# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n16# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n17# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n18# QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n19# 0x00007FD1A3C25A67 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n20# g_main_context_dispatch in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n21# 0x00007FD1A24BA6F8 in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n22# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n23# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n24# 0x00007FD1A3C037C8 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n25# 0x00007FD1A3C037C8 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n26# 0x00007FD1A48B2AE5 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n27# QWidgetTextControl::clear() in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n28# 0x00007FD1A3C037C8 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n29# gui::ScriptWidget::commandExecuted(int) in openroad\r\n30# 0x00007FD1A3C037C8 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n31# gui::TclCmdInputWidget::completeCommand(QString const&) in openroad\r\n32# gui::TclCmdInputWidget::keyPressEvent(QKeyEvent*) in openroad\r\n33# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n34# QFrame::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n35# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n36# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n37# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n38# 0x00007FD1A471B02F in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n39# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n40# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n41# QGuiApplicationPrivate::processKeyEvent(QWindowSystemInterfacePrivate::KeyEvent*) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n42# QWindowSystemInterface::sendWindowSystemEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n43# 0x00007FD19F34DD6E in /lib/x86_64-linux-gnu/libQt5XcbQpa.so.5\r\n44# g_main_context_dispatch in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n45# 0x00007FD1A24BA6F8 in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n46# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n47# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n48# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n49# QCoreApplication::exec() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n50# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n51# ord::tclAppInit(Tcl_Interp*) in openroad\r\n52# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n53# main in openroad\r\n54# 0x00007FD1A2E06D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n55# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n56# _start in openroad\r\nSegmentation fault\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@xobs you cannot load multiple db files at the moment, OpenROAD should not have allowed you to proceed. (@maliberty)"}]} +{"num": 2621, "messages": [{"user": "### Describe the bug\n\nCurrently using Ubutnu 20.04 LTS. I am trying to make global placement using large def file (5.4 millions lines) and on Iter: 370 it is crashing with next error message: /usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = gpl::Bin*; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = gpl::Bin*&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nStack trace:\r\n0# 0x0000564E55BB20C5 in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n1# 0x00007F5E0B5B8520 in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http%3A%2F%2Flibc.so&cc_key=).6\r\n2# pthread_kill in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http%3A%2F%2Flibc.so&cc_key=).6\r\n3# raise in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http%3A%2F%2Flibc.so&cc_key=).6\r\n4# abort in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http%3A%2F%2Flibc.so&cc_key=).6\r\n5# 0x0000564E55BB08A8 in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n6# gpl::BinGrid::updateBinsGCellDensityArea(std::vector > const&) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n7# gpl::NesterovPlace::doNesterovPlace(int) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n8# 0x0000564E55C08DB8 in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n9# TclNRRunCallbacks in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http%3A%2F%2Flibtcl8.6.so&cc_key=)\r\n10# 0x00007F5E0D453028 in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http%3A%2F%2Flibtcl8.6.so&cc_key=)\r\n11# Tcl_EvalEx in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http%3A%2F%2Flibtcl8.6.so&cc_key=)\r\n12# Tcl_Eval in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http%3A%2F%2Flibtcl8.6.so&cc_key=)\r\n13# gui::ScriptWidget::executeTclCommand(QString const&) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n14# gui::ScriptWidget::executeCommand(QString const&, bool) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n15# 0x00007F5E0C39A7C8 in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Core.so&cc_key=).5\r\n16# gui::TclCmdInputWidget::completeCommand(QString const&) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n17# gui::TclCmdInputWidget::keyPressEvent(QKeyEvent*) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Widgets.so&cc_key=).5\r\n19# QFrame::event(QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Widgets.so&cc_key=).5\r\n20# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Widgets.so&cc_key=).5\r\n21# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Widgets.so&cc_key=).5\r\n22# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Core.so&cc_key=).5\r\n23# 0x00007F5E0CEB402F in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Widgets.so&cc_key=).5\r\n24# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Widgets.so&cc_key=).5\r\n25# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Core.so&cc_key=).5\r\n26# QGuiApplicationPrivate::processKeyEvent(QWindowSystemInterfacePrivate::KeyEvent*) in /lib/x86_64-linux-gnu/[libQt5Gui.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Gui.so&cc_key=).5\r\n27# QWindowSystemInterface::sendWindowSystemEvents(QFlags) in /lib/x86_64-linux-gnu/[libQt5Gui.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Gui.so&cc_key=).5\r\n28# 0x00007F5E070C8D6E in /lib/x86_64-linux-gnu/[libQt5XcbQpa.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5XcbQpa.so&cc_key=).5\r\n29# g_main_context_dispatch in /lib/x86_64-linux-gnu/[libglib-2.0.so](https://vk.com/away.php?to=http%3A%2F%2Flibglib-2.0.so&cc_key=).0\r\n30# 0x00007F5E0AC536F8 in /lib/x86_64-linux-gnu/[libglib-2.0.so](https://vk.com/away.php?to=http%3A%2F%2Flibglib-2.0.so&cc_key=).0\r\n31# g_main_context_iteration in /lib/x86_64-linux-gnu/[libglib-2.0.so](https://vk.com/away.php?to=http%3A%2F%2Flibglib-2.0.so&cc_key=).0\r\n32# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Core.so&cc_key=).5\r\n33# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Core.so&cc_key=).5\r\n34# QCoreApplication::exec() in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http%3A%2F%2FlibQt5Core.so&cc_key=).5\r\n35# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n36# ord::tclAppInit(Tcl_Interp*) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n37# Tcl_MainEx in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http%3A%2F%2Flibtcl8.6.so&cc_key=)\r\n38# main in\r\n/home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n39# 0x00007F5E0B59FD90 in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http%3A%2F%2Flibc.so&cc_key=).6\r\n40# __libc_start_main in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http%3A%2F%2Flibc.so&cc_key=).6\r\n41# _start in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad. \r\n\r\nWhen I am using smaller def files, I have no errors.\n\n### Expected Behavior\n\nI expect to successfully make global placement with large def file\n\n### Environment\n\n```shell\nUnfortunately, I dont have Env.sh.\n```\n\n\n### To Reproduce\n\nI used read_lef and read_def, then I used global_placement -density 0.86. Usually it works fine with smaller def files.\n\n### Relevant log output\n\n```shell\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = gpl::Bin*; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = gpl::Bin*&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nStack trace:\r\n0# 0x0000564E55BB20C5 in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n1# 0x00007F5E0B5B8520 in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http://libc.so&cc_key=).6\r\n2# pthread_kill in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http://libc.so&cc_key=).6\r\n3# raise in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http://libc.so&cc_key=).6\r\n4# abort in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http://libc.so&cc_key=).6\r\n5# 0x0000564E55BB08A8 in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n6# gpl::BinGrid::updateBinsGCellDensityArea(std::vector > const&) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n7# gpl::NesterovPlace::doNesterovPlace(int) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n8# 0x0000564E55C08DB8 in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n9# TclNRRunCallbacks in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http://libtcl8.6.so&cc_key=)\r\n10# 0x00007F5E0D453028 in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http://libtcl8.6.so&cc_key=)\r\n11# Tcl_EvalEx in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http://libtcl8.6.so&cc_key=)\r\n12# Tcl_Eval in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http://libtcl8.6.so&cc_key=)\r\n13# gui::ScriptWidget::executeTclCommand(QString const&) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n14# gui::ScriptWidget::executeCommand(QString const&, bool) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n15# 0x00007F5E0C39A7C8 in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http://libQt5Core.so&cc_key=).5\r\n16# gui::TclCmdInputWidget::completeCommand(QString const&) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n17# gui::TclCmdInputWidget::keyPressEvent(QKeyEvent*) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http://libQt5Widgets.so&cc_key=).5\r\n19# QFrame::event(QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http://libQt5Widgets.so&cc_key=).5\r\n20# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http://libQt5Widgets.so&cc_key=).5\r\n21# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http://libQt5Widgets.so&cc_key=).5\r\n22# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http://libQt5Core.so&cc_key=).5\r\n23# 0x00007F5E0CEB402F in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http://libQt5Widgets.so&cc_key=).5\r\n24# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/[libQt5Widgets.so](https://vk.com/away.php?to=http://libQt5Widgets.so&cc_key=).5\r\n25# QCoreApplication::notifyInternal2(QObject*, QEvent*) in\r\n/lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http://libQt5Core.so&cc_key=).5\r\n26# QGuiApplicationPrivate::processKeyEvent(QWindowSystemInterfacePrivate::KeyEvent*) in /lib/x86_64-linux-gnu/[libQt5Gui.so](https://vk.com/away.php?to=http://libQt5Gui.so&cc_key=).5\r\n27# QWindowSystemInterface::sendWindowSystemEvents(QFlags) in /lib/x86_64-linux-gnu/[libQt5Gui.so](https://vk.com/away.php?to=http://libQt5Gui.so&cc_key=).5\r\n28# 0x00007F5E070C8D6E in /lib/x86_64-linux-gnu/[libQt5XcbQpa.so](https://vk.com/away.php?to=http://libQt5XcbQpa.so&cc_key=).5\r\n29# g_main_context_dispatch in /lib/x86_64-linux-gnu/[libglib-2.0.so](https://vk.com/away.php?to=http://libglib-2.0.so&cc_key=).0\r\n30# 0x00007F5E0AC536F8 in /lib/x86_64-linux-gnu/[libglib-2.0.so](https://vk.com/away.php?to=http://libglib-2.0.so&cc_key=).0\r\n31# g_main_context_iteration in /lib/x86_64-linux-gnu/[libglib-2.0.so](https://vk.com/away.php?to=http://libglib-2.0.so&cc_key=).0\r\n32# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http://libQt5Core.so&cc_key=).5\r\n33# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http://libQt5Core.so&cc_key=).5\r\n34# QCoreApplication::exec() in /lib/x86_64-linux-gnu/[libQt5Core.so](https://vk.com/away.php?to=http://libQt5Core.so&cc_key=).5\r\n35# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n36# ord::tclAppInit(Tcl_Interp*) in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n37# Tcl_MainEx in /lib/x86_64-linux-gnu/[libtcl8.6.so](https://vk.com/away.php?to=http://libtcl8.6.so&cc_key=)\r\n38# main in\r\n/home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n39# 0x00007F5E0B59FD90 in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http://libc.so&cc_key=).6\r\n40# __libc_start_main in /lib/x86_64-linux-gnu/[libc.so](https://vk.com/away.php?to=http://libc.so&cc_key=).6\r\n41# _start in /home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "You need to supply a test case "}, {"assistant": "Seems like it could be an out-of-memory error? @Tepminamop how much memory is on this system? Are you running in Docker or locally?\r\n\r\nYou should have `Env.sh` at `/home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/OpenROAD/etc/Env.sh`. Please update the description with this info if you can.\r\n\r\n"}, {"assistant": "I have deleted your test case as I saw it contained a proprietary LEF."}, {"assistant": "I did run it before I noticed and got:\r\n```\r\n[INFO GPL-0019] Util(%): 143.11\r\n[INFO GPL-0020] StdInstsArea: 248950135193600\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[ERROR GPL-0301] Utilization exceeds 100%.\r\n[ERROR GUI-0070] GPL-0301\r\n```\r\n\r\nPlease reproduce this on a non-proprietary PDK if possible. "}, {"user": "> Seems like it could be an out-of-memory error? @Tepminamop how much memory is on this system? Are you running in Docker or locally?\r\n> \r\n> You should have `Env.sh` at `/home/valeshina/Work/OpenROAD/OpenROAD-flow-scripts/tools/OpenROAD/etc/Env.sh`. Please update the description with this info if you can.\r\n\r\nI tried to run locally on Ubuntu 20.04 with 8 GB RAM and on WSL2 with 32 GB RAM, but it crashes in both cases. I checked Task Manager and OpenROAD uses ~6 GB RAM when I am trying to make global palcement."}, {"user": "> I did run it before I noticed and got:\r\n> \r\n> ```\r\n> [INFO GPL-0019] Util(%): 143.11\r\n> [INFO GPL-0020] StdInstsArea: 248950135193600\r\n> [INFO GPL-0021] MacroInstsArea: 0\r\n> [ERROR GPL-0301] Utilization exceeds 100%.\r\n> [ERROR GUI-0070] GPL-0301\r\n> ```\r\n> \r\n> Please reproduce this on a non-proprietary PDK if possible.\r\n\r\nI am sorry but I gave wrong def file where Util(%) is 143.11, I fixed it and now Util(%) is around 74, here is log:\r\n[INFO ODB-0127] Reading DEF file: ./defs/MKZI_0large.def\r\n[INFO ODB-0128] Design: MKZI_dev\r\n[INFO ODB-0094] \t\tCreated 100000 Insts\r\n[INFO ODB-0094] \t\tCreated 200000 Insts\r\n[INFO ODB-0094] \t\tCreated 300000 Insts\r\n[INFO ODB-0094] \t\tCreated 400000 Insts\r\n[INFO ODB-0094] \t\tCreated 500000 Insts\r\n[INFO ODB-0094] \t\tCreated 600000 Insts\r\n[INFO ODB-0094] \t\tCreated 700000 Insts\r\n[INFO ODB-0094] \t\tCreated 800000 Insts\r\n[INFO ODB-0094] \t\tCreated 900000 Insts\r\n[INFO ODB-0094] \t\tCreated 1000000 Insts\r\n[INFO ODB-0097] \t\tCreated 100000 Nets\r\n[INFO ODB-0097] \t\tCreated 200000 Nets\r\n[INFO ODB-0097] \t\tCreated 300000 Nets\r\n[INFO ODB-0097] \t\tCreated 400000 Nets\r\n[INFO ODB-0097] \t\tCreated 500000 Nets\r\n[INFO ODB-0097] \t\tCreated 600000 Nets\r\n[INFO ODB-0097] \t\tCreated 700000 Nets\r\n[INFO ODB-0097] \t\tCreated 800000 Nets\r\n[INFO ODB-0097] \t\tCreated 900000 Nets\r\n[INFO ODB-0097] \t\tCreated 1000000 Nets\r\n[INFO ODB-0097] \t\tCreated 1100000 Nets\r\n[INFO ODB-0097] \t\tCreated 1200000 Nets\r\n[INFO ODB-0097] \t\tCreated 1300000 Nets\r\n[INFO ODB-0097] \t\tCreated 1400000 Nets\r\n[INFO ODB-0130] Created 184 pins.\r\n[INFO ODB-0131] Created 1072262 components and 6615934 component-terminals.\r\n[INFO ODB-0133] Created 1434377 nets and 4471778 connections.\r\n[INFO ODB-0134] Finished DEF file: ./defs/MKZI_0large.def\r\n\r\n\r\n[INFO GPL-0006] NumInstances: 1072078\r\n[INFO GPL-0007] NumPlaceInstances: 1072078\r\n[INFO GPL-0008] NumFixedInstances: 0\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 1434377\r\n[INFO GPL-0011] NumPins: 4471962\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 27197440 14786560\r\n[INFO GPL-0014] CoreAreaLxLy: 798720 798720\r\n[INFO GPL-0015] CoreAreaUxUy: 26398720 13987840\r\n[INFO GPL-0016] CoreArea: 337641472000000\r\n[INFO GPL-0017] NonPlaceInstsArea: 0\r\n[INFO GPL-0018] PlaceInstsArea: 248950135193600\r\n[INFO GPL-0019] Util(%): 73.73\r\n[INFO GPL-0020] StdInstsArea: 248950135193600\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n\r\n[InitialPlace] Iter: 20 CG residual: 0.00002070 HPWL: 61448146729\r\n[INFO GPL-0031] FillerInit: NumGCells: 1287037\r\n[INFO GPL-0032] FillerInit: NumGNets: 1434377\r\n[INFO GPL-0033] FillerInit: NumGPins: 4471962\r\n[INFO GPL-0023] TargetDensity: 0.86\r\n[INFO GPL-0024] AveragePlaceInstArea: 232212707\r\n[INFO GPL-0025] IdealBinArea: 270014752\r\n[INFO GPL-0026] IdealBinCnt: 1250455\r\n[INFO GPL-0027] TotalBinArea: 337641472000000\r\n[INFO GPL-0028] BinCnt: 1024 1024\r\n[INFO GPL-0029] BinSize: 25000 12880\r\n[INFO GPL-0030] NumBins: 1048576\r\n"}, {"assistant": "I doubt 1M instances runs in \"~6 GB RAM\". Without a test case to examine I don't have more to suggest."}, {"user": "> I doubt 1M instances runs in \"~6 GB RAM\". Without a test case to examine I don't have more to suggest.\r\n\r\nTask Manager told me that OpenROAD uses 5.7 GB RAM and my entire system usign 7 GB RAM (I applied screenshot), I applied fixed def file as a test case, it crashes on Iter: 360 or Iter: 370\r\n[test_case.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10235803/test_case.zip)\r\n![Rv6CeuEoAFk](https://user-images.githubusercontent.com/78896482/207831038-64b3aa54-eb0e-4fec-a8b6-74285fb49b6a.jpg)\r\n![wUhy3TKJ39w](https://user-images.githubusercontent.com/78896482/207831067-c4529d5c-b1cc-48e3-823a-899b68ad67c5.jpg)\r\n"}, {"assistant": "RSS is resident set size and only tells you what is currently swapped in, not total process size."}, {"assistant": "I can't do anything with your DEF as it uses cells from a proprietary LEF."}, {"assistant": "Closing with no actionable. You can reopen if a test case becomes available."}]} +{"num": 2633, "messages": [{"user": "### Describe the bug\r\n\r\nA design in openlane ci, which was previously passing, is failing now.\r\n\r\n### Expected Behavior\r\n\r\nIt might be an error at OpenROAD end. If it isn't, some advice on how to fix such an error would be appreciated \r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\nGit commit: 0720c9223313835d34033c44816213cfd02a9861\r\nkernel: Linux 5.14.0-1054-oem\r\nos: Ubuntu 20.04.3 LTS (Focal Fossa)\r\ncmake version 3.14.0\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\r\n\r\nThis is a ci run but I was able to reproduce the problem with the version of openroad pasted\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\nThis is a run done by the CI. So assume the latest openlane/pdk\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10237705/issue_reproducible.tar.gz)\r\n\r\n\r\n### Relevant log output\r\n```\r\nOpenROAD v2.0-6086-g0720c9223 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1. \r\n[INFO]: Setting signal max routing layer to: met5 and clock max routing layer to met5. \r\n-congestion_iterations 50 -verbose\r\n[INFO GRT-0020] Min routing layer: met1\r\n[INFO GRT-0021] Max routing layer: met5\r\n[INFO GRT-0022] Global adjustment: 30%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400\r\n[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400\r\n[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500\r\n[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150\r\n[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 1.0400\r\n[INFO GRT-0088] Layer met5 Track-Pitch = 3.4000 line-2-Via Pitch: 3.1100\r\n[INFO GRT-0019] Found 18 clock nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 18\r\n[INFO GRT-0003] Macros: 0\r\n[INFO GRT-0004] Blockages: 2799\r\n\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nli1 Vertical 0 0 0.00%\r\nmet1 Horizontal 13500 7728 42.76%\r\nmet2 Vertical 10125 6744 33.39%\r\nmet3 Horizontal 6750 4560 32.44%\r\nmet4 Vertical 4050 2590 36.05%\r\nmet5 Horizontal 1350 648 52.00%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0197] Via related to pin nodes: 4711\r\n[INFO GRT-0198] Via related Steiner nodes: 141\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 5266\r\n[INFO GRT-0112] Final usage 3D: 19926\r\n\r\n[INFO GRT-0096] Final congestion report:\r\nLayer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n---------------------------------------------------------------------------------------\r\nli1 0 0 0.00% 0 / 0 / 0\r\nmet1 7728 2041 26.41% 0 / 0 / 0\r\nmet2 6744 2062 30.58% 0 / 0 / 0\r\nmet3 4560 12 0.26% 0 / 0 / 0\r\nmet4 2590 13 0.50% 0 / 0 / 0\r\nmet5 648 0 0.00% 0 / 0 / 0\r\n---------------------------------------------------------------------------------------\r\nTotal 22270 4128 18.54% 0 / 0 / 0\r\n\r\n[INFO GRT-0018] Total wirelength: 47327 um\r\n[INFO GRT-0014] Routed nets: 1047\r\n[INFO GRT-0006] Repairing antennas, iteration 1.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 1 antenna violations.\r\n[INFO GRT-0015] Inserted 1 diodes.\r\n[INFO GRT-0009] rerouting 685 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 18\r\n[ERROR GRT-0228] Horizontal edge usage exceeds the maximum allowed. (16, 17) usage=65535 limit=1280\r\n```\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Related to #2607 "}]} +{"num": 2637, "messages": [{"user": "### Describe the bug\n\nIf I open sky130hd/microwatt I see:\r\n\r\n![image](https://user-images.githubusercontent.com/761514/207980434-7b14b513-4ecc-4f49-9705-666564a8ec02.png)\r\n\r\nIt seems both clocks are being highlighted at once. \n\n### Expected Behavior\n\nI think we should only highlight for the clock in the active tab.\n\n### Environment\n\n```shell\nhead of master\n```\n\n\n### To Reproduce\n\nas above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty would you still want to be able to turn off the clock rendering all together? Ie. so you can view the tree without the rendering or do you think that would not be a useful control?"}, {"user": "I think that would be useful too"}, {"assistant": "@maliberty when I run the skyhd microwatt I get\r\n```\r\n[INFO][FLOW-xxxx] Using manual macro placement file ./designs/sky130hd/microwatt/microwatt.macro_placement.cfg\r\nError: macro_place.tcl, 125 Cannot find instance soc0.bram.bram0.ram_0.memory_0\r\n```\r\nNevermind, I had flatten off"}, {"user": "It passed in last night's CI. Something odd is happening in your setup."}, {"assistant": "> It passed in last night's CI. Something odd is happening in your setup.\n\nYes, I had turned off flattening its working again"}]} +{"num": 2638, "messages": [{"user": "### Describe the bug\n\nWith the update to hier-rtlmp & cts a problem has developed in ng45/bp_be_top where psm errors on a disconnected power grid. \r\n```\r\n[WARNING PSM-0038] Unconnected PDN node on net VDD at location (10.070um, 505.400um), layer: 1.\r\n[WARNING PSM-0039] Unconnected instance PHY_2260 at location (10.070um, 505.400um) layer: 1.\r\n[WARNING PSM-0039] Unconnected instance PHY_2250 at location (10.070um, 505.400um) layer: 1.\r\n...\r\n```\r\nIt appears that pdn is not putting in a repair strap in a channel on the left:\r\n\r\n![image](https://user-images.githubusercontent.com/761514/208022266-fd74b8a9-14f8-49c8-9a5f-c904842ad954.png)\r\n\r\nYou can see a repair strap in the lower portion of the channel but not in the upper portion. \r\n\r\nI have temporarily disabled psm on this design. When this is fixed it should be re-enabled.\n\n### Expected Behavior\n\nA fully connected power grid.\n\n### Environment\n\n```shell\nhead of master\n```\n\n\n### To Reproduce\n\nDESIGN_CONFIG=./designs/nangate45/bp_be_top/config.mk make\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty I ran the command, but my output looks mirrored from the picture you uploaded. And I haven't been able to see the issue yet on it."}, {"user": "Maybe something else changed - I'll rerun it and attach a test case if I can reproduce it."}, {"user": "Something has changed and the problem disappeared due to change in macro locations. That'll teach me to not record the commit id. I'll close this and reopen if the problem returns."}]} +{"num": 2642, "messages": [{"user": "### Describe the bug\n\nOpenROAD segfaults from place_pins command.\r\n\r\n`place_pins -random -hor_layers {met3} -ver_layers {met2 met4} `\r\n\r\n(with or without `-random` argument)\r\n\r\n\r\n\n\n### Expected Behavior\n\nIn my previous install version of OpenROAD (~Jan 2022), this error never occurred and pins were placed normally.\n\n### Environment\n\n```shell\ngit commit: unknown, this is not a git repository.\r\n(Please make sure that you have the latest code changes and add the commit hash in the description)\r\nkernel: Linux 3.10.0-1160.80.1.el7.x86_64\r\nos: Red Hat Enterprise Linux Server 7.9 (Maipo)\r\ncmake version 3.22.1\r\ngcc (GCC) 4.8.5 20150623 (Red Hat 4.8.5-44)\r\nclang version 15.0.6 (https://github.com/conda-forge/clangdev-feedstock b6cf7124da893bcb57ab1f38c768ea825fabbdb1)\r\n\r\n**NOTE: this OpenROAD install is located on a server where I don't have sudo permissions, so the git version may not have been located due to this\r\nRunning 'git log' returns:\r\ncommit 5144431cb9ad3a71208cf0a810a0674cfeced15b\r\nMerge: f86efab 23abb26\r\nAuthor: Peter <46405338+arlpetergadfort@users.noreply.github.com>\r\nDate: Fri Oct 28 10:56:28 2022 -0400\r\n\r\n Merge pull request #2430 from The-OpenROAD-Project-staging/pdn-clang\r\n \r\n pdn: clang format\n```\n\n\n### To Reproduce\n\n[ChipTop_Linux-3.10.0-1160.80.1.el7.x86_64-x86_64-with-redhat-7.9-Maipo_2022-12-16_10-26.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10248207/ChipTop_Linux-3.10.0-1160.80.1.el7.x86_64-x86_64-with-redhat-7.9-Maipo_2022-12-16_10-26.tar.gz)\r\n\r\n```\r\ntar -xvf ChipTop_Linux-3.10.0-1160.80.1.el7.x86_64-x86_64-with-redhat-7.9-Maipo_2022-12-16_10-26.tar.gz\r\ncd ChipTop_Linux-3.10.0-1160.80.1.el7.x86_64-x86_64-with-redhat-7.9-Maipo_2022-12-16_10-26\r\n./runme.sh\r\n```\n\n### Relevant log output\n\n```shell\nplace_pins -hor_layers {met3} -ver_layers {met2 met4} \r\nFound 3 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = int; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = int&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000000000C9D887 in openroad\r\n 1# 0x00007F5ABE03B400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# boost::stacktrace::detail::this_thread_frames::collect(void const**, unsigned long, unsigned long) in openroad\r\n 5# 0x0000000000D44B8D in openroad\r\n 6# ppl::IOPlacer::findSlots(std::set, std::allocator > const&, ppl::Edge) in openroad\r\n 7# ppl::IOPlacer::defineSlots() in openroad\r\n 8# ppl::IOPlacer::run(bool) in openroad\r\n 9# 0x0000000000DFCDFD in openroad\r\n10# 0x00007F5AC0D87EB2 in /lib64/libtcl8.5.so\r\n11# 0x00007F5AC0DCC390 in /lib64/libtcl8.5.so\r\n12# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n13# 0x00007F5AC0D87EB2 in /lib64/libtcl8.5.so\r\n14# 0x00007F5AC0DCC390 in /lib64/libtcl8.5.so\r\n15# 0x00007F5AC0DD46E7 in /lib64/libtcl8.5.so\r\n16# TclEvalObjEx in /lib64/libtcl8.5.so\r\n17# 0x00007F5AC0E0F31F in /lib64/libtcl8.5.so\r\n18# 0x00007F5AC0D87EB2 in /lib64/libtcl8.5.so\r\n19# 0x00007F5AC0DCC390 in /lib64/libtcl8.5.so\r\n20# 0x00007F5AC0DD46E7 in /lib64/libtcl8.5.so\r\n21# TclEvalObjEx in /lib64/libtcl8.5.so\r\n22# 0x00007F5AC0D8F1D0 in /lib64/libtcl8.5.so\r\n23# 0x00007F5AC0D87EB2 in /lib64/libtcl8.5.so\r\n24# 0x00007F5AC0DCC390 in /lib64/libtcl8.5.so\r\n25# 0x00007F5AC0DD46E7 in /lib64/libtcl8.5.so\r\n26# TclEvalObjEx in /lib64/libtcl8.5.so\r\n27# 0x00007F5AC0D92F00 in /lib64/libtcl8.5.so\r\n28# 0x00007F5AC0D87EB2 in /lib64/libtcl8.5.so\r\n29# 0x00007F5AC0DCC390 in /lib64/libtcl8.5.so\r\n30# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n31# 0x00007F5AC0D87EB2 in /lib64/libtcl8.5.so\r\n32# 0x00007F5AC0DCC390 in /lib64/libtcl8.5.so\r\n33# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n34# 0x00007F5AC0D87EB2 in /lib64/libtcl8.5.so\r\n35# 0x00007F5AC0D88F1E in /lib64/libtcl8.5.so\r\n36# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n37# Tcl_Eval in /lib64/libtcl8.5.so\r\n38# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n39# ord::tclAppInit(Tcl_Interp*) in openroad\r\n40# Tcl_Main in /lib64/libtcl8.5.so\r\n41# main in openroad\r\n42# __libc_start_main in /lib64/libc.so.6\r\n43# 0x0000000000C98BC7 in openroad\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@eder-matheus there appears to be an assumption that there will be an equal number of layers for H & V pins in IOPlacer::findSlots. This case breaks that assumption."}, {"assistant": "@nayiri-k in your earlier run did you use the same layer specification? It doesn't seem like a new issue."}, {"assistant": "@nayiri-k Could you try this branch: https://github.com/eder-matheus/OpenROAD/tree/ppl_fix_slots?"}]} +{"num": 2643, "messages": [{"user": "### Describe the bug\r\n\r\nI have downloaded MacroPlacement from TILOS to convert lef, def into netlist protocol buffer formats. \r\n[https://github.com/TILOS-AI-Institute/MacroPlacement](url)\r\n\r\nThe sample clustering code can be found in the directory, `MacroPlacement/CodeElements/Clustering/test/test_clustering.py`.\r\nI linked the required `openroad` executable from `test_clustering.py` to `MacroPlacement/CodeElements/FormatTranslators/src/utils/openroad`\r\n\r\nHowever, due to the compatibility issue, I got a following issue. \r\n`./openroad: symbol lookup error: ./openroad: undefined symbol: _ZdlPvm, version Qt_5`\r\n\r\nI, therefore, compiled `openroad` binary from the current openroad github.\r\nHowever, replacing the previous `openroad` binary to `OpenROAD/build/src/openroad` occurs a following error.\r\n\r\n```\r\npython3 test_clustering.py \r\nOpenROAD v2.0-6114-gcf23c5680 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: ./lefs/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: ./lefs/NangateOpenCellLibrary.tech.lef\r\n[INFO ODB-0222] Reading LEF file: ./lefs/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0225] Created 135 library cells\r\n[INFO ODB-0226] Finished LEF file: ./lefs/NangateOpenCellLibrary.macro.mod.lef\r\n[INFO ODB-0222] Reading LEF file: ./lefs/fakeram45_256x16.lef\r\n[INFO ODB-0225] Created 1 library cells\r\n[INFO ODB-0226] Finished LEF file: ./lefs/fakeram45_256x16.lef\r\n[WARNING ORD-1002] Liberty cell LOGIC0_X1 pin Z missing from LEF macro.\r\n[WARNING ORD-1002] Liberty cell LOGIC1_X1 pin Z missing from LEF macro.\r\n[INFO ODB-0127] Reading DEF file: ./design/ariane.def\r\n[INFO ODB-0128] Design: ariane\r\n[INFO ODB-0097] Created 100000 Nets\r\n[INFO ODB-0130] Created 495 pins.\r\n[INFO ODB-0131] Created 89806 components and 587982 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 179612 connections.\r\n[INFO ODB-0133] Created 115333 nets and 408370 connections.\r\n[INFO ODB-0134] Finished DEF file: ./design/ariane.def\r\nError: extract_hypergraph.tcl, 54 invalid command name \"partition_design\"\r\n```\r\nThe followings are the line 54 of `extract_hypergraph.tcl`.\r\n```\r\npartition_design -max_num_inst 2000000 -min_num_inst 40000 \\ \r\n -max_num_macro 12 -min_num_macro 4 \\ \r\n -net_threshold 20 -virtual_weight 50 \\ \r\n -num_hop 0 -timing_weight 1000 \\ \r\n -report_file ${top_design}.hgr \r\n\r\n```\r\nI searched for the commands of `openroad` by `./openroad; help`, but I was not able to find the command \"partition_design\".\r\n\r\n```\r\nOpenROAD v2.0-6114-gcf23c5680 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n% help\r\nadd_global_connection -net\r\nadd_pad [-name name] [-type type] [-cell library_cell] [-signal signal_name]\r\n [-edge edge] [-location location] [-bump rowcol]\r\n [-padcell_to_rdl list_of_vias] [-rdl_to_bump list_of_vias] [-bondpad bondpad]\r\n [-inst_name inst_name]\r\nadd_pdn_connect [-grid grid_name] -layers list_of_2_layers\r\n [-cut_pitch pitch_value] [-fixed_vias list_of_vias]\r\n [-dont_use_vias list_of_vias] [-max_rows rows] [-max_columns columns]\r\n [-ongrid ongrid_layers] [-split_cuts split_cuts_mapping]\r\nadd_pdn_ring [-grid grid_name] -layers list_of_2_layer_names -widths\r\nadd_pdn_stripe [-grid grid_name] -layer layer_name [-width width_value]\r\n [-followpins] [-extend_to_core_ring] [-pitch pitch_value]\r\n [-spacing spacing_value] [-offset offset_value]\r\n [-starts_width (POWER|GROUND)] [-extend_to_boundary] [-snap_to_grid]\r\n [-number_of_straps count] [-nets list_of_nets]\r\nadd_to_physical_cluster\r\n [-modinst path | -inst inst_name | -physical_cluster cluster_name]\r\n cluster_name\r\nadd_worker_address [-host host] [-port port]\r\nadjust_rc [-res_factor res] [-cc_factor cc] [-gndc_factor gndc]\r\nall_clocks\r\nall_inputs\r\nall_outputs\r\nall_registers [-clock clocks] [-rise_clock clocks] [-fall_clock clocks] [-cells]\r\n [-data_pins] [-clock_pins] [-async_pins] [-output_pins] [-level_sensitive]\r\n [-edge_triggered]\r\nanalyze_power_grid [-vsrc vsrc_file ] [-outfile out_file] [-enable_em]\r\n [-em_outfile em_out_file] [-net net_name] [-dx bump_pitch_x]\r\n [-dy bump_pitch_y] [-node_density val_node_density]\r\n [-node_density_factor val_node_density_factor]\r\nassign_ground_net -domain domain_name -net snet_name\r\nassign_ndr -ndr name\r\nassign_power_net -domain domain_name -net snet_name\r\nbench_read_spef filename\r\nbench_verilog filename\r\nbench_wires [-met_cnt mcnt] [-cnt count] [-len wire_len] [-over] [-diag] [-all]\r\n [-db_only] [-under_met layer] [-w_list width] [-s_list space]\r\n [-over_dist dist] [-under_dist dist]\r\nbuffer_ports [-inputs] [-outputs] [-max_utilization util]\r\ncheck_antennas [-verbose] [-report_file report_file] [-net net]\r\ncheck_drc [-box box] [-output_file filename]\r\ncheck_placement [-verbose]\r\ncheck_power_grid [-net power_net]\r\ncheck_setup [-verbose] [-no_input_delay] [-no_output_delay] [-multiple_clock]\r\n [-no_clock] [-unconstrained_endpoints] [-loops] [-generated_clocks]\r\n [> filename] [>> filename]\r\nclear_global_connect\r\nclock_tree_synthesis [-wire_unit unit] [-buf_list buflist] [-root_buf buf]\r\n [-clk_nets nets] [-tree_buf buf] [-distance_between_buffers]\r\n [-branching_point_buffers_distance] [-clustering_exponent]\r\n [-clustering_unbalance_ratio] [-sink_clustering_size]\r\n [-sink_clustering_max_diameter] [-sink_clustering_enable] [-balance_levels]\r\n [-sink_clustering_levels levels] [-num_static_layers]\r\n [-sink_clustering_buffer]\r\ncluster_netlist [-tool name] [-coarsening_ratio value]\r\n [-coarsening_vertices value] [-level values]\r\nconfigure_cts_characterization [-max_cap cap] [-max_slew slew]\r\n [-slew_steps slew_steps] [-cap_steps cap_steps]\r\nconnect_pin net pin\r\nconnect_pins net pins\r\ncreate_child_physical_clusters [-top_module | -modinst path]\r\ncreate_clock [-name name] [-period period] [-waveform waveform] [-add]\r\n [-comment comment] [pins]\r\ncreate_generated_clock [-name clock_name] -source master_pin\r\n [-master_clock clock] [-divide_by divisor | -multiply_by multiplier]\r\n [-duty_cycle duty_cycle] [-invert] [-edges edge_list]\r\n [-edge_shift edge_shift_list] [-combinational] [-add] [-comment comment]\r\n port_pin_list\r\ncreate_logic_port [-direction direction] port_name\r\ncreate_ndr -name name [-spacing val] [-width val] [-via val]\r\ncreate_physical_cluster cluster_name\r\ncreate_power_domain [-elements elements] name\r\ncreate_power_switch [-domain domain] [-output_supply_port output_supply_port]\r\n [-input_supply_port input_supply_port] [-control_port control_port]\r\n [-on_state on_state] name\r\ncreate_voltage_area [-name name] [-coordinate coordinates]\r\n [-guard_band_x guard_x] [-guard_band_y guard_y] cells\r\ncreate_voltage_domain domain_name -area\r\ncurrent_design [design]\r\ncurrent_instance [instance]\r\ncut_rows [-endcap_master endcap_master] [-halo_width_x halo_x]\r\n [-halo_width_y halo_y]\r\ndefine_corners corner1 [corner2]\r\ndefine_pad_cell [-name name] [-type cell_type|-fill|-corner|-bondpad|-bump]\r\n [-cell_name cell_names_per_side] [-orient orientation_per_side]\r\n [-pad_pin_name pad_pin_name] [-break_signals signal_list]\r\n [-offset cell_offset] [-physical_only]\r\ndefine_pdn_grid [-name ] [-macro] [-existing]\r\n [-grid_over_pg_pins|-grid_over_boundary]\r\n [-voltage_domains ]\r\n [-orient ] [-instances ]\r\n [-cells ] [-default] [-halo ]\r\n [-pins ] [-starts_with (POWER|GROUND)]\r\n [-obstructions ] [-power_switch_cell ]\r\n [-power_control ] [-power_control_network (STAR|DAISY)]\r\ndefine_pin_shape_pattern [-layer layer] [-x_step x_step] [-y_step y_step]\r\n [-region region] [-size size] [-pin_keepout dist]\r\ndefine_power_switch_cell -name\r\ndefine_process_corner [-ext_model_index index] filename\r\ndelete_clock [-all] clocks\r\ndelete_from_list list objs\r\ndelete_generated_clock [-all] clocks\r\ndelete_instance inst\r\ndelete_net net\r\ndelete_physical_cluster cluster_name\r\ndelete_voltage_domain domain_name\r\ndensity_fill [-rules rules_file] [-area {lx ly ux uy}]\r\ndetailed_placement [-max_displacement disp|{disp_x disp_y}]\r\ndetailed_route [-output_maze filename] [-output_drc filename]\r\n [-output_cmap filename] [-output_guide_coverage filename]\r\n [-db_process_node name] [-disable_via_gen] [-droute_end_iter iter]\r\n [-via_in_pin_bottom_layer layer] [-via_in_pin_top_layer layer]\r\n [-or_seed seed] [-or_k_ k] [-bottom_routing_layer layer]\r\n [-top_routing_layer layer] [-verbose level] [-param filename] [-distributed]\r\n [-remote_host rhost] [-remote_port rport] [-shared_volume vol]\r\n [-cloud_size sz] [-clean_patches] [-no_pin_access] [-min_access_points count]\r\n [-save_guide_updates]\r\ndetailed_route_debug [-pa] [-ta] [-dr] [-maze] [-net name] [-pin name]\r\n [-worker x y] [-iter iter] [-pa_markers] [-dump_dr] [-dump_dir dir]\r\n [-pa_edge] [-pa_commit]\r\ndetailed_route_run_worker [-dump_dir dir] [-drc_rpt drc]\r\ndetailed_route_worker_debug [-maze_end_iter iter] [-drc_cost d_cost]\r\n [-marker_cost m_cost] [-ripup_mode mode] [-follow_guide f_guide]\r\ndiff_spef [-file filename] [-r_res] [-r_cap] [-r_cc_cap] [-r_conn]\r\ndisconnect_pin net -all|pin\r\ndisconnect_pins net -all|pins\r\ndraw_route_guides net_names [-show_pin_locations]\r\nelapsed_run_time\r\nestimate_parasitics -placement|-global_routing\r\nevaluate_partitioning [-partition_ids ids] [-evaluation_function function]\r\nextract_parasitics [-ext_model_file filename] [-corner_cnt count]\r\n [-max_res ohms] [-coupling_threshold fF] [-signal_table value]\r\n [-debug_net_id id] [-lef_res] [-cc_model track] [-context_depth depth]\r\n [-no_merge_via_res]\r\nfiller_placement [-prefix prefix] filler_masters\r\nfind_timing_paths [-from from_list|-rise_from from_list|-fall_from from_list]\r\n [-through through_list|-rise_through through_list|-fall_through through_list]\r\n [-to to_list|-rise_to to_list|-fall_to to_list]\r\n [-path_delay min|min_rise|min_fall|max|max_rise|max_fall|min_max]\r\n [-unconstrained] [-corner corner] [-group_count path_count]\r\n [-endpoint_count path_count] [-unique_paths_to_endpoint]\r\n [-slack_max slack_max] [-slack_min slack_min] [-sort_by_slack]\r\n [-path_group group_name]\r\nget_cells [-hierarchical] [-hsc separator] [-filter expr] [-regexp] [-nocase]\r\n [-quiet] [-of_objects objects] [patterns]\r\nget_clocks [-regexp] [-nocase] [-quiet] patterns\r\nget_fanin -to sink_list [-flat] [-only_cells] [-startpoints_only]\r\n [-levels level_count] [-pin_levels pin_count]\r\n [-trace_arcs timing|enabled|all]\r\nget_fanout -from source_list [-flat] [-only_cells] [-endpoints_only]\r\n [-levels level_count] [-pin_levels pin_count]\r\n [-trace_arcs timing|enabled|all]\r\nget_full_name objects\r\nget_lib_cells [-hsc separator] [-regexp] [-nocase] [-quiet]\r\n [-of_objects objects] [patterns]\r\nget_lib_pins [-hsc separator] [-regexp] [-nocase] [-quiet] patterns\r\nget_libs [-regexp] [-nocase] [-quiet] patterns\r\nget_name objects\r\nget_nets [-hierarchical] [-hsc separator] [-regexp] [-nocase] [-quiet]\r\n [-of_objects objects] [patterns]\r\nget_pins [-hierarchical] [-hsc separator] [-quiet] [-filter expr] [-regexp]\r\n [-nocase] [-of_objects objects] patterns\r\nget_ports [-quiet] [-filter expr] [-regexp] [-nocase] [-of_objects objects]\r\n [patterns]\r\nget_property [-object_type cell|pin|net|port|clock|timing_arc] object property\r\nget_timing_edges [-from from_pin] [-to to_pin] [-of_objects objects]\r\n [-filter expr]\r\nglobal_connect\r\nglobal_placement [-skip_initial_place] [-skip_nesterov_place] [-timing_driven]\r\n [-routability_driven] [-disable_timing_driven] [-disable_routability_driven]\r\n [-incremental] [-force_cpu] [-skip_io] [-bin_grid_count grid_count]\r\n [-density target_density] [-init_density_penalty init_density_penalty]\r\n [-init_wirelength_coef init_wirelength_coef] [-min_phi_coef min_phi_coef]\r\n [-max_phi_coef max_phi_coef] [-reference_hpwl reference_hpwl]\r\n [-overflow overflow] [-initial_place_max_iter initial_place_max_iter]\r\n [-initial_place_max_fanout initial_place_max_fanout]\r\n [-routability_check_overflow routability_check_overflow]\r\n [-routability_max_density routability_max_density]\r\n [-routability_max_bloat_iter routability_max_bloat_iter]\r\n [-routability_max_inflation_iter routability_max_inflation_iter]\r\n [-routability_target_rc_metric routability_target_rc_metric]\r\n [-routability_inflation_ratio_coef routability_inflation_ratio_coef]\r\n [-routability_max_inflation_ratio routability_max_inflation_ratio]\r\n [-routability_rc_coefficients routability_rc_coefficients]\r\n [-timing_driven_net_reweight_overflow timing_driven_net_reweight_overflow]\r\n [-timing_driven_net_weight_max timing_driven_net_weight_max]\r\n [-timing_driven_nets_percentage timing_driven_nets_percentage]\r\n [-pad_left pad_left] [-pad_right pad_right]\r\nglobal_route [-guide_file out_file] [-congestion_iterations iterations]\r\n [-congestion_report_file file_name] [-grid_origin origin]\r\n [-overflow_iterations iterations] [-critical_nets_percentage percent]\r\n [-allow_congestion] [-allow_overflow] [-verbose]\r\nglobal_route_debug [-st]\r\ngroup_path -name group_name [-weight weight] [-critical_range range] [-default]\r\n [-comment comment] [-from from_list] [-rise_from from_list]\r\n [-fall_from from_list] [-through through_list] [-rise_through through_list]\r\n [-fall_through through_list] [-to to_list] [-rise_to to_list]\r\n [-fall_to to_list]\r\nhelp [pattern]\r\nhighlight_path [-min|-max] pin\r\nimprove_placement [-random_seed seed] [-max_displacement disp|{disp_x disp_y}]\r\ninitialize_floorplan [-utilization util] [-aspect_ratio ratio]\r\n [-core_space space | {bottom top left right}] [-die_area {lx ly ux uy}]\r\n [-core_area {lx ly ux uy}] [-site site_name]\r\ninitialize_padring [-signal_assignment_file signal_assigment_file]\r\ninsert_buffer buffer_name buffer_cell net load_pins buffer_out_net_name\r\ninsert_tiecells tie_pin [-prefix prefix]\r\nlink_design [top_cell_name]\r\nlog_begin filename\r\nlog_end\r\nmacro_placement [-halo {vertical_width horizontal_width}]\r\n [-channel {vertical_width horizontal_width}] [-fence_region {lx ly ux uy}]\r\n [-snap_layer snap_layer_number] [-style corner_max_wl|corner_min_wl]\r\nmacro_placement_debug [-partitions]\r\nmake_instance inst_path lib_cell\r\nmake_net\r\nmake_tracks [layer] [-x_pitch x_pitch] [-y_pitch y_pitch] [-x_offset x_offset]\r\n [-y_offset y_offset]\r\noptimize_mirroring\r\npartition_netlist [-tool name] [-num_partitions value] [-graph_model name]\r\n [-clique_threshold value] [-weight_model name] [-max_edge_weight value]\r\n [-max_vertex_weight value] [-num_starts value] [-balance_constraint value]\r\n [-coarsening_ratio value] [-coarsening_vertices value]\r\n [-enable_term_prop value] [-cut_hop_ratio value] [-architecture value]\r\n [-refinement value] [-random_seed value] [-seeds value] [-partition_id value]\r\n [-force_graph value]\r\npdngen [-skip_trim] [-dont_add_pins] [-reset] [-ripup] [-report_only]\r\n [-failed_via_report file]\r\npin_access [-db_process_node name] [-bottom_routing_layer layer]\r\n [-top_routing_layer layer] [-min_access_points count] [-verbose level]\r\nplace_cell -inst_name inst_name [-cell library_cell] -origin xy_origin -orient\r\nplace_pin [-pin_name pin_name] [-layer layer] [-location location]\r\n [-pin_size pin_size] [-force_to_die_boundary]\r\nplace_pins [-hor_layers h_layers] [-ver_layers v_layers] [-random_seed seed]\r\n [-random] [-corner_avoidance distance] [-min_distance min_dist]\r\n [-min_distance_in_tracks] [-exclude region] [-group_pins pin_list]\r\nread_db filename\r\nread_def [-floorplan_initialize|-incremental] [-continue_on_errors] filename\r\nread_guides file_name\r\nread_lef [-tech] [-library] filename\r\nread_liberty [-corner corner] [-min] [-max] [-no_latch_infer] filename\r\nread_parasitics [-min] [-max] [-elmore] [-path path] [-increment]\r\n [-pin_cap_included] [-keep_capacitive_coupling]\r\n [-coupling_reduction_factor factor] [-reduce_to pi_elmore|pi_pole_residue2]\r\n [-delete_after_reduce] [-quiet] [-save] filename\r\nread_partitioning -read_file name [-instance_map_file file_path]\r\nread_power_activities [-scope scope] -vcd filename\r\nread_sdc [-echo] filename\r\nread_sdf [-path path] [-corner corner] [-cond_use min|max|min_max]\r\n [-unescaped_dividers] filename\r\nread_spef [-corner corner] [-min] [-max] [-path path] [-pin_cap_included]\r\n [-keep_capacitive_coupling] [-coupling_reduction_factor factor]\r\n [-reduce_to pi_elmore|pi_pole_residue2] [-delete_after_reduce] [-quiet]\r\n [-save] filename\r\nread_upf [-file file]\r\nread_verilog filename\r\nremove_buffers\r\nremove_fillers\r\nremove_from_physical_cluster\r\n [-parent_module module_name -modinst modinst_name | -inst inst_name | -physical_cluster cluster_name]\r\n cluster_name\r\nrepair_antennas [diode_cell/diode_port] [-iterations iterations]\r\n [-ratio_margin ratio_margin]\r\nrepair_clock_inverters\r\nrepair_clock_nets [-max_wire_length max_wire_length]\r\nrepair_design [-max_wire_length max_wire_length] [-max_utilization util]\r\n [-slew_margin slack_margin] [-cap_margin cap_margin]\r\nrepair_pdn_vias [-net net_name] -all\r\nrepair_tie_fanout lib_port [-separation dist] [-verbose]\r\nrepair_timing [-setup] [-hold] [-setup_margin setup_margin]\r\n [-hold_margin hold_margin] [-max_buffer_percent buffer_percent]\r\n [-allow_setup_violations] [-max_utilization util]\r\nreplace_cell instance lib_cell\r\nreport_annotated_check [-setup] [-hold] [-recovery] [-removal] [-nochange]\r\n [-width] [-period] [-max_skew] [-max_line lines] [-list_annotated]\r\n [-list_not_annotated] [-constant_arcs]\r\nreport_annotated_delay [-cell] [-net] [-from_in_ports] [-to_out_ports]\r\n [-max_line lines] [-list_annotated] [-list_not_annotated] [-constant_arcs]\r\nreport_arrival pin\r\nreport_cell [-connections] [-verbose] instance_path [> filename] [>> filename]\r\nreport_check_types [-violators] [-verbose] [-corner corner]\r\n [-format slack_only|end] [-max_delay] [-min_delay] [-recovery] [-removal]\r\n [-clock_gating_setup] [-clock_gating_hold] [-max_slew] [-min_slew]\r\n [-max_fanout] [-min_fanout] [-max_capacitance] [-min_capacitance]\r\n [-min_pulse_width] [-min_period] [-max_skew] [-net net] [-digits digits]\r\n [-no_line_splits] [> filename] [>> filename]\r\nreport_checks [-from from_list|-rise_from from_list|-fall_from from_list]\r\n [-through through_list|-rise_through through_list|-fall_through through_list]\r\n [-to to_list|-rise_to to_list|-fall_to to_list] [-unconstrained]\r\n [-path_delay min|min_rise|min_fall|max|max_rise|max_fall|min_max]\r\n [-corner corner] [-group_count path_count] [-endpoint_count path_count]\r\n [-unique_paths_to_endpoint] [-slack_max slack_max] [-slack_min slack_min]\r\n [-sort_by_slack] [-path_group group_name]\r\n [-format full|full_clock|full_clock_expanded|short|end|summary]\r\nreport_clock_min_period [-clocks clocks] [-include_port_paths]\r\nreport_clock_properties [clocks]\r\nreport_clock_skew [-setup|-hold] [-clock clocks] [-corner corner]]\r\n [-digits digits]\r\nreport_clock_skew_metric [-setup] | [-hold]\r\nreport_constant pin|instance|net\r\nreport_cts [-out_file file]\r\nreport_dcalc [-from from_pin] [-to to_pin] [-corner corner] [-min] [-max]\r\n [-digits digits]\r\nreport_design_area\r\nreport_design_area_metrics\r\nreport_disabled_edges\r\nreport_edges [-from from_pin] [-to to_pin]\r\nreport_erc_metrics\r\nreport_floating_nets [-verbose]\r\nreport_global_connect\r\nreport_instance [-connections] [-verbose] instance_path [> filename]\r\n [>> filename]\r\nreport_lib_cell cell_name [> filename] [>> filename]\r\nreport_long_wires count\r\nreport_net [-connections] [-verbose] [-corner corner] [-digits digits]\r\n [-hier_pins] net_path [> filename] [>> filename]\r\nreport_netlist_partitions [-partitioning_id id]\r\nreport_object_full_names objects\r\nreport_object_names objects\r\nreport_parasitic_annotation -report_unannotated\r\nreport_partition_graph [-graph_model name\r\n -clique_threshold value]\r\nreport_path [-min|-max]\r\n [-format full|full_clock|full_clock_expanded|short|end|summary]\r\nreport_physical_clusters\r\nreport_pin [-corner corner] [-digits digits] pin [> filename] [>> filename]\r\nreport_power [-instances instances] [-corner corner] [-digits digits]\r\n [> filename] [>> filename]\r\nreport_power_metric [-corner corner_name]\r\nreport_pulse_width_checks [-verbose] [-corner corner] [-digits digits]\r\n [-no_line_splits] [pins] [> filename] [>> filename]\r\nreport_required pin\r\nreport_slack pin\r\nreport_slews [-corner corner] pin\r\nreport_tns [-digits digits]\r\nreport_tns_metric [-setup] | [-hold]\r\nreport_units\r\nreport_units_metric\r\nreport_voltage_domains\r\nreport_wire_length [-net net_list] [-file file] [-global_route]\r\n [-detailed_route] [-verbose]\r\nreport_wns [-digits digits]\r\nreport_worst_slack [-min] [-max] [-digits digits]\r\nreport_worst_slack_metric [-setup] | [-hold]\r\nrestructure [-slack_threshold slack] [-depth_threshold depth]\r\n [-target area|timing] [-liberty_file liberty_file] [-tielo_port tielow_port]\r\n [-tiehi_port tiehigh_port] [-work_dir workdir_name]\r\nrtl_macro_placer -max_num_macro max_num_macro -min_num_macro min_num_macro\r\n -max_num_inst max_num_inst -min_num_inst min_num_inst -tolerance tolerance\r\n -max_num_level max_num_level -coarsening_ratio coarsening_ratio\r\n -num_bundled_ios num_bundled_ios -large_net_threshold large_net_threshold\r\n -signature_net_threshold signature_net_threshold -halo_width halo_width\r\n -fence_lx fence_lx -fence_ly fence_ly -fence_ux fence_ux -fence_uy fence_uy\r\n -area_weight area_weight -outline_weight outline_weight -wirelength_weight\r\n wirelength_weight -guidance_weight guidance_weight -fence_weight fence_weight\r\n -boundary_weight boundary_weight -notch_weight notch_weight -pin_access_th\r\n pin_access_th -target_util target_util -target_dead_space target_dead_space\r\n -min_ar min_ar -snap_layer snap_layer -report_directory report_directory\r\nrun_load_balancer [-host host] [-port port] [-workers_domain workers_domain]\r\nrun_worker [-host host] [-port port] [-i]\r\nset_assigned_check -setup|-hold|-recovery|-removal [-rise] [-fall]\r\n [-corner corner] [-min] [-max] [-from from_pins] [-to to_pins]\r\n [-clock rise|fall] [-cond sdf_cond] check_value\r\nset_assigned_delay -cell|-net [-rise] [-fall] [-corner corner] [-min] [-max]\r\n [-from from_pins] [-to to_pins] delay\r\nset_assigned_transition [-rise] [-fall] [-corner corner] [-min] [-max] slew pins\r\nset_bump -row row -col col [(-power|-ground|-net) net_name] [-remove]\r\nset_bump_options [-pitch pitch] [-bump_pin_name pin_name]\r\n [-spacing_to_edge spacing] [-offset {x_offset y_offset}]\r\n [-array_size {rows columns}] [-cell_name bump_cell_table]\r\n [-num_pads_per_tile value] [-rdl_layer name] [-rdl_width value]\r\n [-rdl_spacing value] [-rdl_route_style (45|90|under)]\r\n [-padcell_to_rdl list_of_vias] [-rdl_to_bump list_of_vias]\r\n [-rdl_cover_file_name rdl_file_name]\r\nset_case_analysis 0|1|zero|one|rise|rising|fall|falling pins\r\nset_clock_gating_check [-setup setup_time] [-hold hold_time] [-rise] [-fall]\r\n [-low] [-high] [objects]\r\nset_clock_groups [-name name] [-logically_exclusive] [-physically_exclusive]\r\n [-asynchronous] [-allow_paths] [-comment comment] -group clocks\r\nset_clock_latency [-source] [-clock clock] [-rise] [-fall] [-min] [-max]\r\n [-early] [-late] delay objects\r\nset_clock_sense [-positive] [-negative] [-pulse pulse_type] [-stop_propagation]\r\n [-clock clocks] pins\r\nset_clock_transition [-rise] [-fall] [-min] [-max] transition clocks\r\nset_clock_uncertainty [-from|-rise_from|-fall_from from_clock]\r\n [-to|-rise_to|-fall_to to_clock] [-rise] [-fall] [-setup] [-hold] uncertainty\r\n [objects]\r\nset_cmd_units [-capacitance cap_unit] [-resistance res_unit] [-time time_unit]\r\n [-voltage voltage_unit] [-current current_unit] [-power power_unit]\r\n [-distance distance_unit]\r\nset_data_check [-from from_pin] [-rise_from from_pin] [-fall_from from_pin]\r\n [-to to_pin] [-rise_to to_pin] [-fall_to to_pin] [-setup | -hold]\r\n [-clock clock] margin\r\nset_debug_level tool group level\r\nset_disable_inferred_clock_gating objects\r\nset_disable_timing [-from from_port] [-to to_port] objects\r\nset_dont_touch nets_instances\r\nset_dont_use lib_cells\r\nset_drive [-rise] [-fall] [-min] [-max] resistance ports\r\nset_driving_cell [-lib_cell cell] [-library library] [-rise] [-fall] [-min]\r\n [-max] [-pin pin] [-from_pin from_pin] [-input_transition_rise trans_rise]\r\n [-input_transition_fall trans_fall] [-multiply_by factor] [-dont_scale]\r\n [-no_design_rule] ports\r\nset_false_path [-setup] [-hold] [-rise] [-fall] [-reset_path] [-comment comment]\r\n [-from from_list] [-rise_from from_list] [-fall_from from_list]\r\n [-through through_list] [-rise_through through_list]\r\n [-fall_through through_list] [-to to_list] [-rise_to to_list]\r\n [-fall_to to_list]\r\nset_fanout_load fanout ports\r\nset_global_routing_layer_adjustment layer adj\r\nset_global_routing_random [-seed seed]\r\n [-capacities_perturbation_percentage percent] [-perturbation_amount value]\r\nset_global_routing_region_adjustment region [-layer layer]\r\n [-adjustment adjustment]\r\nset_hierarchy_separator seperator\r\nset_ideal_latency [-rise] [-fall] [-min] [-max] delay objects\r\nset_ideal_net nets\r\nset_ideal_network [-no_propagation] objects\r\nset_ideal_transition [-rise] [-fall] [-min] [-max] transition_time objects\r\nset_input_delay [-rise] [-fall] [-max] [-min] [-clock clock] [-clock_fall]\r\n [-reference_pin ref_pin] [-source_latency_included]\r\n [-network_latency_included] [-add_delay] delay port_pin_list\r\nset_input_transition [-rise] [-fall] [-min] [-max] transition ports\r\nset_io_pin_constraint [-direction direction] [-pin_names names] [-region region]\r\nset_isolation [-domain domain] [-applies_to applies_to]\r\n [-clamp_value clamp_value] [-isolation_signal isolation_signal]\r\n [-isolation_sense isolation_sense] [-location location] [-update] name\r\nset_layer_rc [-layer layer] [-via via_layer] [-capacitance cap]\r\n [-resistance res] [-corner corner]\r\nset_level_shifter_strategy [-rule rule_type]\r\nset_level_shifter_threshold [-voltage volt]\r\nset_load [-rise] [-fall] [-max] [-min] [-subtract_pin_load] [-pin_load]\r\n [-wire_load] capacitance objects\r\nset_logic_dc port_list\r\nset_logic_one port_list\r\nset_logic_zero port_list\r\nset_macro_extension extension\r\nset_max_area area\r\nset_max_capacitance cap objects\r\nset_max_delay [-rise] [-fall] [-ignore_clock_latency] [-reset_path]\r\n [-comment comment] [-from from_list] [-rise_from from_list]\r\n [-fall_from from_list] [-through through_list] [-rise_through through_list]\r\n [-fall_through through_list] [-to to_list] [-rise_to to_list]\r\n [-fall_to to_list] delay\r\nset_max_dynamic_power power [unit]\r\nset_max_fanout fanout objects\r\nset_max_leakage_power power [unit]\r\nset_max_time_borrow limit objects\r\nset_max_transition [-clock_path] [-data_path] [-rise] [-fall] slew objects\r\nset_min_capacitance cap objects\r\nset_min_delay [-rise] [-fall] [-ignore_clock_latency] [-reset_path]\r\n [-comment comment] [-from from_list] [-rise_from from_list]\r\n [-fall_from from_list] [-through through_list] [-rise_through through_list]\r\n [-fall_through through_list] [-to to_list] [-rise_to to_list]\r\n [-fall_to to_list] delay\r\nset_min_pulse_width [-low] [-high] value [objects]\r\nset_multicycle_path [-setup] [-hold] [-rise] [-fall] [-start] [-end]\r\n [-reset_path] [-comment comment] [-from from_list] [-rise_from from_list]\r\n [-fall_from from_list] [-through through_list] [-rise_through through_list]\r\n [-fall_through through_list] [-to to_list] [-rise_to to_list]\r\n [-fall_to to_list] path_multiplier\r\nset_operating_conditions [-analysis_type single|bc_wc|on_chip_variation]\r\n [-library lib] [condition] [-min min_condition] [-max max_condition]\r\n [-min_library min_lib] [-max_library max_lib]\r\nset_output_delay [-rise] [-fall] [-max] [-min] [-clock clock] [-clock_fall]\r\n [-reference_pin ref_pin] [-source_latency_included]\r\n [-network_latency_included] [-add_delay] delay port_pin_list\r\nset_padring_options [-type (flipchip|wirebond)] [-power power_nets]\r\n [-ground ground_nets] [-core_area core_area] [-die_area die_area]\r\n [-offsets offsets] [-pad_inst_pattern pad_inst_pattern]\r\n [-pad_pin_pattern pad_pin_pattern] [-pad_pin_layer pin_layer_name]\r\n [-pin_layer layer_name] [-connect_by_abutment signal_list]\r\n [-allow_filler_overlap]\r\nset_pdnsim_net_voltage [-net net_name] [-voltage volt]\r\nset_pin_length [-hor_length h_length] [-ver_length v_length]\r\nset_pin_length_extension [-hor_extension h_ext] [-ver_extension v_ext]\r\nset_pin_offset offset\r\nset_pin_thick_multiplier [-hor_multiplier h_mult] [-ver_multiplier v_mult]\r\nset_placement_padding -global|-masters masters|-instances insts\r\n [-right site_count] [-left site_count] [instances]\r\nset_pocv_sigma_factor factor\r\nset_port_fanout_number [-max] [-min] fanout ports\r\nset_power_activity [-global] [-input] [-input_ports ports] [-pins pins]\r\n [-activity activity] [-duty duty]\r\nset_propagated_clock objects\r\nset_pvt insts [-min] [-max] [-process process] [-voltage voltage]\r\n [-temperature temperature]\r\nset_resistance [-min] [-max] resistance nets\r\nset_routing_alpha alpha [-net net_name] [-min_fanout fanout] [-min_hpwl hpwl]\r\nset_routing_layers [-signal layers] [-clock layers]\r\nset_sense [-type clock|data] [-positive] [-negative] [-pulse pulse_type]\r\n [-stop_propagation] [-clocks clocks] pins\r\nset_timing_derate -early|-late [-rise] [-fall] [-clock] [-data] [-net_delay]\r\n [-cell_delay] [-cell_check] derate [objects]\r\nset_units [-time time_unit] [-capacitance cap_unit] [-resistance res_unit]\r\n [-voltage voltage_unit] [-current current_unit] [-power power_unit]\r\n [-distance distance_unit]\r\nset_voltage_domain -name domain_name -power power_net_name -ground\r\n ground_net_name [-region region_name]\r\n [-secondary_power secondary_power_net_name]\r\n [-switched_power switched_power_net_name]\r\nset_wire_load_min_block_size block_size\r\nset_wire_load_mode top|enclosed|segmented\r\nset_wire_load_model -name model_name [-library lib_name] [-min] [-max] [objects]\r\nset_wire_load_selection_group [-library lib] [-min] [-max] group_name [objects]\r\nset_wire_rc [-clock] [-signal] [-layer layer_name] [-resistance res]\r\n [-capacitance cap] [-corner corner]\r\nshow_copying\r\nshow_splash\r\nshow_warranty\r\nsource [-echo] [-verbose] filename [> filename] [>> filename]\r\nsuppress_message tool id\r\ntapcell [-tapcell_master tapcell_master] [-tap_prefix tap_prefix]\r\n [-endcap_master endcap_master] [-endcap_cpp endcap_cpp]\r\n [-endcap_prefix endcap_prefix] [-distance dist] [-halo_width_x halo_x]\r\n [-halo_width_y halo_y] [-tap_nwin2_master tap_nwin2_master]\r\n [-tap_nwin3_master tap_nwin3_master] [-tap_nwout2_master tap_nwout2_master]\r\n [-tap_nwout3_master tap_nwout3_master]\r\n [-tap_nwintie_master tap_nwintie_master]\r\n [-tap_nwouttie_master tap_nwouttie_master]\r\n [-cnrcap_nwin_master cnrcap_nwin_master]\r\n [-cnrcap_nwout_master cnrcap_nwout_master]\r\n [-incnrcap_nwin_master incnrcap_nwin_master]\r\n [-incnrcap_nwout_master incnrcap_nwout_master] [-tbtie_cpp tbtie_cpp]\r\n [-no_cell_at_top_bottom]\r\ntapcell_ripup [-tap_prefix tap_prefix] [-endcap_prefix endcap_prefix]\r\nunset_case_analysis pins\r\nunset_clock_groups [-logically_exclusive] [-physically_exclusive]\r\n [-asynchronous] [-name names] [-all]\r\nunset_clock_latency [-source] [-clock clock] objects\r\nunset_clock_transition clocks\r\nunset_clock_uncertainty [-from|-rise_from|-fall_from from_clock]\r\n [-to|-rise_to|-fall_to to_clock] [-rise] [-fall] [-setup] [-hold] [objects]\r\nunset_data_check [-from from_pin] [-rise_from from_pin] [-fall_from from_pin]\r\n [-to to_pin] [-rise_to to_pin] [-fall_to to_pin] [-setup | -hold]\r\n [-clock clock]\r\nunset_disable_inferred_clock_gating objects\r\nunset_disable_timing [-from from_port] [-to to_port] objects\r\nunset_dont_touch nets_instances\r\nunset_dont_use lib_cells\r\nunset_generated_clock [-all] clocks\r\nunset_input_delay [-rise] [-fall] [-max] [-min] [-clock clock] [-clock_fall]\r\n port_pin_list\r\nunset_output_delay [-rise] [-fall] [-max] [-min] [-clock clock] [-clock_fall]\r\n port_pin_list\r\nunset_path_exceptions [-setup] [-hold] [-rise] [-fall] [-from from_list]\r\n [-rise_from from_list] [-fall_from from_list] [-through through_list]\r\n [-rise_through through_list] [-fall_through through_list] [-to to_list]\r\n [-rise_to to_list] [-fall_to to_list]\r\nunset_propagated_clock objects\r\nunset_timing_derate\r\nuser_run_time\r\nwith_output_to_variable var\r\nwrite_abstract_lef [-bloat_factor amount|-bloat_occupied_layers] filename\r\nwrite_cdl [-include_fillers] -masters masters_filenames out_filename\r\nwrite_clustering_to_db [-clustering_id id] [-dump_to_file name]\r\nwrite_db filename\r\nwrite_def [-version version] filename\r\nwrite_guides filename\r\nwrite_lef filename\r\nwrite_partition_verilog [-partitioning_id id] [-port_prefix prefix]\r\n [-module_suffix suffix] [file]\r\nwrite_partitioning_to_db [-partitioning_id id] [-dump_to_file name]\r\nwrite_path_spice -path_args path_args -spice_directory spice_directory\r\n -lib_subckt_file lib_subckts_file -model_file model_file -power power -ground\r\n ground\r\nwrite_pg_spice [-vsrc vsrc_file ] [-outfile out_file] [-net net_name]\r\n [-dx bump_pitch_x] [-dy bump_pitch_y]\r\nwrite_rules [-file filename] [-dir dir] [-name name] [-pattern pattern]\r\n [-read_from_solver] [-db]\r\nwrite_sdc [-map_hpins] [-digits digits] [-gzip] [-no_timestamp] filename\r\nwrite_sdf [-corner corner] [-divider /|.] [-include_typ] [-digits digits]\r\n [-gzip] [-no_timestamp] [-no_version] filename\r\nwrite_spef [-net_id net_id] [-nets nets] filename\r\nwrite_timing_model [-corner corner] [-library_name lib_name]\r\n [-cell_name cell_name] filename\r\nwrite_verilog [-sort] [-include_pwr_gnd] [-remove_cells cells] filename\r\n```\r\n\r\n\r\nI compiled `openroad` with its gui mode off. \r\nIs there any solution for the problem?\r\n\r\n### Expected Behavior\r\n\r\nThe command, `partition_design` works without committing any error.\r\nSuccessful conversion of Lef, def format into netlist protocol buffer format.\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: cf23c5680233290495b817a84611c5b222f4af4f\r\nkernel: Linux 5.13.0-41-generic\r\nos: Ubuntu 20.04.5 LTS (Focal Fossa)\r\ncmake version 3.25.1\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\ncd OpenROAD\r\n./etc/Build.sh -cmake=\"-DCMAKE_INSTALL_PREFIX=\" -no-gui\r\n\r\ncd bulid/src\r\n./openroad\r\npartition_design\r\ninvalid command name \"partition_design\"\r\n```\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "partition_design is a depricated command in OpenROAD. Which script was using the command?"}, {"assistant": "Will investigate further with the MacroPlacement repo scripts and get back"}, {"user": "I appreciate your effort. Originally, I want to run [https://github.com/google-research/circuit_training](url) with my custom designs.\r\nI faced the error on the preprocess stage, the conversion of LEF/DEF and Bookshelf to the Netlist Protocol Buffer used as the input for circuit training.\r\nThe description for the conversion is below:\r\n [https://github.com/TILOS-AI-Institute/MacroPlacement/tree/main/CodeElements/FormatTranslators](url) \r\nAnd the test script running the above is represented as follow (which is almost equivalent to the aforementioned script from the issue `test_clustering.py`):\r\n[https://github.com/TILOS-AI-Institute/MacroPlacement/blob/main/CodeElements/FormatTranslators/test/LefDef2ProtocolBufferFormat/test2.py](url)\r\n"}]} +{"num": 2649, "messages": [{"user": "### Description\n\nAdd a histogram of endpoint slack to the GUI\n\n### Suggested Solution\n\nhttps://doc.qt.io/qt-5/qtcharts-barchart-example.html looks like a good starting point\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty Do you have an example of the format of such a chart?"}, {"assistant": "I found this example online.\r\n\r\n![image](https://user-images.githubusercontent.com/3784748/208764244-9dce0153-b98d-41d6-bb8a-35ece5376cb1.png)\r\n"}, {"user": "That's what I'm thinking of. Having a generic histogram capability would be useful for many things (fanout, congestion, etc)."}, {"assistant": "Hey All. First time contributor here!\r\nWould love to work on this issue. :)"}, {"assistant": "That's great!\r\n\r\nThe gui code is located here. https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/gui\r\n\r\n"}, {"user": "Feel free to ask questions. @gadfort and I can help"}, {"assistant": "Hey. I've been able to get a general layout done. \r\n![image](https://user-images.githubusercontent.com/43717431/210316737-d8fdf3fd-120e-41e8-bcc0-298f5417ae4e.png)\r\n\r\nLooking at the TimingWidget code I am not able to figure out where to get the data (number of paths and the slack). \r\nI assume that it's basic preprocessing before plotting, or do I need something like a `TimingModel`?"}, {"assistant": "You will likely need to use: https://github.com/The-OpenROAD-Project/OpenROAD/blob/bb4801b7457d04f2ddf27c202803dfbe5c8f324d/src/gui/src/staGuiInterface.cpp#L724\r\nAt the moment it's configured to return more than one path per endpoint. This is something relatively easy (I assume) to modify and I'm happy to do that if you want.\r\nAnother thing to consider adding are controls for setup and hold slacks and corners (although those would be easy to get in once the widget is functional.\r\n\r\nIn the `TimingPath` class (which is what the `getTimingPaths` returns a list of) you should just be able to call `getSlack` for the slack on that path.\r\nI hope this helps."}, {"assistant": "> At the moment it's configured to return more than one path per endpoint. \r\n\r\nThanks for adding this.\r\n\r\n> Another thing to consider adding are controls for setup and hold slacks and corners (although those would be easy to get in once the widget is functional.\r\n\r\nSure. This is my first time with Qt, so learning as I go along. :)\r\n\r\n\r\n\r\n\r\n"}, {"user": "Just checking in - are you able to make progress? Do you need any further help?"}, {"assistant": "I am not quite able to wrap my head around the general flow.\r\nOne specific question I have is Is `getTimingPaths` supposed to run after `populatePaths`/ updating?\r\n\r\nI am not sure if I am getting the data in the right way to pass into the bar chart set. \r\n "}, {"user": "@gadfort would you help with this question."}, {"assistant": "@Sov-trotter you should be using the `STAGuiInterface` class for your widget. \r\nAll you need to do it call `getTimingPaths()` and that should give you all the paths. You question about `populatePaths` makes we think you are attempting to integrate into the timing widget (@maliberty I'm not sure this was the intent, but please clarify).\r\n\r\nAlso if you have a fork of OpenROAD you can always point us to it so we can better help with particular issues."}, {"assistant": "No I am not trying to integrate it into the timing widget. \r\nWorking on a fork might be a good idea. I'll create one in sometime and let you know. "}, {"assistant": "Hey. I am not quite able to figure out what `pin` should be the input parameter to `getTimingPaths()`. I looked around the code but couldn't find what is the appropriate `from`, `thru` or `to` value to get all the timing paths. Any pointers would be helpful. Thanks"}, {"assistant": "I don't think you need to supply any pins, you can call the function without any of those parameters and you will get all timing paths."}, {"user": "I'm getting a bit confused. The slack histogram should show slack at timing end points (ie registers, macros, etc). Why do we need timing paths?"}, {"assistant": "@maliberty we don't technically need the timing paths (it's just the helper function is there and can be used without generating new helper functions). What we need is a single timing path for each endpoint (which the function can generate) and then we just need to mark the slack on the path (which the `TimingPath` has collected)."}, {"user": "Sta::vertexSlack is faster than getting a path."}, {"user": "What does \"mark the slack on the path\" mean?"}, {"assistant": "The slack is set in the TimingPath object. Yes, you are right about the verterxSlack being faster, I figured that is something we could add to the STA GUI interface in the future, and modify this to use it. Ultimately it's the same information."}, {"user": "I'm ok with two steps to get there. I think it will matter on large designs but it can wait for a follow on."}, {"user": "@Sov-trotter how is it going with this? Do you have any code you can share?"}, {"assistant": "Sorry for the late reply. I haven't been able to find time to work on this lately. I can't seem to fully wrap my head around the Qt API either. \n"}, {"user": "If you put your work in a public area perhaps someone can help move it forward. If there are specific questions about Qt I can try to answer."}, {"assistant": "Hmm in understand. But I won't be able to do much in the limited time I have these days. Thanks for letting me try :)"}, {"assistant": "@Sov-trotter I think it would be helpful for someone else to build on your code rather than starting over. Would it be possible to push what you have for those purposes? "}, {"assistant": "Hi @Sov-trotter , I will be happy to continue work on it.\r\nCan you please share your progress so far?"}, {"assistant": "Yes sure. \nTill now I was pretty much at the Qt charts docs step. "}, {"assistant": "Hi Everyone! I've been working on this issue for more than a month, I'm a new contributor and it's helping me a lot to get to know openroad's structure. I apologize not keeping up with this thread. I have been in contact with @maliberty since I started. So, @niv280 would you mind letting me keep working on this? By the way, my general layouy looks like this:\r\n![image](https://user-images.githubusercontent.com/104802710/234626239-58a519be-630d-40f6-a6a7-705c82812907.png)\r\n"}, {"assistant": "Hi,\r\nSure, I didn't started to work on this yet.\r\nThe layout looks good, looking forward to see the full feature."}, {"user": "I don't think we should use getTimingPaths to get end point slacks. I think we should add these apis:\r\n```\r\nStaPins STAGuiInterface::getEndPoints() const\r\n{\r\n StaPins pins;\r\n for (auto end : *sta_->endpoints()) {\r\n pins.insert(end->pin());\r\n }\r\n return pins;\r\n}\r\n\r\nfloat STAGuiInterface::getPinSlack(const sta::Pin* pin) const\r\n{\r\n return sta_->pinSlack(pin,\r\n use_max_ ? sta::MinMax::max() : sta::MinMax::min());\r\n}\r\n```"}, {"assistant": "@maliberty that makes it clearer. Thank you for the apis' code. I'll test it."}]} +{"num": 2653, "messages": [{"user": "### Describe the bug\n\nSee the discussion in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2650\r\n\r\nPDN errors out if a macro pin contains a via\n\n### Expected Behavior\n\nPDN completes\n\n### Environment\n\n```shell\nLatest OR\n```\n\n\n### To Reproduce\n\nNo public test case is available but one can easily be generated\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "To reproduce, use this pull request:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/709"}]} +{"num": 2666, "messages": [{"user": "### Describe the bug\n\nWhen building a design with ASAP7, ```filler_placement $::env(FILL_CELLS)``` terminates the script with exit code zero. \r\n\r\nSince there's no file generated by this step in OpenROAD-flow-script the flow terminates as make doesn't see the dependency generated.\n\n### Expected Behavior\n\nEither an error or success.\n\n### Environment\n\n```shell\nThe example includes proprietary code. I'll work on debugging this to see if I can create a reproducible case.\n```\n\n\n### To Reproduce\n\nThe example includes proprietary code. I'll work on debugging this to see if I can create a reproducible case.\n\n### Relevant log output\n\n```shell\nHere we can see that the step completed with exit code 0, but that no 4_2_cts_fillcell.odb was created.\r\n\r\nElapsed time: 1:44.97[h:]min:sec. CPU time: user 76.62 sys 24.61 (96%). Peak memory: 31761364KB.\r\nReaping losing child 0x907cc0 PID 64 \r\nmake: *** [results/asap7/foobar/base/4_2_cts_fillcell.odb] Error 9\r\nRemoving child 0x907cc0 PID 64 from chain.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "4_2_cts_fillcell.odb is generated by fillcell.tcl so I'm not sure what you are referring to."}, {"user": "> 4_2_cts_fillcell.odb is generated by fillcell.tcl so I'm not sure what you are referring to.\r\n\r\nI added puts calls before and after ```filler_placement $::env(FILL_CELLS)```. The puts before was printed, the one after was not, but the exit code of openroad was 0.\r\n\r\nThat is, ``` write_db $::env(RESULTS_DIR)/4_2_cts_fillcell.odb``` was ever reached, even if there was no error message.\r\n\r\n```\r\nputs \"fillcell 2\"\r\n\r\nfiller_placement $::env(FILL_CELLS)\r\nputs \"fillcell 3\"\r\ncheck_placement\r\nputs \"fillcell 4\"\r\n\r\nif {![info exists save_checkpoint] || $save_checkpoint} {\r\nputs \"fillcell 5\"\r\n write_db $::env(RESULTS_DIR)/4_2_cts_fillcell.odb\r\n}\r\nputs \"fillcell 6\"\r\n```\r\n\r\n\r\n"}, {"user": "Trying to step through the code a bit to see what I see... Taking a while... I didn't see anything in the log to indicate that it made it to line 71 below.\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209452232-09e8fad9-e5b6-4419-af63-71c4b9c18623.png)\r\n"}, {"assistant": "Does it throw any exceptions? In gdb you can do \"catch throw\" to stop when one is thrown."}, {"user": "> \r\n\r\nNo exceptions, it just terminates with exit code 0:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209460921-2089cacb-5e3e-4498-85c4-0e8a239ee2d4.png)\r\n\r\n\r\n```\r\nrow=0\r\nrow=1000\r\nrow=2000\r\nrow=3000\r\n$\r\n```\r\n"}, {"user": "Nice.... I've seen it terminate without error after 3265 rows and 3268 rows... So it would seem that the process gets \"poisoned\" and then dies with exit code 0 some time after...\r\n\r\nHmm.... Since this is not a simple case of running in the debugger until I hit the repeating conditions on which it crashes, like a segfault, and I don't know the code-base, I'm unsure how I might debug it.\r\n\r\nI'm not familiar with a situation where the operating system kills a process, but leaves the exit code 0. For instance, if it was running out of memory, there would have been a non-zero exit code.\r\n\r\nCurious."}, {"user": "Memory usage at:\r\n\r\n- 1000 rows, 30gbyte ram\r\n- 2000 rows, 39gbyte ram.\r\n\r\nso... 10gbyte/1000 rows. I seem to recall 8151 rows. That's 80gbyte RAM or so... I think I'll try to reconfigure my system with virtual memory...\r\n\r\n\r\n```\r\n$ cat /proc/meminfo\r\nMemTotal: 65699520 kB\r\nMemFree: 48513920 kB\r\nMemAvailable: 54923640 kB\r\n[deleted]\r\n```\r\n"}, {"user": "Cleaned up machine and set up 256gbyte of swap, I now see virtual memory usage of this process peaks at about 140gbyte. CPU usage is 8%, so I expect this stage to now run at least 10-20x slower than with enough physical memory."}, {"user": "This stage in the flow completed after ca. 90 minutes by increasing available memory.\r\n\r\nSo, it would appear that Linux can terminate a process without an exit code if it runs out of memory. This is a little bit surprising, but not a complete shocker...\r\n\r\nLooking at memory usage of 5_1_fastroute.log, it takes a long time to start(at least 12 hours so far), but I already see it using 256gbyte of virtual memory usage, so I'm going to go out on a limb and to say that even if the CTS stage could reduce memory usage drastically, it would improve performance substantially, but not reduce the maximum amount of memory necessary to run the flow.\r\n"}, {"user": "@maliberty Close this issue?"}, {"assistant": "@oharboe can you specify roughly how many instances are in the design?"}, {"assistant": "What are the core dimension? \r\n\r\nIts your issue so you are free to close it anytime you wish."}, {"user": "> What are the core dimension?\r\n> \r\n> Its your issue so you are free to close it anytime you wish.\r\n\r\n@maliberty @rovinski \r\n\r\nI didn't want to close it if you had some more questions for me w.r.t. investigations or tests to try.\r\n\r\nI'll close it now, feel free to ask followup questions if you have them.\r\n\r\nThis was with ASAP7.\r\n\r\nI was interested in knowing how OpenROAD scaled, how much physical memory is needed for a design and if it would crash. My conclusion is that virtual memory doesn't really help, because it slows down the execution by a factor of 10-20x.\r\n\r\nIt looks like 2TB of RAM, on the order of 10x more RAM than in all the C64s ever sold :-), would cost ca. $15000. That's not too bad if one knows that the OpenROAD tools don't fall over at those scales.\r\n\r\nThis is a top-level design, with macros having almost all the logic. I was curious if OpenROAD would save a lot of memory by having mainly macros at the top level, then I scaled up until OpenROAD showed signs of scaling problems. \r\n\r\nI only have 64gbyte of RAM on the machine I tested with and I ran out. The \"time\" command shows maximum physical memory, not maximum virtual memory, so it's not possible to when I ran out, but TritonRoute used up all my virtual memory(256gbyte) when I looked in \"top\" at some point.\r\n\r\nTo find out how OpenROAD scales, I'll need to run a substantially smaller design that maxes out at 64 gbyte and then my prior assumption, until I know more, is that memory usage scales linearly with area or instances.\r\n\r\nFrom: 3_5_opendp.log:\r\n\r\nDesign area 987194 u^2 20% utilization.\r\n\r\nFrom 3_4_resizer.log:\r\n\r\n```\r\ninstance_count\r\n--------------------------------------------------------------------------\r\n350908\r\n```\r\n\r\n"}, {"assistant": "There is some old work to improve the memory usage around fill cells that could help (never quite finished as the developer left). Is the peak during detailed routing?"}, {"user": "@maliberty \r\n> There is some old work to improve the memory usage around fill cells that could help (never quite finished as the developer left). Is the peak during detailed routing?\r\n\r\nI saw ca. 2x the memory usage of fill cell during 5_1_fastroute.log.\r\n\r\nDoes this work old work reduce memory usage as of fill cell, or only during fillcell?\r\n\r\nIf it only reduces it *during* fillcell, then it isn't going to remove the peak memory usage of the flow.\r\n"}, {"assistant": "The work was on detailed route. I don't know why fastroute would be the peak. Can you make a generic reproducer of your issue?"}, {"user": "> The work was on detailed route. I don't know why fastroute would be the peak. Can you make a generic reproducer of your issue?\r\n\r\nI will try. I have some ideas on how to create ca. 1mm^2 ASAP7 designs that can be open sourced."}, {"assistant": "We have a large non public design which is\r\n```\r\nexport DIE_AREA = 0 0 1400 1400 \r\n```\r\nIn the stats you generate I see:\r\n```\r\n3_3_place_gp 2552\r\n5_1_fastroute 2204\r\n5_2_TritonRoute 147\r\n6_report 2266\r\n```\r\n\r\nSo I can see something like what you report."}, {"assistant": "However your timings are not correct as I see 5_2 took: elapsed time = 02:10:41 which should be 7841s\r\n"}, {"user": "> However your timings are not correct as I see 5_2 took: elapsed time = 02:10:41 which should be 7841s\r\n\r\nAh. That's what you get from having chatgpt write your code for you :-) Needs a tweak so that it counts hours too."}, {"assistant": "It would be nice to add \"Peak memory\" to your table too"}, {"user": "> It would be nice to add \"Peak memory\" to your table too\r\n\r\nYes... however, we only get peak physical memory from \"time\", not peak virtual memory."}, {"user": "This is mainly a problem that occurs because there are too many ASAP7 filler cells: #732"}]} +{"num": 2667, "messages": [{"user": "### Description\n\nHello,\r\n\r\nI was wondering whether OpenROAD currently provides a way how to specify CTS exceptions/constraints\r\n(I did not find any). By CTS constraints, I reffer to ability of specifying:\r\n- Leaf / Exclude / Ignore pins.\r\n- Skew groups\r\n- Float pins - Ability to achieve usefull skew.\r\n\r\nIf not, I am wondering whether there is an intent to add support for such features.\r\n\r\nI hope this is adequate place to open this question (That I should not put it into discussion).\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "In short, no support exists. Plans exist only the general sense that is something we should do. How would you prioritize your list?"}, {"user": "Hi, @maliberty , thanks for such a quick response.\r\n\r\nFirst let me say that I am no PnR expert here, I do front-end work, Synthesis, Constraining and Sign-off, but\r\nPnR is something that I would eventually like to learn and handle. That being said, what I say below might\r\nbe completely inacurate.\r\n\r\nAs for the priorities, I think following makes sense (first item is most important)\r\n1. Exclude pins - Allows completely excluding output pins to which clock propagates. That is important for chip-top level stuff, where it is common to bring-out internal clocks for debug / observability / functional testability at waver level, etc...\r\n2. Float pins - Allows manually adding skew on some part of the design. Basically, if you add hand-written clock gate on RTL, e.g. to gate clock for whole \"Block A\", then setting pin of this clock gate as float pin, accounts for adding/removing skew on all flops within the block (which is effectively a skew group).\r\n3. Rest of the stuff - Skew groups (with specified target usefull skew), Maybe \"dont_touch\" on sub-tree.\r\n\r\nBtw. wish you a nice almost post-Christmas time :)"}]} +{"num": 2670, "messages": [{"user": "### Describe the bug\n\nSIGSEGV during load gui_cts\r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000CCCFA7 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F82109B9400 in /lib64/libc.so.6\r\n 2# 0x00007F821230C399 in /lib64/libQt5Gui.so.5\r\n 3# 0x00007F821230C72E in /lib64/libQt5Gui.so.5\r\n 4# 0x00007F82123067F5 in /lib64/libQt5Gui.so.5\r\n 5# gui::BrowserWidget::makeRowItems(QStandardItem*, std::string const&, gui::BrowserWidget::ModuleStats const&, QStandardItem*, bool) const in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::BrowserWidget::addInstanceItem(odb::dbInst*, QStandardItem*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::BrowserWidget::addInstanceItems(std::vector > const&, std::string const&, QStandardItem*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# gui::BrowserWidget::updateModel() in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# 0x00000000013BC7EC in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# QMetaObject::activate(QObject*, int, int, void**) in /lib64/libQt5Core.so.5\r\n11# gui::MainWindow::designLoaded(odb::dbBlock*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# ord::OpenRoad::readDb(char const*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n13# 0x0000000000CDCD96 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n14# 0x00007F82153F8EB2 in /lib64/libtcl8.5.so\r\n15# 0x00007F821543D36C in /lib64/libtcl8.5.so\r\n```\n\n### Expected Behavior\n\nShouldn't crash.\n\n### Environment\n\n```shell\nGit commit: f6413621cbb4c9db0f4481e32623a7afca6df52f\r\nkernel: Linux 5.15.0-56-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\ngcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0\r\nUbuntu clang version 14.0.0-1ubuntu1\n```\n\n\n### To Reproduce\n\nUses proprietary data, it is a \"big\" ASAP7 design, I'm trying to figure out how well OpenROAD scales(scaled up a design to be ca. 1mm^2 ASAP7), so I'll try to run it in the debugger to get more info.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Was able to reproduce in the debugger, if I continued I step into the signal handler for the segfault, but it's not obvious what's going on and not a lot of local variables to look at:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209644316-82ee9504-29e4-4663-b7af-e4834a78cc41.png)\r\n"}, {"assistant": "I'm wondering if you are hitting an out of memory condition at this point. There is nothing obviously wrong here."}, {"user": "> I'm wondering if you are hitting an out of memory condition at this point. There is nothing obviously wrong here.\r\n\r\nI dont think so, but I will do some more testing. I am hoping to create generic examples that I can contribute."}, {"assistant": "Try building openroad with ASAN. It might reveal the problem more evidently "}, {"user": "> Try building openroad with ASAN. It might reveal the problem more evidently\r\n\r\nTrying, don't know how to tell if I set up cmake correctly though.\r\n\r\nMeanwhile.... 67*10^6 instances according to the below.\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209705312-41315a11-a648-4ae5-9bdc-5ead457c807e.png)\r\n"}, {"user": "Ah... looks like I could be running out of memory.... I only have 256gbyte of virtual memory.\r\n\r\nUs \"top\" trying to tell me that openocd has reserved 20 terrabytes of memory???\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209705763-842a782a-3a81-4825-9e2d-bbc308c5c7d0.png)\r\n\r\nI suppose the OpenROAD GUI can't call addInstanceItem() 67*10^6 times with that sort of memory usage.\r\n\r\nSome printing and observing top.\r\n\r\nCa. 3gbyte per million instances. 3 * 67 = 210gbyte. That's just barely doable on my machine.\r\n"}, {"user": "Got some more more info 53.5gbyte RAM. Virtual (according to \"top\") is 20t. Whatever that means...\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209709166-e86d6d6d-42d4-4b5e-8ef7-bd915ae5570e.png)\r\n\r\n\r\n"}, {"user": "Some more info further up the stack:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209709465-7c66cfa7-c455-4b9d-9764-7b1d8d4f4b34.png)\r\n"}, {"user": "Ah.\r\n\r\nThe second crash was with \"i=44833871\" too. This is indicative of something poisonous about this instance and not out of memory.\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/209711855-1ffd8b89-cdb6-4abc-a3ef-2b4caa2ebd8c.png)\r\n"}, {"user": "Hmmm... don't know how to debug from here."}, {"assistant": "That allocation size of 0xffff... is very suspicious. It's indicative of signed 64 bit -1 being cast as an unsigned 64bit int."}, {"assistant": "You also shouldn't use int i in these loops. Rather size_t to avoid unintended wrap around"}, {"user": "> You also shouldn't use int i in these loops. Rather size_t to avoid unintended wrap around\r\n\r\nGood point. It was bust a quick local hack to diagnose, not in a pull request."}, {"assistant": "Ah I was just wondering if that int might have been overflowed in your test case. Probably not, but worth ruling out."}, {"user": "> Ah I was just wondering if that int might have been overflowed in your test case. Probably not, but worth ruling out.\r\n\r\nI'm thinking the next step here is to create a test-case that can be contributed to open source that reproduces the problem. I need some help to debug this and it's too slow to do interactively in a call\r\n\r\nThis is also a crash that is significantly beyond where the OpenROAD GUI scales nicely, so scaling OpenROAD GUI is probably a better start than tracking down this particular bug?"}, {"assistant": "I suspect this is mostly revealing asap7 to have only a single small filler cell in use. There are only two sizes in the LEF which means it will take tons of cells to fill the design (one isn't used for some reason, probably a mistake). Compare to sky130 which has 1,2,4,8 sized fillers. Most proprietary PDKs go up to 64x or 128x sized cells."}, {"assistant": "You could never really P&R 67M instances without waiting weeks. "}, {"assistant": "You're just not thinking creatively enough @maliberty. "}, {"assistant": "@oharboe I would love some super sized test cases that we could use to improve performance. I have a whole team at Google who would probably be interested in getting their name on some kind of leader board."}, {"assistant": "@QuantamHD you are thinking too creatively. I am trying to solve the problem at hand. I would guess that 99.9% of the instances are fill cells due to the lack of realism of asap7 in this regard. It isn't a real test of capacity - which I would welcome."}, {"assistant": "Would you report how many instances exist in initialize_floorplan vs how many fill cells are reported in4_2_cts_fillcell.log"}, {"assistant": "@maliberty agreed. Do you think it would be worth implementing an optimization that merges adjacent fill cells into pseudo larger fill cells?"}, {"assistant": "@QuantamHD I think someone should just fix asap7 as that won't be a generally useful feature. I'll see if @louiic can take care of it."}, {"user": "> @QuantamHD I think someone should just fix asap7 as that won't be a generally useful feature. I'll see if @louiic can take care of it.\r\n\r\nThanks!"}, {"user": "Some more information, without opening the GUI, I found the following in 6_report.log, meaning that the GUI is launched during the bash flow:\r\n\r\n```\r\n==========================================================================\r\nfinish report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 100314 u^2 10% utilization.\r\n\r\n[deleted]\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000CCCFA7 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F1E53D17400 in /lib64/libc.so.6\r\n 2# 0x00007F1E5566A399 in /lib64/libQt5Gui.so.5\r\n 3# 0x00007F1E5566A72E in /lib64/libQt5Gui.so.5\r\n 4# 0x00007F1E556647F5 in /lib64/libQt5Gui.so.5\r\n 5# gui::BrowserWidget::makeRowItems(QStandardItem*, std::string const&, gui::BrowserWidget::ModuleStats const&, QStandardItem*, bool) const in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::BrowserWidget::addInstanceItem(odb::dbInst*, QStandardItem*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::BrowserWidget::addInstanceItems(std::vector > const&, std::string const&, QStandardItem*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n```\r\n\r\nThis probably comes from this step in save_images.tcl.\r\n\r\n```\r\n# Save a final image if openroad is compiled with the gui\r\nif {[expr [llength [info procs save_image]] > 0]} {\r\n gui::show \"source $::env(SCRIPTS_DIR)/save_images.tcl\" false\r\n}\r\n```\r\n\r\n\r\nThe good news is that it should be possible to write an automatic test to reproduce the problem.\r\n\r\nIn my case, detailed routing failed with 100 violations after 64 iterations. I think 64 iterations is max.\r\n\r\nHmm... it is a bit surprising that the flow completed, even if detailed routing had 100 violations."}, {"assistant": "Yes we save images of the layout from the GUI even if it isn't displayed.\r\n\r\n64 iterations is the max. "}, {"user": "This is mainly a problem with ASAP7 generating too many filler cells: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/732\r\n\r\nA separate feature request might be filed to make OpenROAD GUI scale better with millions of instances, but I'm not sure that's really the only problem that exists at that scale and until we have somewhat realistic designs with tens of millions of instances, we won't be able to get a good handle on the real issues with designs at that scale."}, {"assistant": "I think you meant to cross-link https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/732"}, {"user": "> I think you meant to cross-link [The-OpenROAD-Project/OpenROAD-flow-scripts#732](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/732)\r\n\r\nFixed. Thanks!"}, {"assistant": "Would you be able to provide some guidance to share how you setup the debugger? I am trying to debug a segfault I have introduced when playing around with the tool but am having trouble figuring out the best way to debug. Any guidance would be much appreciated @oharboe @maliberty :) "}, {"assistant": "I keep this alias:\r\n```\r\ndebug is aliased to `cmake -DCMAKE_BUILD_TYPE=Debug -DCMAKE_CXX_FLAGS_DEBUG=\"-g -O0\" tools/OpenROAD -B tools/OpenROAD/debug -D CMAKE_INSTALL_PREFIX=$(pwd)/tools/install/OpenROAD -D ALLOW_WARNINGS=0'\r\n```"}, {"assistant": "Thanks for the reply @maliberty! \r\n\r\nApologies, I might have not provided enough context! I have built openroad locally and have a tcl script I want to pass in debug mode.\r\n\r\nI tried to use lldb like so:\r\n```\r\nlldb openroad run.tcl\r\n```\r\nbut this does not work as it says the process fails to execute \r\n\r\nCould you explain a bit further how I can use what you provided for this debugging purpose? I'm still learning about the tool, so thanks in advance!"}, {"user": "@ShvetankPrakash Here is something new you can try as of yesterday, if you check out the latest ORFS(OpenROAD-flow-scripts).\r\n\r\nI haven't tried it, but it should work :-)\r\n\r\n1. Run `make bash`. This will put you into a shell where all the environment variables are set up\r\n2. Now you should be able to run \"openroad run.tcl\" and it should work\r\n3. Next: start your favorite IDE with debugger from this bash shell and you have all the environment variables set up and you can setup a debug session with \"opernroad run.tcl\"\r\n"}, {"assistant": "Thank @oharboe for the reply! I think step 3 is what I am having the trouble with (hooking up my VSCode IDE debugger to `openroad run.tcl`) I see you were able to debug in VSCode it appears- can you share how you set this up? Would be much appreciated!"}, {"user": "I use a different approach, I launch the flow or the GUI as usual and attach to it from Visual Studio Code. That way I don't have to set up any environment variables. On the downside, I have to be quick and sometimes lucky to be able to attach fast enough.\r\n\r\nDepending on your OS, you have some setup to do with lldb and permissions to attach to a running process...\r\n\r\nThis `launch.json` goes into `tools/OpenROAD/.vscode/`:\r\n\r\n```\r\n{\r\n // Use IntelliSense to learn about possible attributes.\r\n // Hover to view descriptions of existing attributes.\r\n // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387\r\n \"version\": \"0.2.0\",\r\n \"configurations\": [\r\n {\r\n \"type\": \"lldb\",\r\n \"request\": \"attach\",\r\n \"name\": \"Attach\",\r\n \"program\": \"${workspaceFolder}/../tools/OpenROAD/bin/openroad\"\r\n }\r\n ]\r\n}\r\n```\r\n"}, {"assistant": "```\r\n% lldb openroad zerosoc_pads.tcl \r\n(lldb) target create \"openroad\"\r\nCurrent executable set to 'openroad' (x86_64).\r\n(lldb) settings set -- target.run-args \"zerosoc_pads.tcl\"\r\n(lldb) r\r\nProcess 254520 launched: '/workspaces/mliberty/w8/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad' (x86_64)\r\n```\r\n\r\nIt works fine for me. I'm not sure what is different in your setup."}, {"assistant": "> I use a different approach, I launch the flow or the GUI as usual and attach to it from Visual Studio Code. That way I don't have to set up any environment variables. On the downside, I have to be quick and sometimes lucky to be able to attach fast enough.\r\n> \r\n> Depending on your OS, you have some setup to do with lldb and permissions to attach to a running process...\r\n> \r\n> This `launch.json` goes into `tools/OpenROAD/.vscode/`:\r\n> \r\n> ```\r\n> {\r\n> // Use IntelliSense to learn about possible attributes.\r\n> // Hover to view descriptions of existing attributes.\r\n> // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387\r\n> \"version\": \"0.2.0\",\r\n> \"configurations\": [\r\n> {\r\n> \"type\": \"lldb\",\r\n> \"request\": \"attach\",\r\n> \"name\": \"Attach\",\r\n> \"program\": \"${workspaceFolder}/../tools/OpenROAD/bin/openroad\"\r\n> }\r\n> ]\r\n> }\r\n> ```\r\n\r\nDid you have to update your GLIBC version to run with the LLDB vs code extension @oharboe ?\r\nYou just run `openroad run.tcl` and then try to start the debugger in time before the crash if I am understanding you process correctly?"}, {"assistant": "> ```\r\n> % lldb openroad zerosoc_pads.tcl \r\n> (lldb) target create \"openroad\"\r\n> Current executable set to 'openroad' (x86_64).\r\n> (lldb) settings set -- target.run-args \"zerosoc_pads.tcl\"\r\n> (lldb) r\r\n> Process 254520 launched: '/workspaces/mliberty/w8/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad' (x86_64)\r\n> ```\r\n> \r\n> It works fine for me. I'm not sure what is different in your setup.\r\n\r\nThis is what happens for me when I tried to follow your steps:\r\n```\r\n$ lldb openroad run.tcl \r\nCurrent executable set to 'openroad' (x86_64).\r\n(lldb) target create \"openroad\"\r\nCurrent executable set to 'openroad' (x86_64).\r\n(lldb) settings set -- target.run-args \"run.tcl\"\r\n(lldb) r\r\nerror: process launch failed: Child exec failed.\r\n```\r\nAny idea what might be going on @maliberty?\r\n"}, {"assistant": "No idea. I mostly use gdb fwiw."}, {"user": "> > I use a different approach, I launch the flow or the GUI as usual and attach to it from Visual Studio Code. That way I don't have to set up any environment variables. On the downside, I have to be quick and sometimes lucky to be able to attach fast enough.\r\n> > Depending on your OS, you have some setup to do with lldb and permissions to attach to a running process...\r\n> > This `launch.json` goes into `tools/OpenROAD/.vscode/`:\r\n> > ```\r\n> > {\r\n> > // Use IntelliSense to learn about possible attributes.\r\n> > // Hover to view descriptions of existing attributes.\r\n> > // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387\r\n> > \"version\": \"0.2.0\",\r\n> > \"configurations\": [\r\n> > {\r\n> > \"type\": \"lldb\",\r\n> > \"request\": \"attach\",\r\n> > \"name\": \"Attach\",\r\n> > \"program\": \"${workspaceFolder}/../tools/OpenROAD/bin/openroad\"\r\n> > }\r\n> > ]\r\n> > }\r\n> > ```\r\n> \r\n> Did you have to update your GLIBC version to run with the LLDB vs code extension @oharboe ?\r\n\r\n\r\nNo. I use Ubuntu 22.04 FYI.\r\n\r\n> You just run `openroad run.tcl` and then try to start the debugger in time before the crash if I am understanding you process correctly?\r\n\r\nYes. You can even attach a bit early. Works better than I would expect \r\n\r\n"}, {"assistant": "> > > I use a different approach, I launch the flow or the GUI as usual and attach to it from Visual Studio Code. That way I don't have to set up any environment variables. On the downside, I have to be quick and sometimes lucky to be able to attach fast enough.\r\n> > > Depending on your OS, you have some setup to do with lldb and permissions to attach to a running process...\r\n> > > This `launch.json` goes into `tools/OpenROAD/.vscode/`:\r\n> > > ```\r\n> > > {\r\n> > > // Use IntelliSense to learn about possible attributes.\r\n> > > // Hover to view descriptions of existing attributes.\r\n> > > // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387\r\n> > > \"version\": \"0.2.0\",\r\n> > > \"configurations\": [\r\n> > > {\r\n> > > \"type\": \"lldb\",\r\n> > > \"request\": \"attach\",\r\n> > > \"name\": \"Attach\",\r\n> > > \"program\": \"${workspaceFolder}/../tools/OpenROAD/bin/openroad\"\r\n> > > }\r\n> > > ]\r\n> > > }\r\n> > > ```\r\n> > \r\n> > \r\n> > Did you have to update your GLIBC version to run with the LLDB vs code extension @oharboe ?\r\n> \r\n> No. I use Ubuntu 22.04 FYI.\r\n> \r\n> > You just run `openroad run.tcl` and then try to start the debugger in time before the crash if I am understanding you process correctly?\r\n> \r\n> Yes. You can even attach a bit early. Works better than I would expect\r\n\r\nI think I was able to get the debugger attachment working (using your launch config in `./tools/OpenROAD/.vscode`) but I think I am still not understanding the debugging flow. \r\n\r\nCan you provide a simple example of what you would do to debug a step in pdngen or floorplanning for example? I have the ORFS cloned and want to debug the pdn step using your attachment mechanism for example. I tried for example, though (for debugging proof of concept for myself), to run `openroad init_floorplan2.tcl` from cmd line and placed a breakpoint in `initFloorplan.cc`. Then I was able to attach the debugger to the running openroad process but do not see the breakpoint reached from here, so I think I am doing forgetting something silly.\r\n\r\nThis would be a huge help @oharboe if you could shed some light here and much appreciated! Thank you again!"}, {"user": "@ShvetankPrakash At this point, it looks like more of a C++ debugging setup issue... I would recommend reaching out to someone local who can sit down with you and get this set up. Some sort of snag in your exact local setup, it would seem."}, {"assistant": "@oharboe thanks for all the replies! Ya seems like based on both your and Matt\u2019s replies like it\u2019s just an issue with my local debug setup as I\u2019m doing the same thing as you both \ud83d\udc4d \r\n\r\nBut just wanted to check that what I\u2019m doing at a high level is how others are debugging OpenROAD for a sanity check, so both your and Matt\u2019s replies in this thread have been useful in confirming that it\u2019s just that\u2014 so thank you! I\u2019ll dig into this part now further to figure out what the exact issue is \ud83d\ude42"}, {"assistant": "Forgot to mention I was able to resolve the issue myself with the local set up I have and debugger is now working by the way \ud83d\ude42 Thanks again for all the comments! "}]} +{"num": 2674, "messages": [{"user": "### Describe the bug\n\nTrying to run this MPW design: https://github.com/The-OpenROAD-Project/caravel_user_project/blob/711cfb254cf7fb1595f9e08912dd091d9d383339/openlane/sha1_top/config.tcl\r\n```\r\nset ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) 80\r\nset ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) 80\r\nset ::nev(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) 80\r\nset ::nev(GLB_RESIZER_SETUP_MAX_BUFFER_PERCENT) 80\r\n```\r\nWith above variables facing timing violations. So tried to use default configuration and facing global routing crashes.\n\n### Expected Behavior\n\nPass global routing stage\n\n### OpenROAD Environment\n\n```shell\n`issue_reproducible` tested with latest OpenROAD Head, still facing same error\r\n\r\nGit commit: cf9e3b282573a32a7ae3678cd97074a5697276ae\r\nkernel: Linux 3.10.0-1160.49.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\ngcc (GCC) 8.3.1 20190311 (Red Hat 8.3.1-3)\r\nclang version 7.0.1 (tags/RELEASE_701/final)\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v3.10.0-1160.49.1.el7.x86_64\r\nDistribution: centos 7\r\nPython: v3.6.8 (OK)\r\nContainer Engine: docker v20.10.21 (OK)\r\nOpenLane Git Version: fix_22_12_28-dev\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n866f236 2022-12-28T20:09:47+05:30 mpw7 designs added (#124) - vijayan - (HEAD -> fix_22_12_28, origin/main, origin/HEAD, main)\r\n04cf3e3 2022-12-27T10:27:50+05:30 mpw7 designs added (#123) - vijayan - ()\r\ndfdfdb7 2022-12-25T13:43:50+00:00 [BOT] Update OpenLane tag. (#122) - github-actions[bot] - ()\r\n---\r\nGit Remotes\r\n\r\norigin\tgit@github.com:vijayank88/OpenLane-MPW-CI.git (fetch)\r\norigin\tgit@github.com:vijayank88/OpenLane-MPW-CI.git (push)\n```\n\n\n### To Reproduce\n\nAttached test case here: \r\n[issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10318776/issue_reproducible.zip)\r\n\n\n### Relevant log output\n\n```shell\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = int*; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = int*&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000000000CEFEA7 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 1# 0x00007FA447892400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x0000000000CEFF82 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 5# grt::FastRouteCore::mazeRouteMSMDOrder3D(int, int, int, int) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 6# grt::FastRouteCore::run() in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 7# grt::GlobalRouter::findRouting(std::vector >&, int, int) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 8# grt::GlobalRouter::globalRoute(bool) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 9# 0x000000000137D3B4 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n10# 0x00007FA44C3E8EB2 in /lib64/libtcl8.5.so\r\n11# 0x00007FA44C42D36C in /lib64/libtcl8.5.so\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nIf I change `SYNTH_STRATEGY` to `DEALY 4` not facing any crash."}, {"assistant": "Hi, In the latest version of OpenROAD this crash does not occur, and GRT finishes normally!"}, {"user": "Last crash faced with commit `cf9e3b282573a32a7ae3678cd97074a5697276ae` and with latest not facing any crash."}]} +{"num": 2675, "messages": [{"user": "### Describe the bug\r\n\r\nResize the OpenROAD GUI Window vigourously and the GUI locks up when run from docker.\r\n\r\nDoes not appear to happen when the OpenROAD GUI is run locally.\r\n\r\nIt is incredibly useful to be able to run OpenROAD GUI from a docker image when deploying OpenROAD.\r\n\r\n### Expected Behavior\r\n\r\nNo lockup.\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: cf9e3b282573a32a7ae3678cd97074a5697276ae\r\nkernel: Linux 5.15.0-56-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\ngcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0\r\nUbuntu clang version 14.0.0-1ubuntu1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n1. create the bash script below somewhere, call it \"or\"\r\n2. Run ```./or \"make DESIGN_CONFIG=designs/asap7/gcd/config.mk floorplan && make DESIGN_CONFIG=designs/asap7/gcd/config.mk gui_floorplan\"```\r\n3. Resize vigourosly, at which point you get an error ```[WARNING GUI-0076] QXcbConnection: XCB error: 2 (BadValue), sequence: 1709, resource id: 1108, major code: 130 (Unknown), minor code: 3``` and the GUI freezes.\r\n\r\n\r\n```shell\r\n#!/bin/bash\r\nset -ex\r\nDIR=\"$( cd \"$( dirname \"${BASH_SOURCE[0]}\" )\" && pwd )\"\r\n\r\nXSOCK=/tmp/.X11-unix\r\nXAUTH=/tmp/.docker.xauth\r\nxauth nlist :0 | sed -e 's/^..../ffff/' | xauth -f $XAUTH nmerge -\r\nARGUMENTS=$@\r\n\r\nif test -t 0; then\r\n DOCKER_INTERACTIVE=-ti\r\nfi\r\n\r\ndocker run -u $(id -u ${USER}):$(id -g ${USER}) \\\r\n -e \"QT_X11_NO_MITSHM=1\" \\\r\n -e DISPLAY=$DISPLAY \\\r\n -e QT_XKB_CONFIG_ROOT=/usr/share/X11/xkb \\\r\n -v $XSOCK:$XSOCK -v $XAUTH:$XAUTH -e XAUTHORITY=$XAUTH \\\r\n -v $DIR:$DIR \\\r\n -v `pwd`:`pwd` \\\r\n -v `pwd`/fakeram-results:/alpha-release/bsg_fakeram/results \\\r\n -v `pwd`/reports:/OpenROAD-flow-scripts/flow/reports/ \\\r\n -v `pwd`/objects:/OpenROAD-flow-scripts/flow/objects/ \\\r\n -v `pwd`/results:/OpenROAD-flow-scripts/flow/results/ \\\r\n -v `pwd`/logs:/OpenROAD-flow-scripts/flow/logs/ \\\r\n -v `pwd`/designs:/OpenROAD-flow-scripts/flow/designs/ \\\r\n $DOCKER_INTERACTIVE openroad/flow-scripts:latest \\\r\n bash -c \"set -ex\r\n . ./setup_env.sh\r\n cd flow\r\n export MAKE_DIR=$DIR\r\n $ARGUMENTS\r\n\"\r\n```\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I tried one design without reproducing it. Can you get a stack when it happens?"}, {"user": "@maliberty Managed to reproduce it using docker."}, {"assistant": "I would expect updateInsts to only happen once since resizing doesn't change odb. Are you seeing it happen more than once? Is it actually hung or just slow?"}, {"user": "> I would expect updateInsts to only happen once since resizing doesn't change odb. Are you seeing it happen more than once? Is it actually hung or just slow?\r\n\r\n@maliberty \r\n\r\nI have narrowed this github issue down to dealing with the problem of a lockup in resizing when running OpenROAD GUI from docker. I deleted the cul de sacs I followed here.\r\n\r\nWe already know about that OpenROAD GUI can't deal with the pathological case of ASAP7 designs with millions of filler cells."}, {"user": "@maliberty Hmmm.... Googled a bit. Didn't get much wiser. Could this be that the Docker image is running an older version of Qt and that latest Ubuntu has a fixed version?\r\n\r\nUbuntu 22 is running qt6 and the Docker image qt5."}, {"assistant": "Based on your stack trace it isn't doesn't seem like a qt issue but more likely a boost version if anything"}, {"user": "> Based on your stack trace it isn't doesn't seem like a qt issue but more likely a boost version if anything\r\n\r\nThe scaling issue is a separate issue. I am able to reproduce the lockup with tiny designs.\r\n\r\n"}, {"assistant": "What is your setup for a small design that shows the problem? I haven't reproduced it."}, {"user": "> What is your setup for a small design that shows the problem? I haven't reproduced it.\r\n\r\nAny design, running the GUI from Docker with scripts above, I think. Above I use asap7/gvd.\r\n\r\nThough, Docker may be a red herring. I googled and this may be fixed in qt6, which would explain why it works locally in Ubuntu 22"}, {"assistant": "I opened ng45/gcd using qt5 and a local build. A minute of vigorous resizing produced no hang."}, {"user": "> I opened ng45/gcd using qt5 and a local build. A minute of vigorous resizing produced no hang.\r\n\r\nI tried on Ubuntu 22. You?"}, {"assistant": "centos7"}, {"user": "After studying the build files today I discovered that OpenROAD GUI is built against qt5 on Ubuntu 22 as well on CentOS 7. Meaning, the qt5 vs. qt6 was a red herring."}, {"assistant": "I have ubuntu 22 under WSL on my windows laptop. I can try that but I'm dubious it will matter."}, {"user": "> \r\n\r\ngcd is small enough I can run it in the debugger. Stay tuned."}, {"assistant": "Fwiw I'm using Qt 5.9.7"}, {"user": "> Fwiw I'm using Qt 5.9.7\r\n\r\n$ lsb_release -a\r\nNo LSB modules are available.\r\nDistributor ID:\tUbuntu\r\nDescription:\tUbuntu 22.10\r\nRelease:\t22.10\r\nCodename:\tkinetic\r\n\r\n$ qmake --version\r\nQMake version 3.1\r\nUsing Qt version 5.15.6 in /usr/lib/x86_64-linux-gnu\r\n"}, {"user": "So. I can only reproduce the lockup with asap7/gcd on Ubuntu local using Docker.\r\n\r\nTherefore, I think there are two issues:\r\n\r\n- problems with a large number of instances\r\n- problems with running from Docker specifically.\r\n\r\nLet's use this github issue to talk about a crash when running OpenROAD GUI from Docker and when I have more actionable information, I'll open a new issue ragarding problems with a large number of instances and the OpenROAD GUI."}, {"assistant": "As a test of docker I opened spm from within the OL docker container. Resizing lead to no hang there either."}, {"user": "> As a test of docker I opened spm from within the OL docker container. Resizing lead to no hang there either.\r\n\r\nSilly question. What is \"spm\"? \"OL\" is OpenLane, I presume."}, {"assistant": "Yes OL=OpenLane. spm is their small test - what you get if you do 'make test'. "}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenLane/tree/master/designs/spm"}, {"user": "@maliberty \r\n\r\nSo:\r\n\r\n1. it would appear that there is a scaling issue with millions of instances that can appear as a lockup. This issue is not about that, but about docker crashes. I have tried to make a .odb file with millions of instances crash in the the debugger and I have failed, though I can clearly observe scaling issues when I suspend the debugger during pauses and loading of the .odb file.\r\n2. We observe crashes when running OpenROAD GUI from docker on ubuntu 22, but not CentOS 7.\r\n\r\n"}, {"assistant": "I ran on Ubuntu22 under WSL on windows (no docker) and resizing is not hanging. I can't say \"We observe ...\" yet. I'm hoping you can do a bit of debugging as I'm not having any luck reproducing the issue."}, {"assistant": "If I could make a suggestion. \r\n\r\nIt might be worth doing some scalability work first. As frame times increase it can often reveal or break graphics routines that expect faster update cycles. For example the user input queues can build up while frames are being drawn causing lock up like behavior. \r\n\r\nIf we improve performance we might solve this issue."}, {"user": "> I ran on Ubuntu22 under WSL on windows (no docker) and resizing is not hanging. I can't say \"We observe ...\" yet. I'm hoping you can do a bit of debugging as I'm not having any luck reproducing the issue.\r\n\r\nYep. Matches what I see. The lockup is only under Docker, in some circumstances.\r\n\r\nI think the solution to this problem is to make a Debian installer. I've started some work on this: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/746 https://github.com/The-OpenROAD-Project/OpenROAD/pull/2689"}, {"user": "> If I could make a suggestion.\r\n> \r\n> It might be worth doing some scalability work first. As frame times increase it can often reveal or break graphics routines that expect faster update cycles. For example the user input queues can build up while frames are being drawn causing lock up like behavior.\r\n> \r\n> If we improve performance we might solve this issue.\r\n\r\nIn the debugger makes the GUI run pathologically slow, but I have never seen a lockup. I think this is a problem with Docker(not the only one, we also see garbled graphics).\r\n\r\nI think the solution is to have a Debian installer that can be used on Ubuntu for OpenROAD GUI."}, {"user": "Some more tests:\r\n\r\n- Run GUI directly under Ubuntu and log in from vnc => crashes VNC\r\n- Run GUI directly under Ubuntu and log in from xrdp => works"}, {"user": "Huh.... Today I can resize the GUI when run from within docker without it crashing. I recently upgraded to the latest OpenROAD master....\r\n\r\nWill test some more and if it is fixed, I'll close.\r\n\r\nThe only recent change in src/gui is d297c5b18d08684b550e74a3115d4c366bd92e01, which doesn't seem like it should fix a crash in resizing.\r\n\r\n"}, {"user": "@maliberty I'll file a fresh github issue if it starts happening again with link to this one."}, {"user": "It still happens, but very rarely, e.g. when I was running a ctrl-f, it locked up:\r\n\r\n```\r\n[WARNING GUI-0076] QXcbConnection: XCB error: 3 (BadWindow), sequence: 1762, resource id: 12621573, major code: 40 (TranslateCoords), minor code: 0\r\n[WARNING GUI-0076] QXcbConnection: XCB error: 3 (BadWindow), sequence: 11651, resource id: 12622023, major code: 40 (TranslateCoords), minor code: 0\r\n```"}, {"assistant": "Those messages commonly print at startup but don't seem to mean much. "}, {"user": "> Those messages commonly print at startup but don't seem to mean much.\r\n\r\nWhen the GUI is run from docker, they consistently appear only when the GUI crashes.\r\n\r\nPerhaps some sort of unfortunate sequence of events that end up in a crash, but where a native app dodges the bullit?\r\n\r\nPerhaps those harmless warnings are worth chasing down?\r\n\r\nAnyway: the OpenROAD gui is much, much, much more stable from docker now. Completely usable. A single crash in a day."}, {"assistant": "I don't think there are any GUI changes so it seems like something at your side"}, {"user": "@maliberty After reproducing this and having a stack trace, I'm reopening this issue as now I think it should be actionable...\r\n\r\nIt looks like a deadlock of some sort. Perhaps because the logger is updating the logger widget synchronously and logging is caused from obscure Xcb warning corner cases in Docker?\r\n\r\n```\r\n+ bash\r\nbash-4.2$ gdb --args openroad -gui\r\nGNU gdb (GDB) Red Hat Enterprise Linux 7.6.1-120.el7\r\nCopyright (C) 2013 Free Software Foundation, Inc.\r\nLicense GPLv3+: GNU GPL version 3 or later \r\nThis is free software: you are free to change and redistribute it.\r\nThere is NO WARRANTY, to the extent permitted by law. Type \"show copying\"\r\nand \"show warranty\" for details.\r\nThis GDB was configured as \"x86_64-redhat-linux-gnu\".\r\nFor bug reporting instructions, please see:\r\n...\r\nReading symbols from /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad...(no debugging symbols found)...done.\r\n(gdb) r\r\nStarting program: /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -gui\r\nwarning: Error disabling address space randomization: Operation not permitted\r\n[Thread debugging using libthread_db enabled]\r\nUsing host libthread_db library \"/lib64/libthread_db.so.1\".\r\n[New Thread 0x7fcd5da61700 (LWP 18)]\r\n[New Thread 0x7fcd5a541700 (LWP 19)]\r\n[New Thread 0x7fcd594c3700 (LWP 20)]\r\n[Detaching after fork from child process 21]\r\nlibGL error: unable to load driver: swrast_dri.so\r\nlibGL error: failed to load driver: swrast\r\n[New Thread 0x7fcd52b4a700 (LWP 23)]\r\nOpenROAD HEAD-HASH-NOTFOUND\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[New Thread 0x7fcd51a8b700 (LWP 24)]\r\n[Thread 0x7fcd51a8b700 (LWP 24) exited]\r\n[WARNING GUI-0077] Timing data is not stored in /home/oyvind/ascenium/ascenium2/hardware/openroad/results/asap7/ce-array_AptosCore/base/6_final.odb and must be loaded separately, if needed.\r\n[WARNING GUI-0076] QXcbConnection: XCB error: 2 (BadValue), sequence: 2145, resource id: 1437, major code: 130 (Unknown), minor code: 3\r\n^C\r\nProgram received signal SIGINT, Interrupt.\r\n0x00007fcd64b69e29 in syscall () from /lib64/libc.so.6\r\nMissing separate debuginfos, use: debuginfo-install bzip2-libs-1.0.6-13.el7.x86_64 dbus-libs-1.10.24-15.el7.x86_64 elfutils-libelf-0.176-5.el7.x86_64 elfutils-libs-0.176-5.el7.x86_64 expat-2.1.0-15.el7_9.x86_64 fontconfig-2.13.0-4.3.el7.x86_64 freetype-2.8-14.el7_9.1.x86_64 glib2-2.56.1-9.el7_9.x86_64 glibc-2.17-326.el7_9.x86_64 graphite2-1.3.10-1.el7_3.x86_64 harfbuzz-1.7.5-2.el7.x86_64 jasper-libs-1.900.1-33.el7.x86_64 jbigkit-libs-2.0-11.el7.x86_64 libICE-1.0.9-9.el7.x86_64 libSM-1.2.2-2.el7.x86_64 libX11-1.6.7-4.el7_9.x86_64 libXau-1.0.8-2.1.el7.x86_64 libXcursor-1.1.15-1.el7.x86_64 libXdamage-1.1.4-4.1.el7.x86_64 libXext-1.3.3-3.el7.x86_64 libXfixes-5.0.3-1.el7.x86_64 libXi-1.7.9-1.el7.x86_64 libXrender-0.9.10-1.el7.x86_64 libXxf86vm-1.1.4-1.el7.x86_64 libattr-2.4.46-13.el7.x86_64 libcap-2.22-11.el7.x86_64 libdrm-2.4.97-2.el7.x86_64 libgcc-4.8.5-44.el7.x86_64 libgcrypt-1.5.3-14.el7.x86_64 libglvnd-1.0.1-0.8.git5baa1e5.el7.x86_64 libglvnd-glx-1.0.1-0.8.git5baa1e5.el7.x86_64 libgomp-4.8.5-44.el7.x86_64 libgpg-error-1.12-3.el7.x86_64 libicu-50.2-4.el7_7.x86_64 libjpeg-turbo-1.2.90-8.el7.x86_64 libmng-1.0.10-14.el7.x86_64 libpng-1.5.13-8.el7.x86_64 libselinux-2.5-15.el7.x86_64 libstdc++-4.8.5-44.el7.x86_64 libtiff-4.0.3-35.el7.x86_64 libuuid-2.23.2-65.el7_9.1.x86_64 libxcb-1.13-1.el7.x86_64 libxshmfence-1.2-1.el7.x86_64 lz4-1.8.3-1.el7.x86_64 mesa-libGL-18.3.4-12.el7_9.x86_64 mesa-libglapi-18.3.4-12.el7_9.x86_64 ncurses-libs-5.9-14.20130511.el7_4.x86_64 pcre-8.32-17.el7.x86_64 pcre2-utf16-10.23-2.el7.x86_64 python3-libs-3.6.8-18.el7.x86_64 qt5-qtbase-5.9.7-5.el7_9.x86_64 qt5-qtbase-gui-5.9.7-5.el7_9.x86_64 qt5-qtimageformats-5.9.7-2.el7_9.x86_64 readline-6.2-11.el7.x86_64 systemd-libs-219-78.el7_9.7.x86_64 tcl-8.5.13-8.el7.x86_64 tcl-tclreadline-2.1.0-3.el7.x86_64 xcb-util-0.4.0-2.el7.x86_64 xcb-util-image-0.4.0-2.el7.x86_64 xcb-util-keysyms-0.4.0-1.el7.x86_64 xcb-util-renderutil-0.3.9-3.el7.x86_64 xcb-util-wm-0.4.1-5.el7.x86_64 xz-libs-5.2.2-2.el7_9.x86_64 zlib-1.2.7-21.el7_9.x86_64\r\n(gdb) bt\r\n#0 0x00007fcd64b69e29 in syscall () from /lib64/libc.so.6\r\n#1 0x00007fcd65c27116 in QBasicMutex::lockInternal() ()\r\n from /lib64/libQt5Core.so.5\r\n#2 0x00007fcd65c273e7 in QMutex::lock() () from /lib64/libQt5Core.so.5\r\n#3 0x00007fcd5d16ebe4 in QXcbEventReader::lock() ()\r\n from /lib64/libQt5XcbQpa.so.5\r\n#4 0x00007fcd5d16ef14 in QXcbConnection::processXcbEvents() ()\r\n from /lib64/libQt5XcbQpa.so.5\r\n#5 0x00007fcd65e0212e in QObject::event(QEvent*) ()\r\n from /lib64/libQt5Core.so.5\r\n#6 0x00007fcd66647d8c in QApplicationPrivate::notify_helper(QObject*, QEvent*)\r\n () from /lib64/libQt5Widgets.so.5\r\n#7 0x00007fcd6664ef68 in QApplication::notify(QObject*, QEvent*) ()\r\n from /lib64/libQt5Widgets.so.5\r\n#8 0x00007fcd65dd8b36 in QCoreApplication::notifyInternal2(QObject*, QEvent*)\r\n () from /lib64/libQt5Core.so.5\r\n#9 0x00007fcd65ddb453 in QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) () from /lib64/libQt5Core.so.5\r\n#10 0x00007fcd65e27a03 in postEventSourceDispatch(_GSource*, int (*)(void*), void*) () from /lib64/libQt5Core.so.5\r\n#11 0x00007fcd61a23119 in g_main_context_dispatch ()\r\n from /lib64/libglib-2.0.so.0\r\n#12 0x00007fcd61a23478 in g_main_context_iterate.isra.19 ()\r\n---Type to continue, or q to quit---\r\n from /lib64/libglib-2.0.so.0\r\n#13 0x00007fcd61a2352c in g_main_context_iteration ()\r\n from /lib64/libglib-2.0.so.0\r\n#14 0x00007fcd65e273ac in QEventDispatcherGlib::processEvents(QFlags) () from /lib64/libQt5Core.so.5\r\n#15 0x0000000001377fb6 in gui::ScriptWidget::outputChanged() ()\r\n#16 0x00007fcd65e00b47 in QMetaObject::activate(QObject*, int, int, void**) ()\r\n from /lib64/libQt5Core.so.5\r\n#17 0x00007fcd66808805 in QPlainTextEdit::qt_static_metacall(QObject*, QMetaObject::Call, int, void**) () from /lib64/libQt5Widgets.so.5\r\n#18 0x00007fcd65e00b47 in QMetaObject::activate(QObject*, int, int, void**) ()\r\n from /lib64/libQt5Core.so.5\r\n#19 0x00007fcd66827a65 in QWidgetTextControl::qt_static_metacall(QObject*, QMetaObject::Call, int, void**) () from /lib64/libQt5Widgets.so.5\r\n#20 0x00007fcd66827f8b in QWidgetTextControl::qt_metacall(QMetaObject::Call, int, void**) () from /lib64/libQt5Widgets.so.5\r\n#21 0x00007fcd65e01144 in QMetaObject::activate(QObject*, int, int, void**) ()\r\n from /lib64/libQt5Core.so.5\r\n#22 0x00007fcd6621c574 in QTextDocumentPrivate::finishEdit() ()\r\n from /lib64/libQt5Gui.so.5\r\n#23 0x00007fcd668238ab in QWidgetTextControlPrivate::append(QString const&, Qt::TextFormat) () from /lib64/libQt5Widgets.so.5\r\n#24 0x00007fcd66804e95 in QPlainTextEditPrivate::append(QString const&, Qt::Text---Type to continue, or q to quit---\r\nFormat) () from /lib64/libQt5Widgets.so.5\r\n#25 0x00000000013783b8 in gui::ScriptWidget::addTextToOutput(QString const&, QColor const&) ()\r\n#26 0x00007fcd65e0212e in QObject::event(QEvent*) ()\r\n from /lib64/libQt5Core.so.5\r\n#27 0x00007fcd666860db in QWidget::event(QEvent*) ()\r\n from /lib64/libQt5Widgets.so.5\r\n#28 0x00007fcd6676c11b in QDockWidget::event(QEvent*) ()\r\n from /lib64/libQt5Widgets.so.5\r\n#29 0x00007fcd66647d8c in QApplicationPrivate::notify_helper(QObject*, QEvent*)\r\n () from /lib64/libQt5Widgets.so.5\r\n#30 0x00007fcd6664ef68 in QApplication::notify(QObject*, QEvent*) ()\r\n from /lib64/libQt5Widgets.so.5\r\n#31 0x00007fcd65dd8b36 in QCoreApplication::notifyInternal2(QObject*, QEvent*)\r\n () from /lib64/libQt5Core.so.5\r\n#32 0x00007fcd65ddb453 in QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) () from /lib64/libQt5Core.so.5\r\n#33 0x0000000001379484 in gui::ScriptWidget::GuiSink::sink_it_(spdlog::details::log_msg const&) ()\r\n#34 0x0000000002cb1dc4 in spdlog::logger::sink_it_(spdlog::details::log_msg const&) ()\r\n#35 0x0000000002cb26f2 in spdlog::logger::log_it_(spdlog::details::log_msg const&, bool, bool) ()\r\n---Type to continue, or q to quit---\r\n#36 0x0000000000cf666a in void spdlog::logger::log_, char const*, char const*, int>(spdlog::source_loc, spdlog::level::level_enum, fmt::v7::basic_string_view const&, char const* const&, char const* const&, int const&) ()\r\n#37 0x0000000000cf9f4e in void utl::Logger::log<>(utl::ToolId, spdlog::level::level_enum, int, std::string const&) ()\r\n#38 0x00000000012ea29a in gui::message_handler(QtMsgType, QMessageLogContext const&, QString const&) ()\r\n#39 0x00007fcd65c1a2fa in qt_message_print(QtMsgType, QMessageLogContext const&, QString const&) () from /lib64/libQt5Core.so.5\r\n#40 0x00007fcd65c1a474 in qt_message(QtMsgType, QMessageLogContext const&, char const*, __va_list_tag*) () from /lib64/libQt5Core.so.5\r\n#41 0x00007fcd65c1b19b in QMessageLogger::warning(char const*, ...) const ()\r\n from /lib64/libQt5Core.so.5\r\n#42 0x00007fcd5d168102 in QXcbConnection::handleXcbError(xcb_generic_error_t*)\r\n () from /lib64/libQt5XcbQpa.so.5\r\n#43 0x00007fcd5d16ef4b in QXcbConnection::processXcbEvents() ()\r\n from /lib64/libQt5XcbQpa.so.5\r\n#44 0x00007fcd65e0212e in QObject::event(QEvent*) ()\r\n from /lib64/libQt5Core.so.5\r\n#45 0x00007fcd66647d8c in QApplicationPrivate::notify_helper(QObject*, QEvent*)\r\n () from /lib64/libQt5Widgets.so.5\r\n#46 0x00007fcd6664ef68 in QApplication::notify(QObject*, QEvent*) ()\r\n---Type to continue, or q to quit---\r\n from /lib64/libQt5Widgets.so.5\r\n#47 0x00007fcd65dd8b36 in QCoreApplication::notifyInternal2(QObject*, QEvent*)\r\n () from /lib64/libQt5Core.so.5\r\n#48 0x00007fcd65ddb453 in QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) () from /lib64/libQt5Core.so.5\r\n#49 0x00007fcd65e27a03 in postEventSourceDispatch(_GSource*, int (*)(void*), void*) () from /lib64/libQt5Core.so.5\r\n#50 0x00007fcd61a23119 in g_main_context_dispatch ()\r\n from /lib64/libglib-2.0.so.0\r\n#51 0x00007fcd61a23478 in g_main_context_iterate.isra.19 ()\r\n from /lib64/libglib-2.0.so.0\r\n#52 0x00007fcd61a2352c in g_main_context_iteration ()\r\n from /lib64/libglib-2.0.so.0\r\n#53 0x00007fcd65e273ac in QEventDispatcherGlib::processEvents(QFlags) () from /lib64/libQt5Core.so.5\r\n#54 0x00007fcd65dd762b in QEventLoop::exec(QFlags) () from /lib64/libQt5Core.so.5\r\n#55 0x00007fcd65ddfb54 in QCoreApplication::exec() ()\r\n from /lib64/libQt5Core.so.5\r\n#56 0x00000000012ecfcd in gui::startGui(int&, char**, Tcl_Interp*, std::string const&, bool) ()\r\n#57 0x0000000000cfac6a in ord::tclAppInit(Tcl_Interp*) ()\r\n#58 0x00007fcd697e6475 in Tcl_Main () from /lib64/[libtcl8.5.so](http://libtcl8.5.so/)\r\n---Type to continue, or q to quit---\r\n#59 0x0000000000ccc744 in main ()\r\n(gdb)\r\n(gdb)\r\n```"}, {"assistant": "I still have trouble reproducing this so I hope you can try this potential solution for me. Based on the comments in https://bugreports.qt.io/browse/QTBUG-55167 I made https://github.com/The-OpenROAD-Project/OpenROAD/pull/3466. \r\n\r\nHopefully that silences all xcb error messages. If not, please try the alternative:\r\n```\r\nTo use this, I drop the following in /etc/xdg/QtProject/qtlogging.ini:\r\n\r\n[Rules]\r\nqt.qpa.xcb.xcberror=false```\r\n"}, {"user": "> I still have trouble reproducing this so I hope you can try this potential solution for me. Based on the comments in https://bugreports.qt.io/browse/QTBUG-55167 I made #3466.\r\n> \r\n> Hopefully that silences all xcb error messages. If not, please try the alternative:\r\n> \r\n> ```\r\n> To use this, I drop the following in /etc/xdg/QtProject/qtlogging.ini:\r\n> \r\n> [Rules]\r\n> qt.qpa.xcb.xcberror=false```\r\n> ```\r\n\r\nI tried the latter, but it still locks up."}, {"assistant": "Did you put it inside the container?"}, {"user": "> Did you put it inside the container?\r\n\r\nYes, used `-v` and checked that it was mapped correctly."}, {"user": "Trying:\r\n\r\n```\r\n$ git show\r\ncommit 85c5c806b15abcbb2d3e934ec4d76e611b2cc3ac (HEAD)\r\nMerge: 6a4ea1ea5 8164ed0da\r\nAuthor: \u00d8yvind Harboe \r\nDate: Thu Jun 15 06:34:52 2023 +0200\r\n\r\n Merge commit 'refs/pull/3466/head' of https://github.com/The-OpenROAD-Project/OpenROAD into HEAD\r\n```\r\n\r\nSame crash..."}]} +{"num": 2677, "messages": [{"user": "### Describe the bug\n\nI generated liberty timing files using the same input liberty and spef inputs. For OpenSTA:\r\n\r\n```\r\nread_liberty sky130_fd_sc_hd__tt_025C_1v80.lib\r\nread_verilog RAM32_1RW1R.v\r\nlink_design RAM32_1RW1R\r\nread_spef RAM32_1RW1R.spef\r\n\r\nread_sdc RAM32_1RW1R.sdc\r\nset_propagated_clock [all_clocks]\r\n\r\nwrite_timing_model sta.lib\r\n```\r\n\r\nand for OpenROAD:\r\n\r\n```\r\nread_liberty sky130_fd_sc_hd__tt_025C_1v80.lib\r\nread_lef merged.nom.lef\r\nread_def RAM32_1RW1R.def\r\n\r\nread_spef RAM32_1RW1R.spef\r\n\r\nread_sdc RAM32_1RW1R.sdc\r\nset_propagated_clock [all_clocks]\r\n\r\nwrite_timing_model or.lib\r\n```\r\n\r\nThe liberty files have some quite large differences, eg:\r\n\r\n```\r\n pin(\"EN0\") {\r\n direction : input;\r\n capacitance : 0.2755;\r\n timing() {\r\n related_pin : \"CLK\";\r\n timing_type : hold_rising;\r\n \trise_constraint(scalar) {\r\n- values(\"0.72490\");\r\n+ values(\"0.87555\");\r\n \t}\r\n \tfall_constraint(scalar) {\r\n- values(\"0.15198\");\r\n+ values(\"0.87103\");\r\n \t}\r\n }\r\n timing() {\r\n related_pin : \"CLK\";\r\n timing_type : setup_rising;\r\n \trise_constraint(scalar) {\r\n- values(\"4.74118\");\r\n+ values(\"2.11183\");\r\n \t}\r\n \tfall_constraint(scalar) {\r\n- values(\"2.61736\");\r\n+ values(\"1.72349\");\r\n \t}\r\n }\r\n timing() {\r\n related_pin : \"CLK\";\r\n timing_type : hold_falling;\r\n \trise_constraint(scalar) {\r\n values(\"-0.92890\");\r\n \t}\r\n \tfall_constraint(scalar) {\r\n values(\"-0.66057\");\r\n```\n\n### Expected Behavior\n\nThe same liberty file (+/- small differences)\n\n### Environment\n\n```shell\nGit commit: cf9e3b282573a32a7ae3678cd97074a5697276ae\r\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.25.1\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 14.0.5 (Fedora 14.0.5-2.fc36)\n```\n\n\n### To Reproduce\n\nTest case: [liberty-or-vs-sta.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10322574/liberty-or-vs-sta.tar.gz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "This might be transparent latch related (used in DFFRAM), because it didn't reproduce on a FF only macro."}, {"assistant": "no, it is tristate related. odb has no clue about tristates"}, {"assistant": "fixed in 03d9aa35f"}, {"user": "Thanks @jjcherry56 "}]} +{"num": 2678, "messages": [{"user": "### Describe the bug\n\nWhen running the following script in the OpenROAD/src/pdn/test directory, it segfaults (OOM)\r\n\n\n### Expected Behavior\n\nNo crashes.\n\n### Environment\n\n```shell\nmacd@macd-NUC9:~/road/OpenROAD$ ./etc/Env.sh\r\nGit commit: cf9e3b282573a32a7ae3678cd97074a5697276ae\r\nkernel: Linux 5.15.0-56-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.22.1\r\ngcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0\r\nUbuntu clang version 14.0.0-1ubuntu1\n```\n\n\n### To Reproduce\n\nrun the following script in the OpenROAD/src/pdn/test directory\r\n```\r\nsource \"helpers.tcl\"\r\nread_lef Nangate45/Nangate45_tech.lef\r\nread_lef Nangate45/Nangate45_stdcell.lef\r\nread_def nangate_gcd/floorplan.def\r\nadd_global_connection -net VDD -pin_pattern VDD -power\r\nadd_global_connection -net VSS -pin_pattern VSS -ground\r\nset pwr [[ord::get_db_block] findNet \"VDD\"]\r\nset gnd [[ord::get_db_block] findNet \"VSS\"]\r\nset region \"NULL\"\r\nset name \"NULL\"\r\nset secondary {}\r\nset switched_power \"NULL\"\r\npdn::make_region_domain $name $pwr $switched_power $gnd $secondary $region\r\ndefine_pdn_grid -name \"Core\"\r\nadd_pdn_stripe -followpins -layer metal1\r\n```\n\n### Relevant log output\n\n```shell\nopenroad> source \"helpers.tcl\"\r\nread_lef Nangate45/Nangate45_tech.lef\r\nread_lef Nangate45/Nangate45_stdcell.lef\r\nread_def nangate_gcd/floorplan.def\r\nadd_global_connection -net VDD -pin_pattern VDD -power\r\nadd_global_connection -net VSS -pin_pattern VSS -ground\r\nset pwr [[ord::get_db_block] findNet \"VDD\"]\r\nset gnd [[ord::get_db_block] findNet \"VSS\"]\r\nset region \"NULL\"\r\nset name \"NULL\"\r\nset secondary {}\r\nset switched_power \"NULL\"\r\npdn::make_region_domain $name $pwr $switched_power $gnd $secondary $region\r\ndefine_pdn_grid -name \"Core\"\r\nadd_pdn_stripe -followpins -layer metal1\r\n[INFO ODB-0222] Reading LEF file: Nangate45/Nangate45_tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: Nangate45/Nangate45_tech.lef\r\n[INFO ODB-0222] Reading LEF file: Nangate45/Nangate45_stdcell.lef\r\n[INFO ODB-0225] Created 135 library cells\r\n[INFO ODB-0226] Finished LEF file: Nangate45/Nangate45_stdcell.lef\r\n[INFO ODB-0128] Design: gcd\r\n[INFO ODB-0130] Created 54 pins.\r\n[INFO ODB-0131] Created 482 components and 2074 component-terminals.\r\n[INFO ODB-0133] Created 385 nets and 1110 connections.\r\n[INFO PDN-0101] Using VDD as power net for Core domain.\r\n[INFO PDN-0102] Using VSS as ground net for Core domain.\r\nSegmentation fault (core dumped)\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nExposure of this to end users is maybe minimal, but roughly what happens is that a voltage domain is defined before a core domain. Then when one of the commands does a findDomain(\"Core\") (which is in PdnGen.cc) the very first thing it does is to call \"ensureCoreDomain()\" which winds up adding an new superfluous domain (because core_domain_ is not set) Then when getDomainRows, from domain.cpp, is called it hits an finite recursion between getRows and getDomainRows. I guess the fix would be either to 1) disallow creating a voltage domain before the core domain, or 2) put in a call to ensureCoreDomain() as the very first thing in makeRegionVoltageDomain, (in PdnGen.cc), but honestly, I don't know this code well enough to do much more."}, {"assistant": "@macd why are you directly calling the `make_region_domain`? I can add a check that the region is NULL, but you should not be calling them directly in TCL."}, {"user": "I get that, but a user could still do as I did. I only found it by trying to recreate in Python some of the functionality in the tcl API."}]} +{"num": 2680, "messages": [{"user": "### Describe the bug\r\n\r\nWith OpenROAD commit `7c85c140308f01b73f57ea1117f3e43f39abd437` attached design successfully completed routing without any violations.\r\n\r\nSame design creates short violations with latest OpenROAD commit.\r\n\r\n### Expected Behavior\r\n\r\nComplete detail routing\r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\n`4f1108b6f558718ed142cbb6c1f5ba20958195ca`\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\nOpenLane Tag 2022.12.29\r\nOpenROAD Commit `4f1108b6f558718ed142cbb6c1f5ba20958195ca`\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nDue to file size limit, test case uploaded here: https://slack-files.com/T01699QAZBQ-F04H11VDY4S-7f29fa9423\r\n\r\n### Relevant log output\r\n\r\n```shell\r\n[INFO DRT-0195] Start 64th optimization iteration.\r\n Completing 10% with 1065 violations.\r\n elapsed time = 00:00:00, memory = 17330.63 (MB).\r\n Completing 20% with 1065 violations.\r\n elapsed time = 00:00:00, memory = 17331.92 (MB).\r\n Completing 30% with 1064 violations.\r\n elapsed time = 00:01:12, memory = 17570.39 (MB).\r\n Completing 40% with 1064 violations.\r\n elapsed time = 00:01:12, memory = 17570.77 (MB).\r\n Completing 50% with 1062 violations.\r\n elapsed time = 00:03:15, memory = 17872.86 (MB).\r\n Completing 60% with 1062 violations.\r\n elapsed time = 00:03:15, memory = 17872.86 (MB).\r\n Completing 70% with 1062 violations.\r\n elapsed time = 00:03:15, memory = 17872.86 (MB).\r\n Completing 80% with 1062 violations.\r\n elapsed time = 00:04:17, memory = 18168.96 (MB).\r\n Completing 90% with 1062 violations.\r\n elapsed time = 00:04:17, memory = 18169.19 (MB).\r\n Completing 100% with 1062 violations.\r\n elapsed time = 00:06:29, memory = 18387.95 (MB).\r\n[INFO DRT-0199] Number of violations = 1062.\r\nViol/Layer met1 met2\r\nShort 307 755\r\n[INFO DRT-0267] cpu time = 00:25:23, elapsed time = 00:06:30, memory = 18382.40 (MB), peak = 18724.14 (MB)\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\nCI pass patmos_chip successfully with OpenLane tag 2022.12.23 and previous tags: (https://jenkins.openroad.tools/blue/organizations/jenkins/OpenLane-MPW-CI-Public%2Fproduction-large-1/detail/PR-124/1/pipeline/366)\r\nCI fails with 2022.12.25 and above(After OpenROAD commit Update): https://jenkins.openroad.tools/blue/organizations/jenkins/OpenLane-MPW-CI-Public%2Funstable-large-1/detail/main/65/pipeline/323"}, {"assistant": "When I run your test case:\r\n```\r\nError: droute.tcl, 15 cannot read file /home/vijayan/FORK_OPENROAD/OL_MPW_CI/OpenLane-MPW-CI/OpenLane/pdks/sky130A/libs.ref/sky130_sram_macros/lib/sky130_sram_1kbyte_1rw1r_32x256_8_TT_1p8V_25C.lib.\r\n```\r\n\r\nPlease upload a self-contained test"}, {"user": "Include missing library with test case again here: https://slack-files.com/T01699QAZBQ-F04GR7YN7U7-8803993c7d"}, {"assistant": "All the violations are on top of a macro:\r\n![image](https://user-images.githubusercontent.com/761514/210260636-9b55d3bf-e946-411e-aaeb-fd0239931fd6.png)\r\n\r\nIf I look at one of the nets the guide goes right through the macro on met2:\r\n![image](https://user-images.githubusercontent.com/761514/210260686-76c0add2-f7ad-4c66-9165-31d0227dd263.png)\r\n\r\nso the problem is in global routing. Please prepare a test case for the global router.\r\n"}, {"user": "@maliberty Attached Global routing stage test case: https://slack-files.com/T01699QAZBQ-F04H82ASCBV-f5ad3a03f6"}, {"assistant": "@luis201420 please look at why there are guides going through the macro that should be blocking them"}, {"user": "@maliberty @luis201420 \r\nPlease stop debugging this issue.\r\nIt was the problem of `GRT_OBS` not defined properly.\r\nThere was extra space during `\\` definition and its caused short violation.\r\nAfter fixing that empty space now its passing routing stage locally without any issue."}]} +{"num": 2687, "messages": [{"user": "### Describe the bug\n\nThe SDC used in Openlane contains various derating parameters:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenLane/blob/master/scripts/base.sdc\r\n\r\nThis SDC is used to create liberty timing models, which means we apply derating to both the timing model and the top level integration.\n\n### Expected Behavior\n\nPrint a warning so the user is aware that the timing model has been derated.\n\n### Environment\n\n```shell\nGit commit: e41b1dcc4510b1a7dda30f4c7db7de4e60050579\r\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.25.1\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 14.0.5 (Fedora 14.0.5-2.fc36)\n```\n\n\n### To Reproduce\n\nN/A\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Openlane also adds external capacitance to outputs via the `set_load` command. This has an impact on the liberty model. The output tables are indexed by capacitance, so it seems adding a capacitance on top of that is bad.\r\n\r\nShould this be a warning too?"}, {"assistant": "I think it is really wrong that openlane writes liberty models by default in the flow and has no mechanism to override all of the sdc that it adds to a design. I stupid-proofed write_timing_model to ignore set_input_delay, set_output_delay, set_load, set_timing_derate in commit 384b13cd3"}]} +{"num": 2693, "messages": [{"user": "### Describe the bug\n\nRunning test case in src/mpl2/test causes assert to be thrown.\r\nTo reproduce:\r\nopenroad -gui\r\nsource mp_test1.tcl\r\n\r\nheight_list : 439.6 - 439.6\r\n/usr/include/c++/9/bits/stl_vector.h:1042: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\n\n### Expected Behavior\n\nNo crash. The tcl scripts in the test directories for each tool are incredibly useful to see what the tools do from the gui. Please if possible fix the little mp_test1.tcl to allow the new macro placer to be show cased.\n\n### Environment\n\n```shell\nandy@DESKTOP-LFCOIHA:~/openroad_latest/OpenROAD$ ./etc/Env.sh\r\nGit commit: bb4801b7457d04f2ddf27c202803dfbe5c8f324d\r\nkernel: Linux 5.10.16.3-microsoft-standard-WSL2\r\nos: Ubuntu 20.04.3 LTS (Focal Fossa)\r\ncmake version 3.14.0\r\ngcc (Ubuntu 9.3.0-17ubuntu1~20.04) 9.3.0\r\nclang version 10.0.0-4ubuntu1\n```\n\n\n### To Reproduce\n\nTo reproduce:\r\nopenroad -gui\r\nsource mp_test1.tcl\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-6284-ge41b1dcc4\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[ERROR STA-0511] cannot open 'mp1_test.tcl'.\r\n[INFO ODB-0222] Reading LEF file: ./Nangate45/Nangate45_tech.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0226] Finished LEF file: ./Nangate45/Nangate45_tech.lef\r\n[INFO ODB-0222] Reading LEF file: ./Nangate45/Nangate45.lef\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-1) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-2) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-3) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via1Array-4) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-1) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-2) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-3) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via2Array-4) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via3Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via3Array-1) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via3Array-2) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via4Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via5Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via6Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via7Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via8Array-0) ignoring...\r\n[WARNING ODB-0217] duplicate VIARULE (Via9Array-0) ignoring...\r\n[INFO ODB-0225] Created 135 library cells\r\n[INFO ODB-0226] Finished LEF file: ./Nangate45/Nangate45.lef\r\n[INFO ODB-0222] Reading LEF file: ./Nangate45/fake_macros.lef\r\n[INFO ODB-0225] Created 2 library cells\r\n[INFO ODB-0226] Finished LEF file: ./Nangate45/fake_macros.lef\r\n[WARNING STA-0160] ./Nangate45/fake_macros.lib line 32, default_max_transition is 0.0.\r\n[INFO ODB-0128] Design: mp_test1\r\n[INFO ODB-0252] Updated 8 pins.\r\n[INFO ODB-0253] Updated 10 components.\r\n[INFO MPL-2023] Floorplan Outline: (0.0, 0.0) (450.0, 450.0), Core Outline: (4 4 444 443)\r\nnum level: 3, max_macro: 100, min_macro: 0, max_inst:100000, min_inst:0\r\n[INFO MPL-0402] Traversed logical hierarchy\r\n Number of std cell instances : 0\r\n Area of std cell instances : 0.0\r\n Number of macros : 10\r\n Area of macros : 160000.0\r\n Total area : 160000.0\r\n Design Utilization : 0.82832885\r\n Core Utilization: 0.0\r\n\r\nremove cluster : L0, id: 1\r\nremove cluster : L2, id: 3\r\nremove cluster : T0, id: 4\r\nremove cluster : T1, id: 5\r\nremove cluster : T2, id: 6\r\nremove cluster : R0, id: 7\r\nremove cluster : R2, id: 9\r\nremove cluster : B0, id: 10\r\nremove cluster : B1, id: 11\r\nremove cluster : B2, id: 12\r\nFinish Creating Vertices. Num of Vertices: 40\r\nFinish hypergraph creation\r\nFinish Calculate Data Flow detailed CR done\r\n[Debug][HierRTLMP::MultiLevelCluster] root 1: num_macro 10 num_stdcell 0\r\n[Debug][HierRTLMP::MultiLevelCluster] root 2: num_macro 10 num_stdcell 0\r\n[Debug][HierRTLMP::MultiLevelCluster] root 3: num_macro 10 num_stdcell 0\r\n[Debug][HierRTLMP::BreakCluster] cluster_name : root\r\n[INFO MPL-2022]\r\n********************************************************************************\r\n[INFO] cluster_name : L1 cluster_id : 2\r\nnum_std_cell : 0 num_macro : 0\r\nwidth : 0.000000 height : 150.000000 location : ( 0.000000 , 150.000000 )\r\n\r\n[INFO MPL-2022]\r\n********************************************************************************\r\n[INFO] cluster_name : R1 cluster_id : 8\r\nnum_std_cell : 0 num_macro : 0\r\nwidth : 0.000000 height : 150.000000 location : ( 450.000000 , 150.000000 )\r\n\r\n[INFO MPL-2025] root (0) num_macro : 10 num_std_cell : 0 macro_area : 160000.000000 std_cell_area : 0.000000\r\ntot_num_macro_in_children = 0\r\n[INFO MPL-2025] +----L1 (2) num_macro : 0 num_std_cell : 0 macro_area : 0.000000 std_cell_area : 0.000000\r\ntot_num_macro_in_children = 0\r\n[INFO MPL-2025] +----R1 (8) num_macro : 0 num_std_cell : 0 macro_area : 0.000000 std_cell_area : 0.000000\r\ntot_num_macro_in_children = 0\r\n[INFO MPL-2025] root (0) num_macro : 10 num_std_cell : 0 macro_area : 160000.000000 std_cell_area : 0.000000\r\ntot_num_macro_in_children = 0\r\n[INFO MPL-2025] +----L1 (2) num_macro : 0 num_std_cell : 0 macro_area : 0.000000 std_cell_area : 0.000000\r\ntot_num_macro_in_children = 0\r\n[INFO MPL-2025] +----R1 (8) num_macro : 0 num_std_cell : 0 macro_area : 0.000000 std_cell_area : 0.000000\r\ntot_num_macro_in_children = 0\r\nroot\r\nwidth_list : 440.04 - 440.04\r\nheight_list : 439.6 - 439.6\r\n/usr/include/c++/9/bits/stl_vector.h:1042: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/9/bits/stl_vector.h:1042: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nRunning a test tcl from within the gui for the mpl2 to see how the new macro placer fares."}, {"assistant": "@abk-openroad fyi"}, {"assistant": "Will push the fix for this "}, {"assistant": "@andyfox-rushc \r\nWith latest master, able to run successfully. \r\n```\r\nboundary_h_th : 100.0, boundary_v_th : 110.0\r\nnotch_h_th : 125.0, notch_v_th : 137.5\r\nnumber of updated macros : 10\r\nnumber of macros in HardMacroCluster : 10\r\nDifferences found at line 342.\r\n - U1 HM_100x400_4x4 + FIXED ( 19880 17640 ) N ;\r\n - U1 HM_100x400_4x4 + FIXED ( 19880 18480 ) N ;\r\n \r\n```\r\nPlease update your build and try again."}]} +{"num": 2709, "messages": [{"user": "### Describe the bug\n\nI have a PR where the clock skew got better, but is now negative:\r\n\r\n```\r\n- ,\"DRT::clock_skew\" : \"0.036251402905609166\"\r\n+ ,\"DRT::clock_skew\" : \"-0.028153347117122647\"\r\n```\r\n\r\nThis is failing even though the metric limit is larger (positive):\r\n\r\n```\r\n\"DRT::clock_skew\" : \"0.036251402905609166\"\r\n````\r\n\r\nFurthermore, even after running save_flow_metrics_limits it still fails.\n\n### Expected Behavior\n\nA comparison of the absolute values of the clock_skew is done, the test passes, and save_flow_metrics_limits does the right thing.\n\n### Environment\n\n```shell\nos: Fedora Linux 36 (Workstation Edition)\r\ncmake version 3.25.1\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 14.0.5 (Fedora 14.0.5-2.fc36)\n```\n\n\n### To Reproduce\n\nThe PR exhibiting this issue is https://github.com/The-OpenROAD-Project/OpenROAD/pull/2616. I think it is cursed.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I am mildly confused about how clock skew can be negative at all? It should be the max difference in arrival times of clocks to endpoints, which I would expect to be an absolute value."}, {"user": "> I am mildly confused about how clock skew can be negative at all? It should be the max difference in arrival times of clocks to endpoints, which I would expect to be an absolute value.\r\n\r\nIt confused me too. I can understand how a single endpoint might have negative clock skew but wasn't sure if the overall clock skew metric can be negative or not. @jjcherry56 might be able to help. "}, {"assistant": "report_clock_skew reports the maximum difference in clock arrival between every source and target register that has a path between the source and target. It is ACTUAL skew for a path in the design. Using max difference in arrival of the clock at endpoints and startpoints (NOT just endpoints) is more speculative because there may not be a path between those registers. If you look at the result of report_clock_skew rather than the abstracted number in the metrics it is more obvious:\r\n\r\nLatency CRPR Skew\r\nr1/CP ^\r\n 2.42\r\nr3/CP ^\r\n 2.61 -0.71 -0.90"}, {"assistant": "@antonblanchard is anything more needed here? "}, {"assistant": "I think the simplest thing is to report the abs of the current value. The sign isn't very interesting at the level of metrics. This will require updating the current metrics files (@vvbandeira )"}, {"assistant": "> I think the simplest thing is to report the abs of the current value. The sign isn't very interesting at the level of metrics. This will require updating the current metrics files (@vvbandeira )\r\n\r\nWe track clock skew, but it is not part of the CI rules. Thus, there's no need to update current metrics files.\r\n\r\nI believe the only change required is to add a cast to an absolute value in [this line](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/Metrics.tcl#L51). If this is the path we decide on, I can create the PR; let me know."}, {"assistant": "@antonblanchard said he will take care of this update"}, {"user": "This was fixed in https://github.com/The-OpenROAD-Project/OpenROAD/commit/399b2c9dc597627f80fbcd383158d8492001a594"}]} +{"num": 2711, "messages": [{"user": "### Describe the bug\n\nI'm trying explore rtl-mpl2 features with OpenROAD. \r\nIf I try to use regular `macro_placement` it works fine. \r\nIf I use `rtl_macro_placer` it fails.\n\n### Expected Behavior\n\nComplete `rtl_macro_placer` with sram macro placement\n\n### Environment\n\n```shell\nGit commit: bc67783cb6d819f39828a6a511ae771c9e2f083f\r\nkernel: Linux 3.10.0-1160.49.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\ngcc (GCC) 4.8.5 20150623 (Red Hat 4.8.5-44)\r\n./etc/Env.sh: line 45: clang: command not found\n```\n\n\n### To Reproduce\n\n[RTLMP_Testcase.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10360093/RTLMP_Testcase.zip)\r\n1. Unzip the testcase\r\n2. cd RTLMP_Testcase\r\n3. openroad > source user_wrapper1.tcl\n\n### Relevant log output\n\n```shell\n[INFO MPL-2023] Floorplan Outline: (0.0, 0.0) (2880.0, 3480.0), Core Outline: (5 10 2874 3468)\r\nnum level: 2, max_macro: 160, min_macro: 40, max_inst:20000000, min_inst:50000\r\n[INFO MPL-0402] Traversed logical hierarchy\r\n\tNumber of std cell instances : 107685\r\n\tArea of std cell instances : 1165220.1\r\n\tNumber of macros : 11\r\n\tArea of macros : 3036097.25\r\n\tTotal area : 4201317.5\r\n\tDesign Utilization : 0.42347714\r\n\tCore Utilization: 0.16924272\r\n\r\n[ERROR MPL-2024] Floorplan has not been initialized? Pin location error.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "This error typically means that there is some issue with pin placement. Will look at the test case and get back to you"}, {"assistant": "The pins stick out of the block bounds:\r\n![image](https://user-images.githubusercontent.com/761514/211225333-d9554f6d-9b32-4aaa-aedf-64e382e2f534.png)\r\n\r\nmpl2 seems to assume they will align to the edges. I tried fixing it but then hit a different crash."}, {"assistant": "@ravi-varadarajan I fixed the issue with the pin but there is a subsequent crash. It appears to be related to having all macros in the top most verilog module"}, {"assistant": "@vijayank88 @maliberty I have isolated the issue in this test case. The fundamental cause is that the synthesis was done flat all std cells and macros are at the top level of the hierarchy. For ideal use of RTLMP there should be hierarchy in the synthesized netlist as it uses it optimize the clustering and grouping of hard macros. However this corner case should be handled without failure too.\r\n\r\nYou can either use Yosys to generate the hierarchical netlist (look at nangate45 black_parrot) in ORFS or even use a cached gate level netlist. \r\n\r\nThe fix for the corner case will be in a PR that I will merge very shortly\r\nthanks"}, {"assistant": "Fixed this issue in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2797\r\n"}]} +{"num": 2715, "messages": [{"user": "### Describe the bug\n\nGenerating metrics with infinite values generates invalid JSON files.\r\n\r\n![Screen Shot 2023-01-07 at 9 36 07 PM](https://user-images.githubusercontent.com/12652988/211165583-1202593c-91dd-472f-b0cf-3e2670a50677.png)\r\n\r\n\r\nJSON generally does not have full support for IEEE754 and infinite values are not allowed. I'm\u2026 not sure what the alternative here would be exactly but compliant JSON parsers will reject the output file.\r\n\r\n\n\n### Expected Behavior\n\nA parseable JSON file.\r\n\r\nCan the values be intercepted and dumped as strings, \"+Infinity\" or \"-Infinity\", perhaps?\n\n### Environment\n\n```shell\nGit commit: bc67783cb6d819f39828a6a511ae771c9e2f083f\r\nkernel: Linux 5.15.0-1025-gcp\r\nos: Ubuntu 20.04.4 LTS (Focal Fossa)\r\ncmake version 3.22.2\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\n```\n\n\n### To Reproduce\n\n[repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10366981/repro.tar.gz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort I think you made this change"}, {"assistant": "I've filed a PR to fix it since we were having the same issue. Just pending approval"}, {"assistant": "#2712 is the PR for reference "}, {"assistant": "Actually looking at this, I think this might be a divide by 0 issue."}, {"assistant": "It is which I'll fix. "}, {"assistant": "I'm okay with #2721 if the metrics logger needs to be augmented we can revisit"}]} +{"num": 2716, "messages": [{"user": "### Describe the bug\n\nWhen I added `check_setup` to my flow before reading the floorplan DEF, `report_checks` causes a segfault, while if I don't include the `check_setup`, it does not segfault\n\n### Expected Behavior\n\nNo segfault\n\n### Environment\n\n```shell\nGit commit: bc67783cb6d819f39828a6a511ae771c9e2f083f\r\nkernel: Linux 5.15.79.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.0\r\ngcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0\r\nUbuntu clang version 14.0.0-1ubuntu1\n```\n\n\n### To Reproduce\n\n[floorplan_gcd_sky130hd_base_2023-01-07_16-21.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10367228/floorplan_gcd_sky130hd_base_2023-01-07_16-21.tar.gz)\r\n./run-me-gcd-sky130hd-base.sh\r\n\n\n### Relevant log output\n\n```shell\n==========================================================================\r\nfloorplan final check_setup\r\n--------------------------------------------------------------------------\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000558EC44933BB in /usr/local/bin/openroad\r\n 1# 0x00007F2F9CC6E520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# std::_Rb_tree, sta::VertexIdLess, std::allocator >::_M_get_insert_unique_pos(sta::Vertex* const&) in /usr/local/bin/openroad\r\n 3# std::pair, bool> std::_Rb_tree, sta::VertexIdLess, std::allocator >::_M_insert_unique(sta::Vertex*&&) in /usr/local/bin/openroad\r\n 4# sta::Search::findInputDrvrVertices(sta::VertexSet&) in /usr/local/bin/openroad\r\n 5# sta::Search::seedArrivals() in /usr/local/bin/openroad\r\n 6# sta::Search::findArrivals1() in /usr/local/bin/openroad\r\n 7# sta::Search::findArrivals(int, sta::VertexVisitor*) in /usr/local/bin/openroad\r\n 8# sta::Search::findAllArrivals(sta::VertexVisitor*) in /usr/local/bin/openroad\r\n 9# sta::Sta::checkTiming(bool, bool, bool, bool, bool, bool, bool) in /usr/local/bin/openroad\r\n10# 0x0000558EC469D537 in /usr/local/bin/openroad\r\n11# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# 0x00007F2FA0A85028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /usr/local/bin/openroad\r\n16# ord::tclAppInit(Tcl_Interp*) in /usr/local/bin/openroad\r\n17# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# main in /usr/local/bin/openroad\r\n19# 0x00007F2F9CC55D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n20# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n21# _start in /usr/local/bin/openroad\r\nCommand terminated by signal 11\r\nElapsed time: 0:00.29[h:]min:sec. CPU time: user 0.26 sys 0.02 (99%). Peak memory: 106748KB.\r\nmake: *** [Makefile:420: results/sky130hd/gcd/base/2_1_floorplan.odb] Error 139\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "can't reproduce on macos or linux with the same commit or the ORD head"}, {"user": "@jjcherry56 I'll see if I'm able to narrow it down. I just updated the current head and am still getting it."}, {"assistant": "I get it as well."}, {"assistant": "It happens when compiled debug. When run under gdb the problem goes away which suggests uninit memory. Valgrind shows\r\n```\r\n--------------------------------------------------------------------------\r\n==255197== Invalid read of size 2\r\n==255197== at 0x3D26F4C: sta::Vertex::objectIdx() const (Graph.hh:335)\r\n==255197== by 0x3D277CF: sta::ObjectTable::objectId(sta::Vertex const*) (ObjectTable.hh:157)\r\n==255197== by 0x3D23515: sta::Graph::id(sta::Vertex const*) const (Graph.cc:363)\r\n==255197== by 0x3D26E79: sta::VertexIdLess::operator()(sta::Vertex const*, sta::Vertex const*) const (Graph.cc:1611)\r\n==255197== by 0x3BB3A40: std::_Rb_tree, sta::VertexIdLess, std::allocator >::_M_get_insert_unique_pos(sta::Vertex* const&) (stl_tree.h:2054)\r\n==255197== by 0x3E90AA4: std::pair, bool> std::_Rb_tree, sta::VertexIdLess, std::allocator >::_M_insert_unique(sta::Vertex*&&) (stl_tree.h:2106)\r\n==255197== by 0x3E8F071: std::set >::insert(sta::Vertex*&&) (stl_set.h:520)\r\n==255197== by 0x3E85211: sta::Search::findInputDrvrVertices(sta::VertexSet&) (Search.cc:1620)\r\n==255197== by 0x3E83E56: sta::Search::seedArrivals() (Search.cc:1366)\r\n==255197== by 0x3E82406: sta::Search::findArrivals1() (Search.cc:1002)\r\n==255197== by 0x3E822AC: sta::Search::findArrivals(int, sta::VertexVisitor*) (Search.cc:982)\r\n==255197== by 0x3E820D4: sta::Search::findAllArrivals(sta::VertexVisitor*) (Search.cc:940)\r\n==255197== Address 0x24 is not stack'd, malloc'd or (recently) free'd\r\n==255197== \r\nSignal 11 received\r\n```"}, {"assistant": "@jjcherry56 is that sufficient for you to take over?"}]} +{"num": 2724, "messages": [{"user": "### Description\r\n\r\nI am trying to express a constraint on the ordering of pins using ```set_io_pin_constraint```, but leave the actual position to be optimized.\r\n\r\n### Proposal\r\n\r\nIdea on how to express ordering, add a parameter that respects the ordering of the pins in increasing x/y respectively.\r\n\r\n```\r\nset_io_pin_constraint -region up:* -pin_names foo -order\r\n```"}, {"assistant": "@oharboe Just to clarify, the pins don't need to be adjacent, right?"}, {"user": "> @oharboe Just to clarify, the pins don't need to be adjacent, right?\r\n\r\nWhat I'm really trying to do is illustrated in this pull request with the designs/asap7/mock-array-big/config.mk.\r\n\r\nI'm creating an array of macros and I want the pins to align to the neighbouring macros: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/743\r\n\r\nIn the example, all the macros are identical, but that's just for simplification, the macros could have identical routing, but different content/function.\r\n\r\nWhat I want is to have a symmetry in the design where I can create macros that are placed next to eachother and where the routing is done at the edges of the macros only, no separate routing layer on top of the macros as the routing is done inside the macros.\r\n\r\n\r\n\r\n"}, {"user": "So in light of the above, pins should not be ordered, but paired up in x or y position.\r\n\r\nA named pin on the left should have the same y position as a paired pin on the right.\r\n\r\nSame for top/bottom.\r\n\r\nSo perhaps an argument with an list of pairs to names?"}, {"assistant": "This sounds like an easy update. I can devise a quick implementation for you to test and check if it satisfies your requirements."}, {"user": "> This sounds like an easy update. I can devise a quick implementation for you to test and check if it satisfies your requirements.\r\n\r\nGreat!\r\n\r\nThe next thing I'm going to ask is how to be able to access the layout of pins in one macro and use it in other macros. The macros are not all of the same type, or shape.\r\n\r\nAn illustration of possibilities, not a real example, would be:\r\n\r\n```\r\nAAAA\r\nAAAA\r\nAAAA\r\nBBBB\r\nCCCC\r\nDDEE\r\nFFFFF\r\n```\r\n\r\nHere the edges that must mirror are:\r\n\r\nA left-right A\r\nA top-bottom A\r\nA bottom-top B\r\nB left-right B\r\nB bottom-top C\r\nC bottom-top D\r\nC bottom-top E\r\nD bottom - top F\r\nE bottom - top F\r\nF left-right F\r\n"}, {"assistant": "@oharboe Could you try this branch: https://github.com/eder-matheus/OpenROAD/tree/ppl_mirrored_pins? You can check a sample on how to use the new option for the constraints command here: https://github.com/eder-matheus/OpenROAD/blob/ppl_mirrored_pins/src/ppl/test/add_constraint9.tcl#L6\r\n\r\nI choose the name \"-mirrored_pins\" for now. If you have a suggestion for a better name, please let me know."}, {"user": "@eder-matheus I tried, but got an error:\r\n\r\nhttps://github.com/Pinata-Consulting/OpenROAD-flow-scripts/tree/mirrored-pins\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk place\r\n[deleted]\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[ERROR GRT-0080] Invalid pin placement.\r\nError: global_place.tcl, 49 GRT-0080\r\nElapsed time: 0:01.14[h:]min:sec. CPU time: user 1.10 sys 0.04 (99%). Peak memory: 192448KB.\r\nm\r\n```"}, {"assistant": "Could you provide a testcase with make io_placement_issue?"}, {"user": "> Could you provide a testcase with make io_placement_issue?\r\n\r\n[io_placement_mock-array-big_Element_asap7_base_2023-01-03_19-58.tar.gz](https://github.com/The-OpenROAD-Project/OpenLane/files/10339447/io_placement_mock-array-big_Element_asap7_base_2023-01-03_19-58.tar.gz)\r\n\r\n\r\n\r\n"}, {"assistant": "@oharboe Sorry for my delay, but the error should be fixed now. Could you try the same branch again? I'm also looking at some weird behavior where some mirrored pins don't look optimized. I'll keep you updated on it too."}, {"assistant": "@oharboe FYI, I've fixed the optimization issue. You should have good results now, and your testcase is not failing anymore."}, {"user": "> @oharboe FYI, I've fixed the optimization issue. You should have good results now, and your testcase is not failing anymore.\r\n\r\nSegfault:\r\n\r\n```\r\nUsing 2 tracks default min distance between IO pins.\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = ppl::Slot; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = ppl::Slot&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000562F8D071B9B in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F6CE423BCF0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x0000562F8D06FBA8 in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# ppl::HungarianMatching::getFinalAssignment(std::vector >&, std::unordered_map, std::equal_to, std::allocator > >&, bool) const in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# ppl::IOPlacer::findPinAssignment(std::vector >&) in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# ppl::IOPlacer::run(bool) in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# 0x0000562F8D1F77F7 in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# 0x00007F6CE874D028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n15# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n16# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# main in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# 0x00007F6CE4223510 in /lib/x86_64-linux-gnu/libc.so.6\r\n19# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n20# _start in /home/oyvind/ascenium/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\nCommand terminated by signal 6\r\nElapsed time: 0:01.06[h:]min:sec. CPU time: user 0.97 sys 0.03 (94%). Peak memory: 180736KB.\r\nmake: *** [Makefile:497: results/asap7/mock-array-big_Element/base/3_2_place_iop.odb] Error 134\r\n```\r\n\r\nUpdated issue file:\r\n\r\n[io_placement_mock-array-big_Element_asap7_base_2023-01-06_08-47.tar.gz](https://github.com/The-OpenROAD-Project/OpenLane/files/10358480/io_placement_mock-array-big_Element_asap7_base_2023-01-06_08-47.tar.gz)\r\n\r\n"}, {"user": "Another thing: I think it would be better to have a syntax that is more Tcl idiomatic, e.g. a list of pairs.\r\n\r\nIf we look at other commands:\r\n\r\n```\r\nadd_pdn_connect -grid {top} -layers {M1 M2}\r\n```\r\n\r\nHere the list is a Tcl list.\r\n\r\nSo the mirror pins would be something like:\r\n\r\n```\r\n-mirror_pins {a b c d}\r\n```\r\n\r\n\r\nThis would simplify the implementation, no need for regex to parse the arguments. Also, it simplifies the calling code, such as in my case."}, {"assistant": "@oharboe, I've updated the branch with the syntax you suggested for the option. I can't reproduce the segfault on my end with the attached testcase. Are you using the env var `PLACE_PINS_ARGS` in your run? It was not loaded on the .tar file."}, {"user": "> @oharboe, I've updated the branch with the syntax you suggested for the option. \r\n\r\nThanks!\r\n\r\n>I can't reproduce the segfault on my end with the attached testcase. Are you using >the env var `PLACE_PINS_ARGS` in your run? It was not loaded on the .tar file.\r\n\r\nNo, I'm not using PLACE_PIN_ARGS, it is not as flexible as a .tcl script."}, {"assistant": "> No, I'm not using PLACE_PIN_ARGS, it is not as flexible as a .tcl script.\r\n\r\nI see. Let me try running the whole flow using your branch."}, {"assistant": "@oharboe Running the flow in a CentOS machine doesn't fail during pin placement. It is currently in detailed routing, with about 200 violations in the last iterations. Could you try it again with the latest commit in my branch?"}, {"user": "> @oharboe Running the flow in a CentOS machine doesn't fail during pin placement. It is currently in detailed routing, with about 200 violations in the last iterations. Could you try it again with the latest commit in my branch?\r\n\r\nDid u modify my code to match the new syntax?"}, {"assistant": "> Did u modify my code to match the new syntax?\n\nYes, I did."}, {"user": "![image](https://user-images.githubusercontent.com/2798822/211089912-bde2a1a3-c124-4354-be00-4af5c48d2cdc.png)\r\n\r\nWorks :-)\r\n\r\nThe pins are mirrored here as you can see...\r\n\r\nI updated my test-branch to new syntax: https://github.com/Pinata-Consulting/OpenROAD-flow-scripts/tree/mirrored-pins"}, {"user": "Now... soon as I have this, I want to copy the layout of the pins to a different macro that has the same interface, but different contents.\r\n\r\nI would be very interested in a .tcl snippet to do so or at least some pointers on how to do so..."}, {"assistant": "> Now... soon as I have this, I want to copy the layout of the pins to a different macro that has the same interface, but different contents.\r\n> \r\n> I would be very interested in a .tcl snippet to do so or at least some pointers on how to do so...\r\n\r\nI'm not sure if I understand your scenario. Do you have something like a DEF file where your different macro is described? If that's the case, you can write a DEF and copy the PINS section to the DEF of your macro."}, {"user": "> > Now... soon as I have this, I want to copy the layout of the pins to a different macro that has the same interface, but different contents.\r\n> > I would be very interested in a .tcl snippet to do so or at least some pointers on how to do so...\r\n> \r\n> I'm not sure if I understand your scenario. Do you have something like a DEF file where your different macro is described? If that's the case, you can write a DEF and copy the PINS section to the DEF of your macro.\r\n\r\nI have two macros I'm making in OpenROAD and I want the pin layouts to be identical, because I want to use them in an array.\r\n\r\nAlso, I'd like to automate the layout.\r\n\r\nHow can I use OpenROAD to automate the layout?\r\n\r\nPersumably I can load the .odb file for the first macro in the .tcl file that places pins in the second?\r\n\r\nTo recap, this is the example I have in mind: https://github.com/The-OpenROAD-Project/OpenROAD/issues/2724\r\n\r\n"}, {"assistant": "You could use read_def -floorplan_initialize to copy over the data from one block to another."}, {"user": "> You could use read_def -floorplan_initialize to copy over the data from one block to another.\r\n\r\nI can't just copy everything, if you see in https://github.com/The-OpenROAD-Project/OpenROAD/issues/2724, I need to copy the positions of pins on horizontal or vertical edges.\r\n\r\nShould I be able to write a bit of .tcl to do that?"}, {"assistant": "You could use the apis from db.h (in tcl) to get/set the pin posititions."}, {"user": "@eder-matheus So, then I think this feature is complete. I should be able to copy the mirrored pins from one macro in the vertical or horizontal direction.\r\n\r\nAs a separate feature, it would be nice to be able to specify the ordering of the pins."}, {"assistant": "> @eder-matheus So, then I think this feature is complete. I should be able to copy the mirrored pins from one macro in the vertical or horizontal direction.\r\n> \r\n> As a separate feature, it would be nice to be able to specify the ordering of the pins.\r\n\r\nOkay, I'll merge the mirrored pins option and start working on ordering pins. It may take a while since it is more complex, but I'll keep you updated."}, {"user": "> > @eder-matheus So, then I think this feature is complete. I should be able to copy the mirrored pins from one macro in the vertical or horizontal direction.\r\n> > As a separate feature, it would be nice to be able to specify the ordering of the pins.\r\n> \r\n> Okay, I'll merge the mirrored pins option and start working on ordering pins. It may take a while since it is more complex, but I'll keep you updated.\r\n\r\nExcellent!\r\n\r\n"}, {"assistant": "I'll move this to OR as this is mostly OR-related for now- when the feature's ready, can you just ping me? I'll merge OR and try to surface this in OL."}, {"user": "@eder-matheus I need to investigate more, but I'm getting an assert:\r\n\r\n```\r\nError: io_placement.tcl, 13 vector::_M_range_check: __n (which is 1073743116) >= this->size() (which is 4936)\r\n```\r\n"}, {"assistant": "> @eder-matheus I need to investigate more, but I'm getting an assert:\r\n> \r\n> ```\r\n> Error: io_placement.tcl, 13 vector::_M_range_check: __n (which is 1073743116) >= this->size() (which is 4936)\r\n> ```\r\n\r\nCould you provide a testcase?"}, {"user": "> > @eder-matheus I need to investigate more, but I'm getting an assert:\r\n> > ```\r\n> > Error: io_placement.tcl, 13 vector::_M_range_check: __n (which is 1073743116) >= this->size() (which is 4936)\r\n> > ```\r\n> \r\n> Could you provide a testcase?\r\n\r\nI quickly tried this simple test-case and it doesn't fail and the pins are placed as expected.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/764"}, {"assistant": "Coverity reported this morning:\r\n```\r\n** CID 1502481: Error handling issues (NEGATIVE_RETURNS)\r\n/src/ppl/src/HungarianMatching.cpp: 152 in ppl::HungarianMatching::getFinalAssignment(std::vector> &, std::unordered_map, std::equal_to, std::allocator>> &, bool) const()\r\n\r\n\r\n________________________________________________________________________________________________________\r\n*** CID 1502481: Error handling issues (NEGATIVE_RETURNS)\r\n/src/ppl/src/HungarianMatching.cpp: 152 in ppl::HungarianMatching::getFinalAssignment(std::vector> &, std::unordered_map, std::equal_to, std::allocator>> &, bool) const()\r\n146 odb::Point mirrored_pos = core_->getMirroredPosition(io_pin.getPos());\r\n147 mirrored_pin.setPos(mirrored_pos);\r\n148 mirrored_pin.setLayer(slots_[slot_index].layer);\r\n149 mirrored_pin.setPlaced();\r\n150 assigment.push_back(mirrored_pin);\r\n151 slot_index = getSlotIdxByPosition(mirrored_pos);\r\n>>> CID 1502481: Error handling issues (NEGATIVE_RETURNS)\r\n>>> \"slot_index\" is passed to a parameter that cannot be negative. [Note: The source code implementation of the function has been overridden by a builtin model.]\r\n152 slots_[slot_index].used = true;\r\n153 }\r\n154 break;\r\n155 }\r\n156 col++;\r\n157 }\r\n```\r\n\r\nperhaps it is related"}, {"user": "> Coverity reported this morning:\r\n> \r\n> ```\r\n> ** CID 1502481: Error handling issues (NEGATIVE_RETURNS)\r\n> /src/ppl/src/HungarianMatching.cpp: 152 in ppl::HungarianMatching::getFinalAssignment(std::vector> &, std::unordered_map, std::equal_to, std::allocator>> &, bool) const()\r\n> \r\n> \r\n> ________________________________________________________________________________________________________\r\n> *** CID 1502481: Error handling issues (NEGATIVE_RETURNS)\r\n> /src/ppl/src/HungarianMatching.cpp: 152 in ppl::HungarianMatching::getFinalAssignment(std::vector> &, std::unordered_map, std::equal_to, std::allocator>> &, bool) const()\r\n> 146 odb::Point mirrored_pos = core_->getMirroredPosition(io_pin.getPos());\r\n> 147 mirrored_pin.setPos(mirrored_pos);\r\n> 148 mirrored_pin.setLayer(slots_[slot_index].layer);\r\n> 149 mirrored_pin.setPlaced();\r\n> 150 assigment.push_back(mirrored_pin);\r\n> 151 slot_index = getSlotIdxByPosition(mirrored_pos);\r\n> >>> CID 1502481: Error handling issues (NEGATIVE_RETURNS)\r\n> >>> \"slot_index\" is passed to a parameter that cannot be negative. [Note: The source code implementation of the function has been overridden by a builtin model.]\r\n> 152 slots_[slot_index].used = true;\r\n> 153 }\r\n> 154 break;\r\n> 155 }\r\n> 156 col++;\r\n> 157 }\r\n> ```\r\n> \r\n> perhaps it is related\r\n\r\nIf this can be fixed first, I will retry. I failed to put together a testcase based upon my example after a few fleeting vain attempts.... "}, {"assistant": "@oharboe @maliberty PR with a possible fix here: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2726"}, {"user": "> @oharboe @maliberty PR with a possible fix here: #2726\r\n\r\nStill crashes:\r\n\r\n```\r\n[deleted]\r\n[INFO PPL-0048] Restrict pins [abc[7]] to region 0.0u-114.74u at the right edge.\r\n[INFO PPL-0048] Restrict pins [def[7]] to region 0.0u-114.74u at the left edge.\r\n[INFO PPL-0080] Mirroring pins abc[7] and def[7].\r\nFound 1 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0010] Tentative 0 to set up sections.\r\n[INFO PPL-0001] Number of slots 4936\r\n[INFO PPL-0002] Number of I/O 611\r\n[INFO PPL-0003] Number of I/O w/sink 611\r\n[INFO PPL-0004] Number of I/O w/o sink 10\r\n[INFO PPL-0005] Slots per section 200\r\n[INFO PPL-0006] Slots increase factor 0.01\r\n[INFO PPL-0009] Unsuccessfully assigned pins to sections (617 out of 611).\r\n[deleted]\r\n[WARNING PPL-0037] Number of slots per sections is 3181945 while the maximum recommended value is 600 this may negatively affect performance.\r\n[INFO PPL-0010] Tentative 999 to set up sections.\r\n[INFO PPL-0001] Number of slots 4936\r\n[INFO PPL-0002] Number of I/O 611\r\n[INFO PPL-0003] Number of I/O w/sink 611\r\n[INFO PPL-0004] Number of I/O w/o sink 10\r\n[INFO PPL-0005] Slots per section 3181945\r\n[INFO PPL-0006] Slots increase factor 0.01\r\n[INFO PPL-0009] Unsuccessfully assigned pins to sections (616 out of 611).\r\n[WARNING PPL-0037] Number of slots per sections is 3213764 while the maximum recommended value is 600 this may negatively affect performance.\r\n[INFO PPL-0010] message limit reached, this message will no longer print\r\n[INFO PPL-0001] message limit reached, this message will no longer print\r\n[INFO PPL-0002] message limit reached, this message will no longer print\r\n[INFO PPL-0003] message limit reached, this message will no longer print\r\n[INFO PPL-0004] message limit reached, this message will no longer print\r\n[INFO PPL-0005] message limit reached, this message will no longer print\r\n[INFO PPL-0006] message limit reached, this message will no longer print\r\n[INFO PPL-0009] message limit reached, this message will no longer print\r\n[WARNING PPL-0037] Number of slots per sections is 3245901 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3278360 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3311143 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3344254 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3377696 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3411473 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3445587 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3480042 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3514842 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3549990 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3585489 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3621343 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3657556 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3694131 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3731072 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3768382 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3806065 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3844125 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3882566 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3921391 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 3960604 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4000210 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4040212 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4080614 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4121420 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4162634 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4204260 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4246302 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4288765 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4331652 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4374968 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4418717 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4462904 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4507533 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4552608 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4598134 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4644115 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4690556 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4737461 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4784835 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4832683 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4881010 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4929820 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 4979118 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5028909 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5079198 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5129990 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5181290 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5233103 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5285434 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5338288 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5391671 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5445587 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5500043 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5555043 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5610593 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5666699 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5723366 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5780599 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5838405 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5896789 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 5955757 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6015314 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6075467 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6136221 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6197583 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6259559 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sectio[INFO PPL-0048] Restrict pins [busin_read_address[7]] to region 0.0u-114.74u at the right edge.\r\n[INFO PPL-0048] Restrict pins [busout_read_address[7]] to region 0.0u-114.74u at the left edge.\r\n[INFO PPL-0080] Mirroring pins busin_read_address[7] and busout_read_address[7].\r\nFound 1 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0010] Tentative 0 to set up sections.\r\n[INFO PPL-0001] Number of slots 4936\r\n[INFO PPL-0002] Number of I/O 611\r\n[INFO PPL-0003] Number of I/O w/sink 611\r\n[INFO PPL-0004] Number of I/O w/o sink 10\r\n[INFO PPL-0005] Slots per section 200\r\n[INFO PPL-0006] Slots increase factor 0.01\r\n[INFO PPL-0009] Unsuccessfully assigned pins to sections (617 out of 611).\r\nns is 6322154 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6385375 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6449228 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6513720 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6578857 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6644645 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6711091 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6778202 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6845984 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6914444 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 6983588 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7053424 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7123958 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7195197 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7267149 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7339820 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7413218 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7487350 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7562223 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7637845 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7714223 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7791365 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7869278 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 7947970 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8027449 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8107723 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8188800 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8270688 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8353395 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8436929 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8521298 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8606511 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8692576 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8779502 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8867297 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 8955970 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9045530 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9135985 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9227345 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9319618 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9412814 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9506942 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9602011 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9698031 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9795011 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9892961 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 9991891 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10091810 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10192728 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10294655 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10397601 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10501577 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10606593 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10712659 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10819785 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 10927983 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 11037263 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 11147636 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 11259112 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 11371703 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] Number of slots per sections is 11485420 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] message limit reached, this message will no longer print\r\nError: io_placement.tcl, 13 vector::_M_range_check: __n (which is 1073743116) >= this->size() (which is 4936)\r\n```\r\n\r\nI can't share the design I have the failure in and it doesn't happen in my simpler example. That's the information I have so far.\r\n\r\n```\r\nset_io_pin_constraint -region right:* -pin_names {abc[7]}\r\nset_io_pin_constraint -region left:* -pin_names {def[7]}\r\n# if I comment out the line below, it no longer crashes.\r\nset_io_pin_constraint -mirrored_pins {{abc[7]} {def[7]}}\r\n```\r\n\r\nNote that the above happens, even if I use top/bottom where I only have three pins: clock + the two above.\r\n\r\n\r\nGuess from debugging, no mirrored pins assigned:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/211639636-bcf88e66-7957-4f34-99b6-4d03251ee87b.png)\r\n\r\n\r\n"}, {"user": "@eder-matheus This looks suspicious. Since we have assigned more pins than the total, the loop below never terminates...\r\n\r\n```\r\n[INFO PPL-0009] Unsuccessfully assigned pins to sections (617 out of 611).\r\n```\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/211647313-e1b88d2b-0eb8-4b9e-aacf-db9e7df6fa83.png)\r\n\r\n"}, {"user": "Shooting from the hip, worked on my design: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2727"}, {"assistant": "> Shooting from the hip, worked on my design: #2727\r\n\r\nThanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it."}, {"user": "> > Shooting from the hip, worked on my design: #2727\r\n> \r\n> Thanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it.\r\n\r\n@eder-matheus Can a testcase be deviced?\r\n\r\nSeems like testcases should catch this?"}, {"assistant": "> > > Shooting from the hip, worked on my design: #2727\r\n> > \r\n> > \r\n> > Thanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it.\r\n> \r\n> @eder-matheus Can a testcase be deviced?\r\n> \r\n> Seems like testcases should catch this?\r\n\r\nYes, I'll make a testcase. Can I push it to your PR?"}, {"user": "> > > > Shooting from the hip, worked on my design: #2727\r\n> > > \r\n> > > \r\n> > > Thanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it.\r\n> > \r\n> > \r\n> > @eder-matheus Can a testcase be deviced?\r\n> > Seems like testcases should catch this?\r\n> \r\n> Yes, I'll make a testcase. Can I push it to your PR?\r\n\r\nYou can just create a new PR based on mine and close my PR, I don't think you can push to my git repository."}, {"assistant": "> > > > > Shooting from the hip, worked on my design: #2727\r\n> > > > \r\n> > > > \r\n> > > > Thanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it.\r\n> > > \r\n> > > \r\n> > > @eder-matheus Can a testcase be deviced?\r\n> > > Seems like testcases should catch this?\r\n> > \r\n> > \r\n> > Yes, I'll make a testcase. Can I push it to your PR?\r\n> \r\n> You can just create a new PR based on mine and close my PR, I don't think you can push to my git repository.\r\n\r\nOk. Let's merge your PR to keep in the history the update came from you and I can create a PR for the unit test."}, {"user": "> > > > > > Shooting from the hip, worked on my design: #2727\r\n> > > > > \r\n> > > > > \r\n> > > > > Thanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it.\r\n> > > > \r\n> > > > \r\n> > > > @eder-matheus Can a testcase be deviced?\r\n> > > > Seems like testcases should catch this?\r\n> > > \r\n> > > \r\n> > > Yes, I'll make a testcase. Can I push it to your PR?\r\n> > \r\n> > \r\n> > You can just create a new PR based on mine and close my PR, I don't think you can push to my git repository.\r\n> \r\n> Ok. Let's merge your PR to keep in the history the update came from you and I can create a PR for the unit test.\r\n\r\nGotit. I saw it is in master now. Note that I'm still getting the same infinite loop error, I guess there are more ways to configure pins that trigger this sort of bug.\r\n\r\nI suspect you'll find a few with your test-cases..."}, {"assistant": "> > > > > > > Shooting from the hip, worked on my design: #2727\r\n> > > > > > \r\n> > > > > > \r\n> > > > > > Thanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it.\r\n> > > > > \r\n> > > > > \r\n> > > > > @eder-matheus Can a testcase be deviced?\r\n> > > > > Seems like testcases should catch this?\r\n> > > > \r\n> > > > \r\n> > > > Yes, I'll make a testcase. Can I push it to your PR?\r\n> > > \r\n> > > \r\n> > > You can just create a new PR based on mine and close my PR, I don't think you can push to my git repository.\r\n> > \r\n> > \r\n> > Ok. Let's merge your PR to keep in the history the update came from you and I can create a PR for the unit test.\r\n> \r\n> Gotit. I saw it is in master now. Note that I'm still getting the same infinite loop error, I guess there are more ways to configure pins that trigger this sort of bug.\r\n> \r\n> I suspect you'll find a few with your test-cases...\r\n\r\nCould you share the configurations that are generating the infinite loops? "}, {"user": "> > > > > > > > Shooting from the hip, worked on my design: #2727\r\n> > > > > > > \r\n> > > > > > > \r\n> > > > > > > Thanks for the fix, @oharboe! I've approved your PR. I didn't notice the bug before, thanks for looking at it.\r\n> > > > > > \r\n> > > > > > \r\n> > > > > > @eder-matheus Can a testcase be deviced?\r\n> > > > > > Seems like testcases should catch this?\r\n> > > > > \r\n> > > > > \r\n> > > > > Yes, I'll make a testcase. Can I push it to your PR?\r\n> > > > \r\n> > > > \r\n> > > > You can just create a new PR based on mine and close my PR, I don't think you can push to my git repository.\r\n> > > \r\n> > > \r\n> > > Ok. Let's merge your PR to keep in the history the update came from you and I can create a PR for the unit test.\r\n> > \r\n> > \r\n> > Gotit. I saw it is in master now. Note that I'm still getting the same infinite loop error, I guess there are more ways to configure pins that trigger this sort of bug.\r\n> > I suspect you'll find a few with your test-cases...\r\n> \r\n> Could you share the configurations that are generating the infinite loops?\r\n\r\nUnfortunately, I cant share this design.\r\n\r\nHowever, tomorrow I was thinking about removing the accounting code of placed pins that is interleaved with the code and simply check if all pins are placed where the progress message was. This is again shooting for the hip, but the hope is that this will be more robust as less code has to be correct."}, {"assistant": "Can you use the delta debugger to make it small enough to share?"}, {"user": "> Can you use the delta debugger to make it small enough to share?\r\n\r\nI think that might be possible, but probably overkill. If I can see the pattern of a unittest or two, I fancy I can create one the fails for the same reasons it fails here."}, {"user": "While not a failing example, I have found out that part of the problem is that there are various ways to provide information about the pins.\r\n\r\nHere I have provided all the information, but in three calls:\r\n\r\n```\r\nset_io_pin_constraint -region up:* -pin_names $uppins\r\nset_io_pin_constraint -region bottom:* -pin_names $bottompins\r\nset_io_pin_constraint -mirrored_pins $mirrored\r\n```\r\n\r\nA single invocation ```set_io_pin_constraint``` can also provide unambigious information about the pin-layout:\r\n\r\n```\r\nset_io_pin_constraint -region up:* -pin_names $uppins -mirrored_pins $mirrored\r\n```\r\n\r\nWhen I fiddle with the alternatives, I sometimes get an infinite loop, others not.\r\n\r\nAlso, I mix mirrored and unmirrored on the same edge, e.g:\r\n\r\n\r\n```\r\nset_io_pin_constraint -region up:* -pin_names $uppins\r\nset_io_pin_constraint -region bottom:* -pin_names $bottompins\r\nset_io_pin_constraint -mirrored_pins $mirrored\r\n# I get errors when I mix mirrored and unmirrored pins...\r\nset_io_pin_constraint -region up:* -pin_names $uppins_unmirrored\r\n```\r\n\r\n"}, {"user": "@eder-matheus To reproduce the(or one of the problems), use https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/764 and run the below.\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk\r\n[deleted]\r\n[INFO PPL-0010] Tentative 0 to set up sections.\r\n[INFO PPL-0001] Number of slots 408\r\n[INFO PPL-0002] Number of I/O 65\r\n[INFO PPL-0003] Number of I/O w/sink 65\r\n[INFO PPL-0004] Number of I/O w/o sink 0\r\n[INFO PPL-0005] Slots per section 200\r\n[INFO PPL-0006] Slots increase factor 0.01\r\n[INFO PPL-0009] Unsuccessfully assigned pins to sections (97 out of 65).\r\n[deleted]\r\n[WARNING PPL-0037] Number of slots per sections is 11485420 while the maximum recommended value is 600 this may negatively affect performance.\r\n[WARNING PPL-0037] message limit reached, this message will no longer print\r\nError: io_placement.tcl, 13 vector::_M_range_check: __n (which is 1073741925) >= this->size() (which is 408)\r\nElapsed time: 0:01.29[h:]min:sec. CPU time: user 1.24 sys 0.04 (99%). Peak memory: 177752KB.\r\nmake: *** [results/asap7/mock-array-big_Element/base/3_2_place_iop.odb] Error 1\r\n\r\n```"}, {"user": "@eder-matheus @maliberty Is the failure case above adequate to reproduce or do I need to provide anything else?"}, {"assistant": "@oharboe I'm looking at your testcase and I think I found the root cause of this issue. You're assigning constraints for all the mirrored pins, and the pin placer is getting lost when accounting for the number of assigned pins to sections. I'm testing a solution right now.\r\n\r\nBut I don't think you even need all these constraints. In the pair of mirrored pins `{pin1 pin2}`, pin1 will always be placed considering the constraints and the best position in terms of wirelength, and pin2 will be mirrored with pin1. When you assign pin2 into a constraint, it does nothing, as pin2 will follow pin1 placement. Removing the constraints for the `pin2`s of your mirrored pairs solves your testcase.\r\n\r\nI'm fixing the code to avoid the errors when assigning `pin2` to a constraint, and I will also add warning messages when this situation happens, as it is unnecessary."}, {"user": "@eder-matheus Good error and warning messages when I am doing things wrong is enormously helpful. Almost.more than documentation, I am sure to read them \ud83d\ude00"}, {"assistant": "@oharboe I've merged my updates into master. You should not have the infinite loop error anymore, and the warn messages I mentioned early are also added.\r\n\r\nAlso, now you should only be able to call `-mirrored_pins` without any other options of `set_io_pin_constraints`. So now this is not valid:\r\n`set_io_pin_constraint -region up:* -pin_names $uppins -mirrored_pins $mirrored`\r\n\r\nI've made this to avoid confusion with different command calls generating the same results."}, {"user": "@eder-matheus Makes sense. Seems to work, I will continue to do more testing and let you know if something shows up. Thanks!"}, {"assistant": "This is resolved after discussion."}]} +{"num": 2729, "messages": [{"user": "### Describe the bug\n\nIn earlier versions of OpenROAD, the Python API for `BTerm::getFirstPinLocation` would simply return a tuple of three objects: a boolean representing success or failure and two integers. In the most recent version, however, the Python API seems to have a bug where it requests two values by reference. Requesting integers by reference is not possible in Python.\n\n### Expected Behavior\n\nThe API should remain consistent with earlier versions of OR.\n\n### Environment\n\n```shell\nGit commit: 4ca23914299f8281ec1b2bb14d5b2a18440fbc6f\r\nkernel: Linux 5.15.0-1025-gcp\r\nos: Ubuntu 20.04.4 LTS (Focal Fossa)\r\ncmake version 3.22.2\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\n```\n\n\n### To Reproduce\n\nRun `run.sh` inside the folder. [repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10387673/repro.tar.gz)\r\n\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-6404-g4ca239142 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nDesign name: spm\r\nHERE >\r\nTraceback (most recent call last):\r\n File \"./diodes.py\", line 416, in \r\n cli()\r\n File \"/home/donn/.local/lib/python3.8/site-packages/click/core.py\", line 1130, in __call__\r\n return self.main(*args, **kwargs)\r\n File \"/home/donn/.local/lib/python3.8/site-packages/click/core.py\", line 1055, in main\r\n rv = self.invoke(ctx)\r\n File \"/home/donn/.local/lib/python3.8/site-packages/click/core.py\", line 1657, in invoke\r\n return _process_result(sub_ctx.command.invoke(sub_ctx))\r\n File \"/home/donn/.local/lib/python3.8/site-packages/click/core.py\", line 1404, in invoke\r\n return ctx.invoke(self.callback, **ctx.params)\r\n File \"/home/donn/.local/lib/python3.8/site-packages/click/core.py\", line 760, in invoke\r\n return __callback(*args, **kwargs)\r\n File \"/home/donn/OpenLane/repro/reader.py\", line 76, in wrapper\r\n function(**kwargs)\r\n File \"./diodes.py\", line 374, in place\r\n di.execute()\r\n File \"./diodes.py\", line 284, in execute\r\n src_pos = self.net_source(net)\r\n File \"./diodes.py\", line 101, in net_source\r\n good, x, y = bt.getFirstPinLocation()\r\nTypeError: getFirstPinLocation() missing 2 required positional arguments: 'x' and 'y'\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@macd I think this relates to the odb change in .i that I hightlighted in your pdn PR"}, {"assistant": "Yes, it sure does. I just fixed it and will push soon."}, {"assistant": "Fixed with https://github.com/The-OpenROAD-Project/OpenROAD/pull/2730"}]} +{"num": 2735, "messages": [{"user": "### Description\r\n\r\nCurrently there are a variety of TNS and WS metrics:\r\n\r\n```tcl\r\nsta::report_tns_metric -setup\r\nsta::report_tns_metric -hold\r\nsta::report_worst_slack_metric -setup\r\nsta::report_worst_slack_metric -hold\r\nsta::report_erc_metrics\r\nsta::report_power_metric\r\nsta::report_design_area_metrics\r\n```\r\n\r\nThis is great- we're wondering if there's a way to add more specific types of TNS and WS metrics though, like worst input to register, worst register to register, etc. We plan to integrate metrics with OpenLane such that the flow can dynamically configure itself based on the extracted metrics, and the ones I mentioned would be helpful.\t\r\n\r\n### Suggested Solution\r\n\r\nAdd more commands! (or flags)\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenSTA/blob/695b0bed2f6c43cdff51c8b1ba43653b01313150/tcl/Search.tcl#L87\r\nfind_timing_paths -from [all_inputs] -to [all_outputs] returns a list of paths which can be probed for slack.\r\nThis can be written in tcl."}]} +{"num": 2738, "messages": [{"user": "### Description\n\nAddress Sanitizer is a great way to discover memory leaks and memory corruption, and works even in optimized builds with small performance penalties. I'm not sure if there's a way today to configure the CMake based build to run with ASAN -- I don't see it mentioned in a search of the docs -- but it could be quite valuable, I think I see some instances where `Ath__array1D` objects are `new`'d and seem to fall out of scope, e.g. https://github.com/The-OpenROAD-Project/OpenROAD/blob/e41b1dcc4510b1a7dda30f4c7db7de4e60050579/src/rcx/src/extBenchDB.cpp#L407\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "There are tons of Coverity warnings in rcx that might catch many of these. We do run a valgrind pipeline on gf12/gcd daily. It shows a bunch of rcx leaks but the total loss is small enough that it hasn't been a priority.\r\n\r\nThat said more tools are better."}, {"assistant": "I would like to work on this issue."}, {"assistant": "Great! "}, {"assistant": "To compile with address sanitizer I added the following lines to /src/CMakeLists.txt inside a conditional expression:\r\n\r\n```\r\n add_compile_options(\r\n -fsanitize=address\r\n -g\r\n )\r\n add_link_options(\r\n -fsanitize=address\r\n )\r\n ```\r\n \r\n Now I have a couple questions. [Here](https://github.com/The-OpenROAD-Project/OpenROAD/issues/3243#issuecomment-1522538121) @antonblanchard used the flags \"-fno-omit-frame-pointer\" and \"-O2\". Are these flags necessary?\r\n \r\n Also, how do we want to tell CMake to build with ASAN from the command line?\r\n\r\n I noticed that the variable CMAKE_BUILD_TYPE is not being used in any CMakeLists.txt file apart from the top level file in OPENROAD_HOME. There the variable is set to RELEASE if not already set. In /docs/user/Build.md the value DEBUG is listed as a valid CMAKE_BUILD_TYPE. Since we are using the flag \"-g\" for address sanitizer, I think it makes sense to require CMAKE_BUILD_TYPE to be DEBUG. Should there be another option to enable address sanitizer? Perhaps -DASAN or -DSANITIZE."}, {"assistant": "-fno-omit-frame-pointer makes sure that ASAN and other debug build options can accurately list symbols/line numbers in the stack trace."}, {"assistant": "By the way, when compiling with GNU the ASAN library was not located without adding the link option. I will make sure it works for both GNU and Clang.\r\n\r\nI believe the default compiler optimization for CMake in release mode is -O3. I observed the -O3 flag being used when the build type RELEASE, although I do not see any compiler optimization flag when the build type is DEBUG. The optimization level could be increased during debug so that it is closer to the release mode, but I am not sure if this should be a default setting for DEBUG.\r\n\r\nFor activating Address Sanitizer I could think of a few options.\r\n1. Always enable ASAN with -DCMAKE_BUILD_TYPE=DEBUG\r\n2. Enable ASAN with -DCMAKE_BUILD_TYPE=DEBUG and -DASAN=ON\r\n3. With -DASAN=ON only, enable ASAN and set CMAKE_BUILD_TYPE to DEBUG\r\n\r\n"}, {"assistant": "I believe DEBUG uses \"-g -O0\" by default. Using any more than that risks being unable to debug or missing ASAN violations.\r\n\r\nI prefer: \r\n4. With -DASAN=ON, enable ASAN\r\n\r\nWhether you want debug or not is orthogonal and up to the developer. For very large runs you might not be able to use DEBUG due to runtime considerations."}, {"assistant": "`-DCMAKE_BUILD_TYPE=RelWithDebugInfo` enables more optimizations."}, {"assistant": "I have made the change to enable ASAN with -DASAN=ON. However, I am concerned that not including the \"-g\" flag could cause confusion. Without debug symbols Address Sanitizer will not show file names and line numbers in the call stack. I suggest that /docs/user/Build.md be modified to list the new ASAN argument along with a note suggesting to compile with \"-g\" by changing CMAKE_BUILD_TYPE to DEBUG in order to display line numbers.\r\n\r\nBefore making a pull request, I would like to test running openroad with a memory leak present, since Address Sanitizer will not show any output unless a memory leak is detected. I will try running some existing test cases.\r\n\r\nI am only able to build with Address Sanitizer manually. When I try building using /etc/Build.sh. I am unable to pass the ASAN argument. I believe this is an issue with the shell script and not CMake, so I am considering opening a separate issue for this.\r\n\r\n```\r\n./etc/Build.sh -cmake='DASAN=ON'\r\n[INFO] Saving logs to build/openroad_build.log\r\n[INFO] ./etc/Build.sh -cmake=DASAN=ON\r\nCMake Warning:\r\n Ignoring extra path from command line:\r\n\r\n \"/home/ian/OpenROAD/DASAN=ON\"\r\n```"}, {"assistant": "I think you need a dash in:\r\n```\r\n./etc/Build.sh -cmake='-DASAN=ON'\r\n```"}, {"assistant": "I think it is reasonable to add -g whenever asan is enabled."}, {"assistant": "As I mentioned last week, I would like to run some existing test cases with the goal of finding a memory leak to confirm Address Sanitizer is giving output correctly. I am a little confused about how to do this.\r\n\r\nI have built OpenROAD in a directory \"OpenROAD/build\". Any output from AddressSanitizer would need to be produced from the executable created in \"OpenROAD/build/src\".\r\n\r\nThe tests I thought I could run are either located in \"OpenROAD/test/\", or in the tool test folders in \"OpenROAD/src/\". I noticed that some tool regression tests I can run from my shell directly, although most tests report an error.\r\n\r\nI have been trying to run \"OpenROAD/test/gcd_nangate45.tcl\". If I try to run this file from OpenROAD I receive an error \"[ERROR STA-0511] cannot open '../../test/gcd_nangate.tcl'.\" If I try to run this from tclsh, I eventually get an error for \"invalid command name \"utl::suppress_message\"\", and I cannot locate which .tcl file defines this namespace.\r\n\r\nAre these test cases meant to be run inside OpenROAD itself or by a TCL shell? Is this the wrong approach for what I want to achieve?"}, {"assistant": "The simplest way to run the tests is to use the regression script."}, {"assistant": "I ran the regression script and was a bit surprised at the results. LeakSanitizer is throwing errors on every single regression test. I found identical errors when compiling with both gnu and clang.\r\n\r\nI was not expecting this many leaks to be reported. I will inspect some of the reported leaks to try to determine if these are false positives being reported.\r\n\r\nThe only changes I made to the build is to include the compiler flags \"-fsanatize=address,-fno-omit-frame-pointer, -g\" and linker flag \"-fsanatize=address\" with the argument ASAN=ON. I did this using add_compile_options(), add_link_options(), target_compile_options(), and target_link_options()."}, {"assistant": "I'm not too surprised that there are some leaks but they are pretty small. We should clean them up when we have some time. It is nice to have this as an option."}, {"assistant": "The LEF parsers has a bunch of leaks which is why it fails every test"}]} +{"num": 2739, "messages": [{"user": "### Describe the bug\n\ndrt has code to detect congestion, and increase the worker size (default 7x7). I'm looking for designs that exercise this path so that we can decide if the algorithm is working as expected. \r\n\r\nSo far I've only found sky130hd/Microwatt enables congestion adjustment, and as a result it increases the worker size to be quite large (25x25):\r\n\r\n```\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 1st optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 2nd optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 3rd optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 4th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 5th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 6th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 7th optimization iteration.\r\nargs.size 9\r\n[INFO DRT-0195] Start 8th optimization iteration.\r\nargs.size 11\r\n[INFO DRT-0195] Start 9th optimization iteration.\r\nargs.size 13\r\n[INFO DRT-0195] Start 10th optimization iteration.\r\nargs.size 15\r\n[INFO DRT-0195] Start 11th optimization iteration.\r\nargs.size 15\r\n[INFO DRT-0195] Start 12th optimization iteration.\r\nargs.size 17\r\n[INFO DRT-0195] Start 13th optimization iteration.\r\nargs.size 19\r\n[INFO DRT-0195] Start 14th optimization iteration.\r\nargs.size 21\r\n[INFO DRT-0195] Start 15th optimization iteration.\r\nargs.size 23\r\n[INFO DRT-0195] Start 16th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 17th optimization iteration.\r\nargs.size 25\r\n[INFO DRT-0195] Start 18th optimization iteration.\r\n[INFO DRT-0267] cpu time = 02:15:26, elapsed time = 00:10:02, memory = 14396.67 (MB), peak = 14702.38 (MB)\r\n```\r\n\r\nIf I disable the congestion detection code, it completes in less iterations and in a shorter time (9 minutes instead of 10 minutes):\r\n\r\n```\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 1st optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 2nd optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 3rd optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 4th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 5th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 6th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 7th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 8th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 9th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 10th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 11th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 12th optimization iteration.\r\nargs.size 7\r\n[INFO DRT-0195] Start 13th optimization iteration.\r\n[INFO DRT-0267] cpu time = 02:12:57, elapsed time = 00:09:03, memory = 14398.48 (MB), peak = 14641.39 (MB)\r\n```\n\n### Expected Behavior\n\n- Have a design example in the CI that both exercises the congestion code and shows better results with it.\r\n- Reduce the negative impact (in terms of execution time) on the sky130hd Microwatt design\n\n### Environment\n\n```shell\nGit commit: 81706aef55de40a6f2eccadf25c20f418a554ab6\r\nos: Fedora Linux 37 (Workstation Edition)\r\ncmake version 3.25.1\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 15.0.6 (Fedora 15.0.6-2.fc37)\n```\n\n\n### To Reproduce\n\nRun ORFS sky130hd/microwatt\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Some old notes from Stephano who implemented this:\r\n![image](https://user-images.githubusercontent.com/761514/211973260-9befb0a6-b755-43d2-890e-43bcc77deac6.png)\r\n![image](https://user-images.githubusercontent.com/761514/211973285-35d1e7ba-5478-4e5e-82ff-eddcd1ad50fb.png)\r\nFixes https://github.com/The-OpenROAD-Project/OpenROAD/issues/1619\r\n![image](https://user-images.githubusercontent.com/761514/211973128-087c91ea-473a-4a6d-baa4-4226ad4e7ff0.png)\r\n![image](https://user-images.githubusercontent.com/761514/211973186-a276bb3b-fc43-453c-8d66-cb0c98d4a746.png)\r\n"}, {"user": "I tried the test case in #1619 and OpenROAD can now successfully route it without drcs with the congestion code disabled. It also does it with less iterations and less overall time compared to when the congestion code is enabled. \r\n\r\nAnother thing I noticed is the worker size doesn't peak as high as in Microwatt. I wonder if we should put an upper bound on it (so it doesn't get out of hand like it seems to with Microwatt):\r\n\r\n```\r\nargs.size 7\r\nargs.size 7\r\nargs.size 7\r\nargs.size 7\r\nargs.size 7\r\nargs.size 7\r\nargs.size 7\r\nargs.size 7\r\nargs.size 9\r\nargs.size 9\r\nargs.size 9\r\nargs.size 8\r\nargs.size 8\r\nargs.size 8\r\nargs.size 10\r\nargs.size 10\r\nargs.size 10\r\nargs.size 7\r\nargs.size 12\r\nargs.size 11\r\nargs.size 11\r\nargs.size 11\r\nargs.size 11\r\nargs.size 11\r\nargs.size 10\r\nargs.size 5\r\nargs.size 12\r\nargs.size 12\r\nargs.size 12\r\nargs.size 12\r\nargs.size 12\r\nargs.size 11\r\nargs.size 11\r\nargs.size 3\r\nargs.size 11\r\nargs.size 11\r\nargs.size 11\r\nargs.size 10\r\nargs.size 10\r\nargs.size 10\r\nargs.size 10\r\nargs.size 3\r\nargs.size 10\r\nargs.size 9\r\nargs.size 9\r\nargs.size 9\r\nargs.size 9\r\n```\r\n\r\nI'll look at the other test cases next."}, {"assistant": "If we no longer need it that would be great. Thanks for digging into it."}, {"user": "ispd18_test3 still fails without congestion detection. Looking at where drt fails with it disabled, it's an area with only 3 available layers of metal above a macro and a lot of wires passing over it:\r\n\r\n![ispd18_congestion](https://user-images.githubusercontent.com/2029971/212169139-5c3f9511-ba0b-4f0e-abd2-2babe5d0771b.png)\r\n\r\nWe should be able to build a test case similar to this."}, {"user": "I wasn't able to recreate this with Nangate45 or sky130. It was however easy to replicate this using the ispd18 tech lef.\r\n\r\nThe test case is pretty simple. I have a blockage on all of Metal1-6 and 14 Metal7 pins on the left reverse connected to 14 pins on the right. In this case the congestion code doesn't detect congestion, and we finish drt with 3 drcs:\r\n\r\n![ispd18_cutdown_drc](https://user-images.githubusercontent.com/2029971/212245266-4d9b7c69-b16a-4a49-ab93-8044d416a988.png)\r\n\r\nWhat stands out is how large the vias are. If I reduce the size of the vias, drt completes in the 0th iteration without issue:\r\n\r\n![ispd18_cutdown_smallvia](https://user-images.githubusercontent.com/2029971/212246166-f10f6db4-b844-4877-b40e-8aa90b41a9ce.png)\r\n \r\nDo we have an issue in drt related to vias?\r\n\r\nTest case: [ispd18_test3_cutdown.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10408562/ispd18_test3_cutdown.tar.gz)\r\n"}, {"assistant": "The via selection code certain could be improved and this look like a good example. On some private pdks we had to manually override the via selection."}, {"assistant": "@antonblanchard I've run into the same via selection issues on the private PDK (should be familiar to you) Matt's referring to.\r\n\r\nIn more advanced nodes the number of default vias in the tLEF rises substantially, and the via selection code in DRT just does a primitive sort based on a few characteristics.\r\n\r\nIn the PDK we're talking about it results in DRC errors, because it chooses a via that's only legal in the context of a power grid. A proper enhancement of the code would probably check if the via is legal in a routing context, and then try to choose the via with the least restrictions at the smallest size."}, {"assistant": "I should also point out that the via selection code is only run once per detailed route execution so computation cost isn't really an issue. "}, {"assistant": "Its also not design specific so you can run any test case for a particular PDK"}, {"user": "> The via selection code certain could be improved and this look like a good example. On some private pdks we had to manually override the via selection.\r\n\r\nFor the ispd18 test there is only one via for these levels of metal, and in many cases it isn't even the correct orientation so we have to create one by flipping the dimensions. Seems a bit odd.\r\n\r\nIn my testing above I manually reduced the size of the via to test the theory that via size is contributing to the drcs. Is it valid to create a new (smaller) via using the other design rules in the tlef?"}, {"assistant": "If it's DRC legal it's legal. Did you enable via generation for that particular run?"}, {"assistant": "@antonblanchard Do you have the ability to detail route that test case with the IBM tools? "}, {"assistant": "They might have been making it harder to route for the contest. Equally it could just have been an oversight."}, {"assistant": "\"image\"\r\nFrom https://www.ispd.cc/contests/18/index.html"}, {"assistant": "I don't think we should invent new vias. This just appears to be part of the challenge of this design is the weird vias provided. There is nothing for via selection to do here."}, {"assistant": "This seems like contest foder, but perhaps an optimization around high density pins makes sense. \r\n\r\nIt feels like the router is getting stuck because the pins are so close together, and the nets are coming from so far away. Perhaps for cases like these we should insert virtual intermediate points to reduce the search space between this high area of congestion, and the far away nets. "}, {"user": "A few more puzzling things about the ispd18_test3:\r\n\r\n- The track layout in the def doesn't match the tlef:\r\n\r\n```\r\nLAYER Metal7\r\n TYPE ROUTING ;\r\n DIRECTION HORIZONTAL ;\r\n PITCH 0.2 0.2 ;\r\n WIDTH 0.07 ;\r\n AREA 0.02 ;\r\n SPACINGTABLE\r\n PARALLELRUNLENGTH 0\r\n WIDTH 0 0.07 \r\n WIDTH 0.1 0.15\r\n WIDTH 0.75 0.25\r\n WIDTH 1.5 0.45 ;\r\n SPACING 0.1 ENDOFLINE 0.1 WITHIN 0.035 ;\r\nEND Metal7\r\n```\r\n\r\nPITCH is 0.2 x 0.2 which with DATABASE MICRONS = 2000 means the tracks should be spaced 400 x 400. The tracks in the preferred direction are actually spaced 570:\r\n\r\n```\r\nTRACKS X 200 DO 4943 STEP 400 LAYER Metal7 ;\r\nTRACKS Y 760 DO 2473 STEP 570 LAYER Metal7 ;\r\n```\r\n\r\nThat's only an issue for my cut down test case, since I am generating a different track layout to the original test.\r\n\r\n- The vias on Metal7-Metal9 obstruct 3 tracks, eg:\r\n\r\n![ispd2018_test3_Metal7_via](https://user-images.githubusercontent.com/2029971/212520121-49fd58d6-2e8a-4008-9bea-ac14f3202511.png)\r\n\r\nIt's even worse on Metal8 because it uses the same dimensions as Metal7 instead of swapping them (so the preferred direction track pitch is 400 units).\r\n\r\nHaving scanned through drt, I wonder if this is an issue. It seems like we assume a via will only obstruct one track. There is code for NDR vias where we mark multiple tracks as being obstructed but it isn't being used. This isn't an NDR via, but it seems to have the behaviour of an NDR via doesn't it?\r\n\r\n"}, {"assistant": "Do the guides in the contest reflect this via obstruction ?"}, {"assistant": "Where are we making the via == 1 track assumption?"}, {"user": "> Where are we making the via == 1 track assumption?\r\n\r\nI've spent some time looking through drt, and I was wrong. It does correctly iterate across all tracks in `modMinSpacingCostVia`.\r\n\r\nNot directly related to this issue, but I notice `modMinSpacingCostVia` only applies min area constraints to vias that aren't fat (ie not wider than the default wire):\r\n\r\n```\r\n if (!isFatVia) {\r\n auto minAreaConstraint = getTech()->getLayer(lNum)->getAreaConstraint();\r\n auto minArea = minAreaConstraint ? minAreaConstraint->getMinArea() : 0;\r\n patchLength = frCoord(ceil(1.0 * minArea / defaultWidth\r\n / getTech()->getManufacturingGrid()))\r\n * frCoord(getTech()->getManufacturingGrid());\r\n length2_mar = max(length2_mar, patchLength);\r\n }\r\n```\r\n\r\nIs that a reasonable assumption?"}, {"assistant": "It seems reasonable though I've never checked it. Usually those vias are pretty large"}]} +{"num": 2742, "messages": [{"user": "### Describe the bug\n\nAs requested in https://github.com/The-OpenROAD-Project/OpenLane/pull/1576#issuecomment-1352562946 -- I've encountered a design that fails placement unless `PL_BASIC_PLACEMENT` is 1.\n\n### Expected Behavior\n\nDesigns should never need `PL_BASIC_PLACEMENT` apparently, so this should not cause a design to suddenly work\n\n### OpenROAD Environment\n\n```shell\nPlease describe how to run this command -- openlane appears to use docker, so there is no `etc` directory to work with.\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v5.15.79.1-microsoft-standard-WSL2\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.6 (OK)\r\nContainer Engine: docker v20.10.21 (OK)\r\nOpenLane Git Version: e570a6a5b428eddb19f8efe4f9d18d79f1050940\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: MISMATCH\r\nThe version of open_pdks used in building the PDK does not match the version OpenLane was tested on (installed: 0059588eebfc704681dc2368bd1d33d96281d10f, tested: 3f9bdbd857564726b731760dc2c817e84ca7d8ac)\r\nThis may introduce some issues. You may want to re-install the PDK by invoking `make pdk`.\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\ne570a6a 2023-01-02T15:10:43+02:00 `run_designs` fixes (#1597) - Mohamed Gaber - (grafted, HEAD, tag: 2023.01.03)\r\n---\r\nGit Remotes\r\n\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (fetch)\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (push)\n```\n\n\n### To Reproduce\n\n1. Clone `caravel-minimax`: `git clone -b pl-basic-placement-bug https://github.com/xobs/caravel-minimax.git`\r\n2. Run `. activate-caravel.sh`\r\n3. Update the paths in `openlane/mimi/config.json` (https://github.com/The-OpenROAD-Project/OpenLane/issues/1616)\r\n4. Run `make mimi`\r\n\r\nThe `issue_reproducible` has also been attached: [issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10400232/issue_reproducible.tar.gz)\r\n\n\n### Relevant log output\n\n```shell\n[STEP 5]\r\n[INFO]: Running Global Placement (log: ../si/work/caravel-minimax/openlane/mimi/runs/23_01_12_17_39/logs/placement/5-global.log)...\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/gpl.tcl\r\n[ERROR]: Log: ../si/work/caravel-minimax/openlane/mimi/runs/23_01_12_17_39/logs/placement/5-global.log\r\n[ERROR]: Last 10 lines:\r\n[NesterovSolve] Iter: 2640 overflow: 0.314285 HPWL: 42734126349\r\n[NesterovSolve] Iter: 2650 overflow: 0.316778 HPWL: 43025225816\r\n[NesterovSolve] Iter: 2660 overflow: 0.312883 HPWL: 43706165197\r\n[NesterovSolve] Iter: 2670 overflow: 0.312504 HPWL: 44809153194\r\n[NesterovSolve] Iter: 2680 overflow: 0.317737 HPWL: 46104438646\r\n[NesterovSolve] Iter: 2690 overflow: 0.3169 HPWL: 47268095746\r\n[NesterovSolve] Iter: 2700 overflow: 0.314167 HPWL: 48250061064\r\n[ERROR GPL-0305] RePlAce diverged at newStepLength.\r\nError: gpl.tcl, 69 GPL-0305\r\nchild process exited abnormally\r\n\r\n[ERROR]: Creating issue reproducible...\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nSetting `PL_BASIC_PLACEMENT: 1` lets it get further, and I was under the impression that there was interest in removing this feature because it is confusing and works around a bug. That is why I'm submitting this issue."}, {"assistant": "Yes to \"I was under the impression that there was interest in removing this feature because it is confusing and works around a bug. That is why I'm submitting this issue.\" Thanks for submitting"}, {"assistant": "I see a mismatch in expectations here:\r\n\r\n[INFO GPL-0019] Util(%): 65.14\r\n[INFO GPL-0023] TargetDensity: 0.45\r\n\r\nYou are trying to place at a lower density than is possible given your utilization. I suspect you will find that even if you could place at 65% util you will have routing congestion issues."}, {"assistant": "Is it intentional that your RAMs have no connections to their D, Q, or WEN pins? Because they have identical connectivity they tend to wind up exactly on top of each other which is a problem for the placer (they tend to feel the same forces and move identically)."}, {"user": "Thanks for pointing that out. I'm still trying to understand how macros work.\r\n\r\nHowever, I've managed to come up with another repo that has the same placement issue but only uses Verilog.\r\n\r\nThe branch at https://github.com/xobs/caravel-minimax/tree/replace-divergence contains only Verilog code and has the same error that is fixed with `PL_BASIC_PLACEMENT` in the `minimax_rf` project. If you type `make minimax_rf` you will find that it fails:\r\n\r\n```\r\n[ERROR]: during executing openroad script /openlane/scripts/openroad/gpl.tcl\r\n[ERROR]: Log: ../si/work/caravel-minimax/openlane/minimax_rf/runs/23_01_13_11_03/logs/placement/7-global.log\r\n[ERROR]: Last 10 lines:\r\n[NesterovSolve] Iter: 450 overflow: 0.236868 HPWL: 3469863079\r\n[NesterovSolve] Iter: 460 overflow: 0.238695 HPWL: 3656905160\r\n[NesterovSolve] Iter: 470 overflow: 0.234744 HPWL: 3948363037\r\n[NesterovSolve] Iter: 480 overflow: 0.225043 HPWL: 4248587630\r\n[NesterovSolve] Iter: 490 overflow: 0.211341 HPWL: 4521576227\r\n[NesterovSolve] Iter: 500 overflow: 0.233532 HPWL: 4802824168\r\n[NesterovSolve] Iter: 510 overflow: 0.261653 HPWL: 5207952959\r\n[ERROR GPL-0307] RePlAce divergence detected. Re-run with a smaller max_phi_cof value.\r\nError: gpl.tcl, 69 GPL-0307\r\nchild process exited abnormally\r\n```\r\n\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10407837/issue_reproducible.tar.gz)\r\n\r\nSetting `PL_BASIC_PLACEMENT=1` fixes the issue. Adjusting other vlaues may also fix the issue, and I'm still trying to understand what those knobs do."}, {"assistant": "What makes this block interesting is it high aspect ratio. That revealed an area for improvement in the global placer. I'm glad you submitted this as its exactly the sort of thing I don't want to see swept under the rug of basic placement. You should get a much better placement when this fix is merged."}, {"assistant": "![image](https://user-images.githubusercontent.com/761514/217972322-fbf7477e-75d1-4950-9f8e-ebbc0354a51f.png)\r\n"}, {"user": "I'm trying to route DFFRAM, and it's not converging in global routing when trying to route a 32x32 regfile. Might that be improved with this fix?\r\n\r\n![image](https://user-images.githubusercontent.com/238325/217974272-8405363f-588b-47dd-8696-b19177e426d9.png)\r\n\r\nEach iteration takes about 45 minutes, and it looks like a full run (which will probably fail) takes around 7 hours for \"Global Placement\"."}, {"assistant": "Isn't DFFRAM already placed? You shouldn't need to do any kind of global or detailed placement. "}, {"user": "Not for GF180MCU -- that just got merged last week, and doesn't have prebuilt memories yet: https://github.com/AUCOHL/DFFRAM/commit/b143d4d6ad8a8760639d1128b19d88e9e97b764e\r\n\r\nAdditionally, I'll need a 64x32 regfile, so I'll need to route it myself eventually anyway."}, {"assistant": "It might help but I think it will be hard to route a generic placement in such a high aspect ratio with so few metal layers. In any case it would be a separate issue."}]} +{"num": 2746, "messages": [{"user": "### Describe the bug\n\nWhen running global placement, openroad segfaults somehow:\r\n\r\n```\r\n33# 0x00007F3BA1372F1E in /lib64/libtcl8.5.so\r\n34# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n35# Tcl_Eval in /lib64/libtcl8.5.so\r\n36# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n37# ord::tclAppInit(Tcl_Interp*) in openroad\r\n38# Tcl_Main in /lib64/libtcl8.5.so\r\n39# main in openroad\r\n40# __libc_start_main in /lib64/libc.so.6\r\n41# 0x0000000000CC6CC7 in openroad\r\nchild killed: SIGABRT\r\n```\n\n### Expected Behavior\n\nOpenROAD should not segfault, and should print an error if it is unable to do something.\n\n### OpenROAD Environment\n\n```shell\nN/A -- there does not appear to be a way to show the OpenROAD environment when using it from the recommended Docker file\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v5.15.79.1-microsoft-standard-WSL2\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.6 (OK)\r\nContainer Engine: docker v20.10.21 (OK)\r\nOpenLane Git Version: e570a6a5b428eddb19f8efe4f9d18d79f1050940\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: MISMATCH\r\nThe version of open_pdks used in building the PDK does not match the version OpenLane was tested on (installed: 0059588eebfc704681dc2368bd1d33d96281d10f, tested: 3f9bdbd857564726b731760dc2c817e84ca7d8ac)\r\nThis may introduce some issues. You may want to re-install the PDK by invoking `make pdk`.\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\ne570a6a 2023-01-02T15:10:43+02:00 `run_designs` fixes (#1597) - Mohamed Gaber - (grafted, HEAD, tag: 2023.01.03)\r\n---\r\nGit Remotes\r\n\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (fetch)\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (push)\n```\n\n\n### To Reproduce\n\n1. Clone https://github.com/xobs/caravel-minimax/\r\n2. Check out the `global-placement-segfault` branch\r\n3. Run `. activate-caravel.sh`\r\n4. Run `make setup`\r\n5. Run `make minimax_rv`\n\n### Relevant log output\n\n```shell\n[492248.984269] potentially unexpected fatal signal 6.\r\n[492248.984628] CPU: 22 PID: 31944 Comm: openroad Not tainted 5.15.79.1-microsoft-standard-WSL2 #1\r\n[492248.985042] RIP: 0033:0x7f3b9c83c387\r\n[492248.985178] Code: 02 00 00 85 f6 75 34 b8 ba 00 00 00 0f 05 89 c1 64 89 04 25 d0 02 00 00 89 c6 48 63 d7 48 63 f6 48 63 f9 b8 ea 00 00 00 0f 05 <48> 3d 00 f0 ff ff 77 1e f3 c3 0f 1f 80 00 00 00 00 85 c9 7f db 89\r\n[492248.986030] RSP: 002b:00007ffcc03ecbc8 EFLAGS: 00000202\r\n[492248.986191] RAX: 0000000000000000 RBX: 0000000000000041 RCX: 00007f3b9c83c387\r\n[492248.986430] RDX: 0000000000000006 RSI: 000000000000015b RDI: 000000000000015b\r\n[492248.986668] RBP: 0000000008e331c0 R08: 7463657078655f6e R09: 00007f3b9c8532cd\r\n[492248.986901] R10: 0000000000000008 R11: 0000000000000202 R12: 0000000000000040\r\n[492248.987185] R13: 000000000000101c R14: 0000000000000040 R15: 00000000095dda90\r\n[492248.987407] FS: 00007f3ba1866140 GS: 0000000000000000\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@xobs \r\ncan you try once again with latest OpenLane tag and confirm?\r\n\r\nIf segfault repeats follow instruction from here: https://openlane.readthedocs.io/en/latest/for_developers/using_or_issue.html#manually and create standalone test for placement stage and attach here."}, {"user": "It still segfaults with `efabless/openlane:2023.01.12`\r\n\r\nI'm using a project based on https://github.com/efabless/caravel_user_project -- the link you sent says to run `make mount`, however that does not work:\r\n\r\n```\r\nexport CARAVEL_ROOT=/si/work/dependencies/caravel && make -f /si/work/dependencies/caravel/Makefile mount\r\nmake[1]: Entering directory '/si/work/caravel-minimax'\r\nmake[1]: *** No rule to make target 'mount'. Stop.\r\nmake[1]: Leaving directory '/si/work/caravel-minimax'\r\nmake: *** [Makefile:84: mount] Error 2\r\n```\r\n\r\nIs there any documentation on how to do this from inside a project derived from the efabless caravel_user_project template?"}, {"assistant": "`export OPENLANE_IMAGE_NAME = efabless/openlane:2023.01.12`\r\nset above command and try `make mount` inside `OPENLANE_ROOT` folder and try to create test case by following instructions."}, {"user": "If I change to $OPENLANE_ROOT and run `make mount`, I'm dropped into a Docker container.\r\n\r\nHowever, I'm not sure what to do next.\r\n\r\n1. How do I access my project from within the container? On my system it's located under `/si/work/caravel-minimax/` but that directory doesn't exist in the container.\r\n2. What commands do I need to run? The document says to run `./flow.tcl` and add `-verbose`. How do I do that? All of the documentation I read says to build things using Makefiles, and I just run `make minimax_rf`"}, {"assistant": "Ok. Just follow below method and end of the run `issue_reproducible` will generate.\r\n\r\nIn `gpl.tcl` line 19 https://github.com/The-OpenROAD-Project/OpenLane/blob/06b26813465d8745c2cdfe6605ac3233cef89dec/scripts/openroad/gpl.tcl#L19 add `exit 1` and save the file and run `make minimax_rf`.\r\nOnce flow exit with `issue_reproducible` attach the file here and remove `exit 1` from `gpl.tcl`.\r\n\r\n"}, {"user": "Here's the resulting issue_reproducible: [issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10412365/issue_reproducible.tar.gz)\r\n"}, {"assistant": "@maliberty Issue confirmed.\r\nTo run test case remove `exit 1` from `openlane/scripts/openroad/gpl.tcl` at line 19 and segfault re-produced.\r\n```\r\n[INFO GPL-0100] worst slack 2.86e-08\r\n[INFO GPL-0103] Weighted 778 nets.\r\n[NesterovSolve] Iter: 450 overflow: 0.193818 HPWL: 3634692755\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[INFO GPL-0036] TileLxLy: 0 0\r\n[INFO GPL-0037] TileSize: 16800 16800\r\n[INFO GPL-0038] TileCnt: 345 29\r\n[INFO GPL-0039] numRoutingLayers: 5\r\n[INFO GPL-0040] NumTiles: 10005\r\n[INFO GPL-0063] TotalRouteOverflowH2: 2607.142851948738\r\n[INFO GPL-0064] TotalRouteOverflowV2: 0.0\r\n[INFO GPL-0065] OverflowTileCnt2: 7646\r\n[INFO GPL-0066] 0.5%RC: 2.0553935741891665\r\n[INFO GPL-0067] 1.0%RC: 1.9882179732175218\r\n[INFO GPL-0068] 2.0%RC: 1.902664679937412\r\n[INFO GPL-0069] 5.0%RC: 1.7900118602756643\r\n[INFO GPL-0070] 0.5rcK: 1.0\r\n[INFO GPL-0071] 1.0rcK: 1.0\r\n[INFO GPL-0072] 2.0rcK: 0.0\r\n[INFO GPL-0073] 5.0rcK: 0.0\r\n[INFO GPL-0074] FinalRC: 2.0218058\r\n[INFO GPL-0045] InflatedAreaDelta: 693292624948\r\n[INFO GPL-0046] TargetDensity: 0.33\r\n[INFO GPL-0049] WhiteSpaceArea: 2416458598400\r\n[INFO GPL-0050] NesterovInstsArea: 725777024000\r\n[INFO GPL-0051] TotalFillerArea: 71654341632\r\n[INFO GPL-0052] TotalGCellsArea: 797431365632\r\n[INFO GPL-0053] ExpectedTotalGCellsArea: 1490723990580\r\n[INFO GPL-0054] NewTargetDensity: 0.6169044\r\n[INFO GPL-0055] NewWhiteSpaceArea: 2416458598400\r\n[INFO GPL-0056] MovableArea: 1490723930112\r\n[INFO GPL-0057] NewNesterovInstsArea: 1419069648948\r\n[INFO GPL-0058] NewTotalFillerArea: 71654281164\r\n[INFO GPL-0059] NewTotalGCellsArea: 1490723930112\r\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = gpl::Bin*; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = gpl::Bin*&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000000000CEB187 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 1# 0x00007F190F065400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x0000000000CEB262 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 5# gpl::BinGrid::updateBinsGCellDensityArea(std::vector > const&) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 6# gpl::NesterovPlace::doNesterovPlace(int) in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 7# 0x0000000000D3D7C1 in /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/build/src/openroad\r\n 8# 0x00007F1913BBBEB2 in /lib64/libtcl8.5.so\r\n 9# 0x00007F1913C0036C in /lib64/libtcl8.5.so\r\n10# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n11# 0x00007F1913BBBEB2 in /lib64/libtcl8.5.so\r\n12# 0x00007F1913C0036C in /lib64/libtcl8.5.so\r\n13# 0x00007F1913C08647 in /lib64/libtcl8.5.so\r\n14# TclEvalObjEx in /lib64/libtcl8.5.so\r\n```"}, {"assistant": "@xobs \r\nIf I increase density `set ::env(PL_TARGET_DENSITY) {0.65}` I'm not facing any segfault.\r\nCan you try the same?"}, {"user": "Yes, if I increase the `PL_TARGET_DENSITY` then it gets further, but later on complains about the density being too high, and telling me to lower it.\r\n\r\nUltimately the solution to the problem in my design is to increase the area and redo my hand-placed macros, but I thought I'd report the segfault in openroad while I had a reproducible testcase."}, {"assistant": "@vijayank88 - The tools should never segfault even if given non-sensible input values."}, {"assistant": "Fixed with https://github.com/The-OpenROAD-Project/OpenROAD/pull/2759"}]} +{"num": 2758, "messages": [{"user": "### Describe the bug\n\nWhen running OpenLane to build a project based on `caravel_user_project`, a particular configuration causes openroad to segfault during the `Running Global Routing Resizer Timing Optimizations` step.\n\n### Expected Behavior\n\nopenroad should not segfault\n\n### OpenROAD Environment\n\n```shell\n`./etc/Env.sh` does not work because I'm using the recommended Docker flow, which hides openroad. I have reprodued this when building with OPENLANE_TAG=2023.01.12, so I'm using whichever openroad environment that pulls in.\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v5.15.79.1-microsoft-standard-WSL2\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.6 (OK)\r\nContainer Engine: docker v20.10.21 (OK)\r\nOpenLane Git Version: e570a6a5b428eddb19f8efe4f9d18d79f1050940\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: MISMATCH\r\nThe version of open_pdks used in building the PDK does not match the version OpenLane was tested on (installed: 0059588eebfc704681dc2368bd1d33d96281d10f, tested: 3f9bdbd857564726b731760dc2c817e84ca7d8ac)\r\nThis may introduce some issues. You may want to re-install the PDK by invoking `make pdk`.\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\ne570a6a 2023-01-02T15:10:43+02:00 `run_designs` fixes (#1597) - Mohamed Gaber - (grafted, HEAD, tag: 2023.01.03)\r\n---\r\nGit Remotes\r\n\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (fetch)\r\norigin https://github.com/The-OpenROAD-Project/OpenLane (push)\n```\n\n\n### To Reproduce\n\n1. Clone `https://github.com/xobs/caravel-minimax/`\r\n2. Check out the `global-routing-segfault` branch\r\n3. Run `. activate-caravel.sh`\r\n4. Run `make setup`\r\n5. Run `make minimax_rv`\r\n6. Run `make mimi`\r\n\n\n### Relevant log output\n\n```shell\n[INFO GRT-0018] Total wirelength: 853893 um\r\n[INFO GRT-0014] Routed nets: 2801\r\n[INFO]: Setting RC values...\r\n[INFO GRT-0009] rerouting 2 nets.\r\n[INFO GRT-0001] Minimum degree: 5\r\n[INFO GRT-0002] Maximum degree: 5\r\n[INFO GRT-0009] rerouting 4 nets.\r\n[INFO GRT-0001] Minimum degree: 3\r\n[INFO GRT-0002] Maximum degree: 4\r\n[INFO GRT-0009] rerouting 2 nets.\r\n[INFO GRT-0001] Minimum degree: 5\r\n[INFO GRT-0002] Maximum degree: 5\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000CCC4E7 in openroad\r\n 1# 0x00007EFD15F18400 in /lib64/libc.so.6\r\n 2# rsz::BufferedNet::BufferedNet(rsz::BufferedNetType, odb::Point, std::shared_ptr, std::shared_ptr, rsz::Resizer const*) in openroad\r\n 3# 0x0000000000E1E632 in openroad\r\n 4# 0x0000000000E1E560 in openroad\r\n 5# 0x0000000000E1E560 in openroad\r\n 6# 0x0000000000E1E560 in openroad\r\n 7# rsz::Resizer::makeBufferedNetGroute(sta::Pin const*, sta::Corner const*) in openroad\r\n 8# rsz::Resizer::makeBufferedNet(sta::Pin const*, sta::Corner const*) in openroad\r\n 9# rsz::RepairSetup::rebuffer(sta::Pin const*) in openroad\r\n10# rsz::RepairSetup::repairSetup(sta::PathRef&, float) in openroad\r\n11# rsz::RepairSetup::repairSetup(float, int) in openroad\r\n12# 0x0000000000E1B03E in openroad\r\n13# 0x00007EFD1AA4DEB2 in /lib64/libtcl8.5.so\r\n14# 0x00007EFD1AA9236C in /lib64/libtcl8.5.so\r\n15# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n16# 0x00007EFD1AA4DEB2 in /lib64/libtcl8.5.so\r\n17# 0x00007EFD1AA9236C in /lib64/libtcl8.5.so\r\n18# 0x00007EFD1AA9A647 in /lib64/libtcl8.5.so\r\n19# TclEvalObjEx in /lib64/libtcl8.5.so\r\n20# 0x00007EFD1AAD527F in /lib64/libtcl8.5.so\r\n21# 0x00007EFD1AA4DEB2 in /lib64/libtcl8.5.so\r\n22# 0x00007EFD1AA9236C in /lib64/libtcl8.5.so\r\n23# 0x00007EFD1AA9A647 in /lib64/libtcl8.5.so\r\n24# TclEvalObjEx in /lib64/libtcl8.5.so\r\n25# 0x00007EFD1AA551D0 in /lib64/libtcl8.5.so\r\n26# 0x00007EFD1AA4DEB2 in /lib64/libtcl8.5.so\r\n27# 0x00007EFD1AA9236C in /lib64/libtcl8.5.so\r\n28# 0x00007EFD1AA9A647 in /lib64/libtcl8.5.so\r\n29# TclEvalObjEx in /lib64/libtcl8.5.so\r\n30# 0x00007EFD1AA58F00 in /lib64/libtcl8.5.so\r\n31# 0x00007EFD1AA4DEB2 in /lib64/libtcl8.5.so\r\n32# 0x00007EFD1AA9236C in /lib64/libtcl8.5.so\r\n33# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n34# 0x00007EFD1AA4DEB2 in /lib64/libtcl8.5.so\r\n35# 0x00007EFD1AA9236C in /lib64/libtcl8.5.so\r\n36# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n37# 0x00007EFD1AA4DEB2 in /lib64/libtcl8.5.so\r\n38# 0x00007EFD1AA4EF1E in /lib64/libtcl8.5.so\r\n39# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n40# Tcl_Eval in /lib64/libtcl8.5.so\r\n41# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n42# ord::tclAppInit(Tcl_Interp*) in openroad\r\n43# Tcl_Main in /lib64/libtcl8.5.so\r\n44# main in openroad\r\n45# __libc_start_main in /lib64/libc.so.6\r\n46# 0x0000000000CC6CC7 in openroad\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nThis design uses a macro. I am probably using the macro incorrectly, because I can only get this project to get past the `PDN` step by setting `FP_PDN_ENABLE_RAILS`. Otherwise it complains in step 7 \"PDN\":\r\n\r\n```\r\n[WARNING PDN-0189] Supply pin vdd of instance minimax.regfile_execution is not connected to any net.\r\n[WARNING PDN-0189] Supply pin vss of instance minimax.regfile_execution is not connected to any net.\r\n...\r\n[INFO PDN-0001] Inserting grid: macro - minimax.regfile_execution\r\n[INFO PDN-0001] Inserting grid: macro - minimax.regfile_microcode\r\n...\r\n[WARNING PSM-0038] Unconnected PDN node on net vdd at location (6.720um, 1509.200um), layer: 1.\r\n[WARNING PSM-0038] Unconnected PDN node on net vdd at location (19.600um, 1509.200um), layer: 1.\r\n[WARNING PSM-0038] Unconnected PDN node on net vdd at location (6.720um, 1501.360um), layer: 1.\r\n[WARNING PSM-0038] Unconnected PDN node on net vdd at location (19.600um, 1501.360um), layer: 1.\r\n[ERROR PSM-0069] Check connectivity failed.\r\nError: pdn.tcl, 39 PSM-0069\r\n```\r\n\r\nIt's unclear what this message is saying, and very unclear how to resolve it.\r\n\r\nHowever, even if I am using this macro incorrectly, the global router shouldn't segfault."}, {"assistant": "PDN is Power Delivery Network and PSM is Power SiMulation. PDN is what inserts the power grid at the top level, and PSM verifies that the connectivity is correct.\r\n\r\nThe error is saying that the macro has a power pin (`vdd`) which is not physically connected to the top-level power grid. As a result, this macro is unpowered and not correct.\r\n\r\nThere's a few reasons why this can happen, the best bet is to just open up the GUI and look at the coordinates specified. The macro might be too small for the macro grid specified. Macros might be too close to each other or have some other placement problem. The power pins on the macro might be poorly defined or incorrect.\r\n\r\nIt's not related to the segfault but is very likely an issue with the design."}, {"user": "Thanks for the input. I thought it might be due to me feeding it something invalid, which explains the segfault. I'd still like it to not segfault, but at least I know it's a failure state due to bad input.\r\n\r\nDo you have any suggestions on how I can troubleshoot this particular design? There are no macros at those coordinates -- my macros only begin at 30um, so anything less than 30 is empty space. Opening the last-available file also shows empty space at all reported locations such as `6.720um, 1501.360um`"}, {"user": "Okay, perhaps there's some clamping code in there that's causing it to wrap. I moved everything down by 200 um and eventually it managed to continue even with `FP_PDN_ENABLE_RAILS` as default unset.\r\n\r\nHowever, it still segfaults when it gets to Step 13 `Running Global Routing Resizer Timing Optimizations`"}, {"user": "Here is the `issue_reproducible` from the run where the placement succeeded and PDN should have been run, yet it still segfaults: [issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10419676/issue_reproducible.tar.gz)"}, {"assistant": "This is no a properly packaged test. First I get:\r\n\r\nError: resizer_routing_timing.tcl, 15 cannot read file pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/liberty/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib\r\n\r\nI manually copied over that file but then I get:\r\n\r\nError: resizer_routing_timing.tcl, 15 cannot read file /si/work/caravel-minimax/lib/minimax_rf.lib.\r\n\r\nPlease provide a test case that is complete."}, {"user": "Is the `issue_reproducible` file not enough to reproduce the issue? What should I do in order to create the test?\r\n\r\nThe following script takes about 7 minutes to run on my system, and replicates it, but if there's something in particular you'd like me to provide from this directory I can do that:\r\n\r\n```bash\r\n#!/bin/bash\r\nset -ex\r\ngit clone -b global-routing-segfault --recurse-submodules https://github.com/xobs/caravel-minimax.git\r\ncd caravel-minimax\r\n. activate-caravel.sh\r\nmake setup\r\nmake minimax_rf\r\nmake mimi\r\n```"}, {"assistant": "The reproducible doesn't contain the needed files I mentioned above."}, {"user": "Those files are created by `make minimax_rf`, which is why I included it in the reproduction steps.\r\n\r\nI've compressed the entire directory post-build at https://files.xobs.io/file/IapzPOV1iORhMr2Z/MBZx9cCt1qYapMMQ/caravel-minimax.tar.gz and I've added the post-build files to a new repo and pushed them to https://github.com/xobs/caravel-minimax-global-routing-segfault"}, {"assistant": "@xobs \r\nPlease raise github issue in https://github.com/The-OpenROAD-Project/OpenLane/issues for the issue reproducible problem as its creating recursive folder name instead of respective file to copy. See below, its copied directory not files.\r\n```\r\nissue_reproducible/pdk/\r\nissue_reproducible/pdk/gf180mcuC/\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/liberty/\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/liberty/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib /\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/liberty/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib /si/\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/liberty/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib /si/work/\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/liberty/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib /si/work/caravel-minimax/\r\nissue_reproducible/pdk/gf180mcuC/libs.ref/gf180mcu_fd_ip_sram/liberty/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib /si/work/caravel-minimax/lib/\r\n```\r\nI think the problem arises when library pointed outside `PDK_ROOT`."}, {"assistant": "@maliberty \r\nbased on user repo, re-created the test case and crash confirmed: \r\n[issue_reproducible.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10422997/issue_reproducible.zip)\r\n"}, {"user": "Filed an issue at https://github.com/The-OpenROAD-Project/OpenLane/issues/1624"}]} +{"num": 2770, "messages": [{"user": "### Describe the bug\n\nI have a test case that routes a bus around an obstruction:\r\n\r\n![bus-route](https://user-images.githubusercontent.com/2029971/212798583-838cedd0-3606-4fca-9837-d198833f05b2.png)\r\n\r\nWhen looking at the grt 3D grid usage, the first two cells next to the pins all have 0 usage (ie 20 available tracks):\r\n\r\n```\r\n20 20 1 1 1 1 11 26 30 30 30 30 30 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n20 20 1 1 1 1 1 16 20 20 20 20 20 0 \r\n```\r\n\r\nThe issue looks to be the pin offset code, which moves the grid position for all pins 2 gcells in, but doesn't add any cost to the first 2 gcells. That seems bad, because any congestion would make grt want to route back through those 2 \"empty\" gcells.\r\n\r\nI can guess at what the pin offset code is trying to achieve (avoid congestion in the gcell containing the pin), but I wonder how much tuning has been done of this (1 or 0 could easily be a better default).\n\n### Expected Behavior\n\nCorrect grid usage on all gcells\n\n### Environment\n\n```shell\nGit commit: 1ff101efbd3bf6ce4952e93c9c6b8db7e13c9346\r\nos: Fedora Linux 37 (Workstation Edition)\r\ncmake version 3.25.1\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 15.0.6 (Fedora 15.0.6-2.fc37)\n```\n\n\n### To Reproduce\n\nRun test case in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2636\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "The test case is missing the files on the folder \"sky130hd/\". Or are they the same as the ones in \"sky130hs\"?"}, {"assistant": "hd and hs are not the same. Most likely you can just copy them over from ORFS."}, {"assistant": "@antonblanchard , I am having problems running the test case. \r\nI get FAIL: met3-5 found when running the bus_route.tcl. What can I do?\r\n"}, {"user": "> The test case is missing the files on the folder \"sky130hd/\". Or are they the same as the ones in \"sky130hs\"?\r\n\r\nThe test case has a symlink back to test/sky130hd. What problem are you seeing?"}, {"assistant": "@antonblanchard I got the files correctly now. But when running bus_route.tcl I get FAIL: met3-5 found\r\n\r\nforeach line $lines {\r\n if {[regexp {met[3-5]} $line] != -1} {\r\n puts \"FAIL: met3-5 found\"\r\n exit\r\n }\r\n}"}, {"user": "> @antonblanchard I got the files correctly now. But when running bus_route.tcl I get FAIL: met3-5 found\r\n> \r\n> foreach line $lines { if {[regexp {met[3-5]} $line] != -1} { puts \"FAIL: met3-5 found\" exit } }\r\n\r\nThe test case is meant to fail, there are a bunch of grt fixes that are waiting to be merged. It's just managing to also hit this issue.\r\n\r\nYou need to look at the 3d grid usage. I have a patch that dumps it in ascii form, perhaps we want something like that upstream. I forgot to mention it, but the issue is on `met2`, and this is the usage for that layer:\r\n\r\n```\r\n20 20 1 1 1 1 11 26 30 30 30 30 30 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n 0 0 0 0 0 0 20 20 20 20 20 20 20 0 \r\n20 20 1 1 1 1 1 16 20 20 20 20 20 0 \r\n```\r\n\r\nI guess we could write numbers in the gui inside each gcell via a debug option too."}, {"assistant": "@gadfort it might be good in the congestion map to have an option to show capacity or usage"}, {"assistant": "Right now we could just change the data source data to do that, but it might be a little more involved be able to display 2 pieces of data together."}, {"assistant": "I was thinking more of a choice of what to display - congestion, usage, or capacity - from the setting dialog"}, {"user": "This is the patch to dump the grt 3d graph values in ascii, perhaps I should clean it up and add it as a proper debug option.\r\n\r\n[grt-ascii.patch.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10444881/grt-ascii.patch.gz)\r\n"}, {"assistant": "This is controlled by grt's set_macro_extension. It is mostly a hack for process that have unidirectional routing where the guides might be too close to the macro to really allow access. We route to 'fake pins' extended away in order to ensure that the final connection is right way to the real pin. It seems we don't account for this during the fr initialization."}, {"user": "> This is controlled by grt's set_macro_extension. It is mostly a hack for process that have unidirectional routing where the guides might be too close to the macro to really allow access. We route to 'fake pins' extended away in order to ensure that the final connection is right way to the real pin. It seems we don't account for this during the fr initialization.\r\n\r\nThis test case isn't using set_macro_extension. Pin offset is 2 by default: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/grt/src/GlobalRouter.cpp#L94"}, {"assistant": "> > This is controlled by grt's set_macro_extension. It is mostly a hack for process that have unidirectional routing where the guides might be too close to the macro to really allow access. We route to 'fake pins' extended away in order to ensure that the final connection is right way to the real pin. It seems we don't account for this during the fr initialization.\r\n> \r\n> This test case isn't using set_macro_extension. Pin offset is 2 by default: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/grt/src/GlobalRouter.cpp#L94\r\n\r\nThis is aplied also to pins conected to Pads"}, {"assistant": "Just to verify - if you set the extension to zero does the problem go away?\r\n\r\n@eder-matheus do you recall why we defaulted it to two?"}, {"assistant": "I tired running with it set to 0 and the problem goes away\r\n"}, {"user": "@maliberty also confirming what @arthurjolo said - `set_pin_offset 0` fixes it"}, {"assistant": "> Just to verify - if you set the extension to zero does the problem go away?\r\n> \r\n> @eder-matheus do you recall why we defaulted it to two?\r\n\r\nWe defaulted it to two as it was the best value across the designs we had at that time that avoided DRCs near the macro pins.\r\n\r\nActually, the idea was not necessarily to avoid congestion near the macros, but to avoid connections to the macro pins that would cause min spacing violations (with detours near routing obstructions or overlaps with other wires). "}, {"assistant": "@antonblanchard I created a pull request mentioning this issue that should correct it, as I mention in the PR for this test case it also needs your fix for the function computeTrackAdjustments(). "}]} +{"num": 2775, "messages": [{"user": "### Subject\n\n[Build] for compilation-related issues.\n\n### Describe the bug\n\n\"openroad\" command cannot be used after installation of the project.\r\nI try to find command file to link to my environment but it does not work.,\r\nI cannot find any bin or sbin/openroad file in my OpenROAD. Only a file called openroad in the direction of ./src/openroad.\r\n\n\n### Expected Behavior\n\nI need to run the openroad command to start a design flow.\n\n### Environment\n\n```shell\nUbuntu 22.04\n```\n\n\n### To Reproduce\n\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\n\r\ncd OpenROAD\r\nsudo ./etc/DependencyInstaller.sh -run\r\nsudo ./etc/DependencyInstaller.sh -dev\r\nsudo apt-get install libspdlog-dev\r\nsudo apt install tcl-dev\r\n./etc/Build.sh\r\n\r\nopenroad -gui # the final row\r\n\r\nerror then happening\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@JeffreyHJF \r\nCan you check the following path for `openroad` executable?\r\n`OpenROAD/build/src`"}, {"assistant": "Other way add following format in `.bashrc` file and execute from anywhere\r\n`export OPENROAD_HOME=\"/OpenROAD/build/src\"`\r\n`source ~/.bashrc`\r\n`$OPENROAD_HOME/openroad -gui`"}, {"user": "> @JeffreyHJF Can you check the following path for `openroad` executable? `OpenROAD/build/src`\r\n\r\nyeah I have try that, it does not work. Thanks for your help I would take the advice to try again"}, {"user": "the openroad is not executable."}, {"user": "at my home page, I used **vi ~ /.bashrc** to go into the file you mentioned and add the content you said, but finally, when I used the command of openroad, it is still not found.\r\nI don't know if I missed any point in the operation\r\n"}, {"assistant": "Can you try below command once again in new directory and let me know\r\n```\r\ncd\r\nmkdir OPENROAD\r\ncd OPENROAD\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\ncd OpenROAD\r\n./etc/DependencyInstaller.sh -local\r\n./etc/Build.sh\r\n```\r\nThen try from `src` directory to lanuch `openroad -gui`\r\n"}, {"user": "eeuser@eeuser-HP-EliteDesk-800-G3-TWR:~/OPENROAD/OpenROAD/src$ openroad -gui\r\nopenroad: command not found\r\n\r\n\r\nI have repeated the steps you mentioned, but cannot open the GUI\r\n"}, {"assistant": "Try this way `./openroad -gui`\r\nJust for confirmation is an installation completed without any errors?\r\nCan you share tail of logs you got after `./etc/Build.sh`"}, {"user": "[100%] Built target openroad\r\n[100%] Linking CXX executable trTest\r\ncd /home/eeuser/OPENROAD/OpenROAD/build/src/drt && /usr/local/bin/cmake -E cmake_link_script CMakeFiles/trTest.dir/link.txt --verbose=1\r\n/usr/bin/g++ -O3 -DNDEBUG CMakeFiles/trTest.dir/test/gcTest.cpp.o CMakeFiles/trTest.dir/test/fixture.cpp.o CMakeFiles/trTest.dir/test/stubs.cpp.o CMakeFiles/trTest.dir/__/gui/src/stub.cpp.o -o trTest drt.a ../stt/stt.a ../dst/dst.a /usr/local/lib/libboost_serialization.a /usr/local/lib/libboost_system.a /usr/local/lib/libboost_thread.a ../dbSta/src/dbSta.a ../gui/gui.a ../odb/src/cdl/libcdl.a ../odb/src/defin/libdefin.a ../odb/src/def/libdef.a ../odb/src/def/libdefzlib.a ../odb/src/lefin/liblefin.a ../odb/src/lef/liblef.a ../odb/src/lef/liblefzlib.a ../odb/src/db/libdb.a ../odb/src/defout/libdefout.a ../odb/src/lefout/liblefout.a ../odb/src/db/libdb.a ../odb/src/defout/libdefout.a ../odb/src/lefout/liblefout.a ../odb/src/upf/upf.a ../odb/src/tm/libtm.a ../odb/src/zutil/libzutil.a /usr/lib/x86_64-linux-gnu/libtcl.so /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.15.3 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.15.3 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.15.3 /home/eeuser/OPENROAD/OpenROAD/src/sta/app/libOpenSTA.a ../utl/utl.a /usr/local/lib/libspdlog.a /usr/lib/gcc/x86_64-linux-gnu/11/libgomp.so /usr/lib/x86_64-linux-gnu/libpthread.a /usr/local/lib/libboost_serialization.a /usr/lib/x86_64-linux-gnu/libz.so \r\ngmake[2]: Leaving directory '/home/eeuser/OPENROAD/OpenROAD/build'\r\n[100%] Built target trTest\r\ngmake[1]: Leaving directory '/home/eeuser/OPENROAD/OpenROAD/build'\r\n/usr/local/bin/cmake -E cmake_progress_start /home/eeuser/OPENROAD/OpenROAD/build/CMakeFiles 0\r\n\r\nreal\t11m16.908s\r\nuser\t79m4.245s\r\nsys\t4m29.090s\r\n"}, {"assistant": "As per log installation successful. Execute below command now\r\n```\r\ncd /home/eeuser/OPENROAD/OpenROAD/build/src\r\n./openroad -gui\r\n```"}, {"user": "Ok! It do work right now, Thanks for your help! I do appreciate it!"}, {"assistant": "> Other way add following format in `.bashrc` file and execute from anywhere `export OPENROAD_HOME=\"/OpenROAD/build/src\"` `source ~/.bashrc` `$OPENROAD_HOME/openroad -gui`\r\n\r\nrepeat this. It can be source anywhere and launched."}]} +{"num": 2777, "messages": [{"user": "### Describe the bug\n\n- Build from source in a new docker ubuntu container\r\n- Run `./test/regression`\r\n\r\nObserve:\r\n\r\n```\r\nfind_clks1 (tcl) *ERROR* segmentation violation\r\nfind_clks2 (tcl) *ERROR* segmentation violation \r\n```\r\n\r\nfind_clks1-tcl.log:\r\n\r\n```\r\n[INFO ODB-0222] Reading LEF file: Nangate45/Nangate45.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0225] Created 135 library cells\r\n[INFO ODB-0226] Finished LEF file: Nangate45/Nangate45.lef\r\n[INFO ODB-0222] Reading LEF file: pad.lef\r\n[INFO ODB-0225] Created 1 library cells\r\n[INFO ODB-0226] Finished LEF file: pad.lef\r\n[INFO ODB-0128] Design: top\r\n[INFO ODB-0130] Created 3 pins.\r\n[INFO ODB-0131] Created 4 components and 22 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 6 connections.\r\n[INFO ODB-0133] Created 6 nets and 10 connections.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055FBDCE9416B in /home/cdleary/OpenROAD/build/src/openroad\r\n 1# 0x00007FC7C7A03520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# 0x00007FC7C7B5E97D in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# odb::dbNet::getName[abi:cxx11]() in /home/cdleary/OpenROAD/build/src/openroad\r\n 4# 0x000055FBDD3B8334 in /home/cdleary/OpenROAD/build/src/openroad\r\n 5# 0x000055FBDD06E4DE in /home/cdleary/OpenROAD/build/src/openroad\r\n 6# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 7# 0x00007FC7CB89C028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 8# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 9# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/cdleary/OpenROAD/build/src/openroad\r\n11# ord::tclAppInit(Tcl_Interp*) in /home/cdleary/OpenROAD/build/src/openroad\r\n12# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# main in /home/cdleary/OpenROAD/build/src/openroad\r\n14# 0x00007FC7C79EAD90 in /lib/x86_64-linux-gnu/libc.so.6\r\n15# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n16# _start in /home/cdleary/OpenROAD/build/src/openroad\r\nERROR segmentation violation\r\n```\r\n\r\nNot sure why this would not show in CI? LMK if I likely did something wrong on my end.\n\n### Expected Behavior\n\nNo errors from regression.\n\n### Environment\n\n```shell\n$ ./etc/Env.sh \r\nGit commit: deed8b941265211d244d78d3e150fe9449b3b49f\r\nkernel: Linux 5.19.11-1rodete1-amd64\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\ngcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0\r\nUbuntu clang version 14.0.0-1ubuntu1\r\n```\n```\n\n\n### To Reproduce\n\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\nsudo ./etc/DependencyInstaller.sh\r\nmkdir build\r\ncd build\r\ncmake ..\r\nmake -j\r\ncd ..\r\n./test/regression\r\n```\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@cdleary do you plan to look into this or are you asking for help?"}, {"user": "@maliberty Working on a different bug at the moment, if nobody else is going to be able to look soon I can put it in my queue?"}, {"assistant": "We also ready run the unit tests in a ubuntu docker container and they pass. See https://jenkins.openroad.tools/job/OpenROAD-Public/job/master/ \"Test docker ubuntu20\". How is this different?"}, {"user": "Only meaningful difference I see in the logs is there's an argument to the regression script. Let me take assignment until I have something more meaningfully detailed to report."}, {"assistant": "@cdleary Can you check the commit of the OpenROAD repo as well as the commit of the sta submodule?\r\n\r\nsrc/sta sometimes goes out of sync."}, {"user": "```\r\n$ git submodule status --recursive\r\n 2a2b5cd59f5d1b596f20cbd39609c52b33299e06 src/sta (v2.2.0-524-g2a2b5cd)\r\n 95b3543e928640dfa25f9e882e72a090a8883a9c third-party/abc (heads/master)\r\ncdleary@645a06e7adc4:~/OpenROAD$ git show\r\ncommit deed8b941265211d244d78d3e150fe9449b3b49f (HEAD -> master, origin/master, origin/HEAD)\r\n```"}, {"user": "Just some debugging notes -- I don't have experience debugging across TCL swig bindings yet so getting my sea legs:\r\n\r\n```\r\ncdleary@645a06e7adc4:~/OpenROAD/src/dbSta/test$ gdb --args ../../../build/src/openroad find_clks1.tcl \r\n[INFO ODB-0133] Created 6 nets and 10 connections.\r\n \r\nThread 1 \"openroad\" received signal SIGSEGV, Segmentation fault. \r\n__strlen_avx2 () at ../sysdeps/x86_64/multiarch/strlen-avx2.S:74 \r\n74 ../sysdeps/x86_64/multiarch/strlen-avx2.S: No such file or directory. \r\n(gdb) up \r\n#1 0x0000560ab4929465 in std::char_traits::length (__s=0x1df6da55e8d369e8 ) at /usr/include/c++/11/bits/char_traits.h:399\r\n399 return __builtin_strlen(__s); \r\n#2 0x0000560ab4929918 in std::__cxx11::basic_string, std::allocator >::basic_string > (this=0x7ffd8c76f550, __s=0x1df6da55e8d369e8 , __a=...) at /usr/include/c++/11/bits/basic_string.h:536\r\n536 const _CharT* __end = __s ? __s + traits_type::length(__s)\r\n(gdb) \r\n#3 0x0000560ab7a66167 in odb::dbNet::getName[abi:cxx11]() (this=0x560abd66abb0) at /home/cdleary/OpenROAD/src/odb/src/db/dbNet.cpp:629\r\n629 return net->_name;\r\n(gdb) p *net\r\n$9 = { = { = {static max_name_length = 256}, _oid = 3720657370}, _flags = {_sig_type = 15, _wire_type = odb::dbWireType::NONE, _special = 0, _wild_connect = 1, _wire_ordered = 1, _buffered = 0, _disconnected = 1, _spef = 0, _select = 1, _mark = 0, _mark_1 = 0, _wire_altered = 0, _extracted = 0, _rc_graph = 0, _reduced = 0, \r\n _set_io = 0, _io = 0, _dont_touch = 0, _fixed_bump = 0, _source = odb::dbSourceType::NONE, _rc_disconnected = 0, _block_rule = 0}, _name = 0x1df6da55e8d369e8 , {_gndc_calibration_factor = 4.48415509e-44, _refCC = 4.48415509e-44}, {_cc_calibration_factor = 0, _dbCC = 0, _CcMatchRatio = 0}, \r\n _next_entry = {_id = 33}, _iterms = {_id = 0}, _bterms = {_id = 3177623264}, _wire = {_id = 22026}, _global_wire = {_id = 3177568048}, _swires = {_id = 22026}, _cap_nodes = {_id = 13}, _r_segs = {_id = 0}, _non_default_rule = {_id = 49}, guides_ = {_id = 0}, \r\n _groups = {, std::allocator > >> = std::vector of length -23649946161907, capacity -23651019903731 = {{_id = 3036091340}, {_id = 22026}, {_id = 3036091402}, {_id = 22026}, {_id = 3036091450}, {_id = 22026}, {_id = 3036091516}, {_id = 22026}, {_id = 3036091662}, {_id = 22026}, {_id = 0}, {\r\n _id = 0}, {_id = 3130077136}, {_id = 22026}, {_id = 3036039428}, {_id = 22026}, {_id = 3036039518}, {_id = 22026}, {_id = 3036039566}, {_id = 22026}, {_id = 3036039756}, {_id = 22026}, {_id = 0}, {_id = 0}, {_id = 3130077160}, {_id = 22026}, {_id = 3036038354}, {_id = 22026}, {_id = 3036038384}, {_id = 22026}, {_id = 0}, {_id = 0}, {_id = 3130077200}, \r\n {_id = 22026}, {_id = 3036038148}, {_id = 22026}, {_id = 3036038234}, {_id = 22026}, {_id = 4294967256}, {_id = 4294967295}, {_id = 3130077200}, {_id = 22026}, {_id = 3036038224}, {_id = 22026}, {_id = 3036038281}, {_id = 22026}, {_id = 0}, {_id = 0}, {_id = 3130077256}, {_id = 22026}, {_id = 3036036876}, {_id = 22026}, {_id = 3036036966}, {\r\n _id = 22026}, {_id = 0}, {_id = 0}, {_id = 3130077280}, {_id = 22026}, {_id = 3036036116}, {_id = 22026}, {_id = 3036036218}, {_id = 22026}, {_id = 0}, {_id = 0}, {_id = 3130077296}, {_id = 22026}, {_id = 3035952004}, {_id = 22026}, {_id = 3035952490}, {_id = 22026}, {_id = 3035966352}, {_id = 22026}, {_id = 3035966504}, {_id = 22026}, {\r\n _id = 3035966198}, {_id = 22026}, {_id = 3036027288}, {_id = 22026}, {_id = 3036045514}, {_id = 22026}, {_id = 3036045538}, {_id = 22026}, {_id = 3036045562}, {_id = 22026}, {_id = 3035952538}, {_id = 22026}, {_id = 3035966656}, {_id = 22026}, {_id = 3035967538}, {_id = 22026}, {_id = 3035967592}, {_id = 22026}, {_id = 3035968670}, {_id = 22026}, {\r\n _id = 3035970312}, {_id = 22026}, {_id = 3035968914}, {_id = 22026}, {_id = 3035968792}, {_id = 22026}, {_id = 3035969168}, {_id = 22026}, {_id = 3035969046}, {_id = 22026}, {_id = 3035969302}, {_id = 22026}, {_id = 3035969424}, {_id = 22026}, {_id = 3035969546}, {_id = 22026}, {_id = 3035971052}, {_id = 22026}, {_id = 3035971864}, {_id = 22026}, {\r\n _id = 3035971636}, {_id = 22026}, {_id = 3035972416}, {_id = 22026}, {_id = 3035972668}, {_id = 22026}, {_id = 3035972542}, {_id = 22026}, {_id = 3035972794}, {_id = 22026}, {_id = 3035972942}, {_id = 22026}, {_id = 3035973090}, {_id = 22026}, {_id = 3035973238}, {_id = 22026}, {_id = 3035973446}, {_id = 22026}, {_id = 3035973628}, {_id = 22026}, {\r\n _id = 3035973696}, {_id = 22026}, {_id = 3035973764}, {_id = 22026}, {_id = 3035973924}, {_id = 22026}, {_id = 3035973992}, {_id = 22026}, {_id = 3035974060}, {_id = 22026}, {_id = 3035974220}, {_id = 22026}, {_id = 3035974288}, {_id = 22026}, {_id = 3035974356}, {_id = 22026}, {_id = 3035974516}, {_id = 22026}, {_id = 3035974698}, {_id = 22026}, {\r\n _id = 3035974806}, {_id = 22026}, {_id = 3035974956}, {_id = 22026}, {_id = 3035975064}, {_id = 22026}, {_id = 3035975172}, {_id = 22026}, {_id = 3035975240}, {_id = 22026}, {_id = 3035975308}, {_id = 22026}, {_id = 3035975490}, {_id = 22026}, {_id = 3035975558}, {_id = 22026}, {_id = 3035975626}, {_id = 22026}, {_id = 3035975808}, {_id = 22026}, {\r\n _id = 3035975876}, {_id = 22026}, {_id = 3035975944}, {_id = 22026}, {_id = 3035976126}, {_id = 22026}, {_id = 3035976194}, {_id = 22026}, {_id = 3035976262}, {_id = 22026}, {_id = 3035976444}, {_id = 22026}, {_id = 3035976570}, {_id = 22026}, {_id = 3035976632}, {_id = 22026}, {_id = 3035976694}, {_id = 22026}, {_id = 3035977050}, {_id = 22026}, {\r\n _id = 3035978074}, {_id = 22026}, {_id = 3035978118}, {_id = 22026}, {_id = 3035978162}, {_id = 22026}...}, }, _weight = -1117348160, _xtalk = 22026, _ccAdjustFactor = 0, _ccAdjustOrder = 0, _drivingIterm = 49}\r\n (gdb) p net->_name\r\n$12 = 0x1df6da55e8d369e8 \r\n```"}, {"user": "Perhaps different TCL libraries, platform vs what `./etc/DependencyInstaller.sh` gave me / cmake linked against?\r\n\r\n```\r\n$ dpkg --list | grep -i tcl\r\nii libtcl8.6:amd64 8.6.12+dfsg-1build1 amd64 Tcl (the Tool Command Language) v8.6 - run-time library files\r\nii tcl 8.6.11+1build2 amd64 Tool Command Language (default version) - shell\r\nii tcl-dev:amd64 8.6.11+1build2 amd64 Tool Command Language (default version) - development files\r\nii tcl-tclreadline:amd64 2.3.8-1 amd64 GNU Readline Extension for Tcl/Tk\r\nii tcl8.6 8.6.12+dfsg-1build1 amd64 Tcl (the Tool Command Language) v8.6 - shell\r\nii tcl8.6-dev:amd64 8.6.12+dfsg-1build1 amd64 Tcl (the Tool Command Language) v8.6 - development files\r\nii tcllib 1.20+dfsg-1 all Standard Tcl Library\r\n```\r\n\r\n```\r\ncdleary@645a06e7adc4:~/OpenROAD/src/dbSta/test$ ldd ../../../build/src/openroad | grep tcl\r\n libtcl8.6.so => /lib/x86_64-linux-gnu/libtcl8.6.so (0x00007f1484f07000)\r\n libtclreadline-2.3.8.so => /lib/x86_64-linux-gnu/libtclreadline-2.3.8.so (0x00007f1484f01000)\r\n```"}, {"assistant": "Maybe try running cmake again in the folder, and seeing what versions of libs you're using."}, {"user": "Looks like there's only one version of tcl so far as I can tell and it's the one `ldd` shows too.\r\n\r\nValgrind indicates we're reading from something that got destructed, looking into that:\r\n\r\n```\r\n==86330== Invalid read of size 8 \r\n==86330== at 0x6BB7150: odb::dbNet::getName[abi:cxx11]() (dbNet.cpp:629) \r\n==86330== by 0x41EEE50: _wrap_dbNet_getName (odbTCL_wrap.cxx:28731) \r\n==86330== by 0x3D96191: SWIG_Tcl_MethodCommand (dbStaTCL_wrap.cxx:1328) \r\n==86330== by 0xA7E6D31: TclNRRunCallbacks (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so) \r\n==86330== by 0xA7E8027: ??? (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so) \r\n==86330== by 0xA7E7AA6: Tcl_EvalEx (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so) \r\n==86330== by 0xA7E8C99: Tcl_Eval (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so) \r\n==86330== by 0x3E434F2: sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) (StaMain.cc:95) \r\n==86330== by 0x3A868A0: tclAppInit(int&, char**, char const*, Tcl_Interp*) (Main.cc:404) \r\n==86330== by 0x3A86B63: ord::tclAppInit(Tcl_Interp*) (Main.cc:433) \r\n==86330== by 0xA89AB18: Tcl_MainEx (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so) \r\n==86330== by 0x3A86205: main (Main.cc:284) \r\n==86330== Address 0x13140018 is 8 bytes inside a block of size 16 free'd \r\n==86330== at 0xA793B6F: operator delete(void*, unsigned long) (in /usr/libexec/valgrind/vgpreload_memcheck-amd64-linux.so)\r\n==86330== by 0x3CAFA30: __gnu_cxx::new_allocator::deallocate(odb::dbNet**, unsigned long) (new_allocator.h:145) \r\n==86330== by 0x3CAA76B: std::allocator_traits >::deallocate(std::allocator&, odb::dbNet**, unsigned long) (alloc_traits.h:496)\r\n==86330== by 0x3CA6597: std::_Vector_base >::_M_deallocate(odb::dbNet**, unsigned long) (stl_vector.h:354) \r\n==86330== by 0x3CA29B1: std::_Vector_base >::~_Vector_base() (stl_vector.h:335) \r\n==86330== by 0x3CA2A06: std::vector >::~vector() (stl_vector.h:683) \r\n==86330== by 0x3E1D836: SwigValueWrapper > >::SwigSmartPointer::~SwigSmartPointer() (dbStaTCL_wrap.cxx:1849) \r\n==86330== by 0x3E1AD43: SwigValueWrapper > >::~SwigValueWrapper() (TritonCTS-pyPYTHON_wrap.cxx:3022) \r\n==86330== by 0x3E1820D: _wrap_find_all_clk_nets (dbStaTCL_wrap.cxx:39945)\r\n==86330== by 0xA7E6D31: TclNRRunCallbacks (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so)\r\n==86330== by 0xA7E8027: ??? (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so)\r\n==86330== by 0xA7E7AA6: Tcl_EvalEx (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so) \r\n==86330== Block was alloc'd at \r\n==86330== at 0xA791013: operator new(unsigned long) (in /usr/libexec/valgrind/vgpreload_memcheck-amd64-linux.so) \r\n==86330== by 0x3CB7D4F: __gnu_cxx::new_allocator::allocate(unsigned long, void const*) (new_allocator.h:127) \r\n==86330== by 0x3CB44CA: std::allocator_traits >::allocate(std::allocator&, unsigned long) (alloc_traits.h:464) \r\n==86330== by 0x3CAFC59: std::_Vector_base >::_M_allocate(unsigned long) (stl_vector.h:346) \r\n==86330== by 0x3E1F1C4: void std::vector >::_M_range_initialize >(std::_Rb_tree_const_iterator, std::_Rb_tree_const_iterator, std::forward_iterator_tag) (stl_vector.h:1582) \r\n==86330== by 0x3E1C211: std::vector >::vector, void>(std::_Rb_tree_const_iterator, std::_Rb_tree_const_iterator, std::allocator const&) (stl_vector.h:657) \r\n==86330== by 0x3DA4EB7: find_all_clk_nets() (dbStaTCL_wrap.cxx:7289)\r\n==86330== by 0x3E1814E: _wrap_find_all_clk_nets (dbStaTCL_wrap.cxx:39923)\r\n==86330== by 0xA7E6D31: TclNRRunCallbacks (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so)\r\n==86330== by 0xA7E8027: ??? (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so)\r\n==86330== by 0xA7E7AA6: Tcl_EvalEx (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so)\r\n==86330== by 0xA7E8C99: Tcl_Eval (in /usr/lib/x86_64-linux-gnu/libtcl8.6.so)\r\n```"}, {"user": "(I do think the CI is running ubuntu 20 whereas I'm running ubuntu 22. Going to just make sure I don't see it with 20 first before digging more.)"}, {"assistant": "> (I do think the CI is running ubuntu 20 whereas I'm running ubuntu 22. Going to just make sure I don't see it with 20 first before digging more.)\r\n\r\nCI runs natively on CentOS 7 and on a Docker image of ubuntu 20."}, {"assistant": "I'm running it on Ubuntu 22 and I do not see this segfault either, so I don't think that would be the cause."}, {"user": "> I'm running it on Ubuntu 22 and I do not see this segfault either, so I don't think that would be the cause.\r\n\r\nYeah forgot to reply yesterday that I also repro'd it in a Ubuntu 20 container as well so something fascinating must be going on. Should have more time to dig today. Fascinating to get a \"works on my machine\" experience even when using containers! My flow is just:\r\n\r\n```\r\ndocker run -it ubuntu \r\napt-get update\r\napt-get install sudo vim git build-essential\r\nadduser cdleary\r\nvim /etc/group\r\nsu cdleary\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\nsudo ./etc/DependencyInstaller.sh\r\nmkdir build\r\ncd build\r\ncmake ..\r\nmake -j\r\ncd ..\r\n./test/regression\r\n```\r\n\r\nHopefully there's something generally interesting/reusable/learnable in the root cause finding when I can pull it apart."}, {"assistant": "@vvbandeira any guesses?"}, {"assistant": "I was able to reproduce the error on the docker image. Looks like the problem is with the version of `swig`:\r\n`4.0.2` -> ok\r\n`4.1.0` -> segv\r\nCI is still at `4.0.2`.\r\nI will investigate this further to try and fix."}, {"user": "@vvbandeira Awesome! Thanks for investigating, glad you were able to repro. I was still working my way through understanding dbObject hierarchy and tracing things out. The valgrind error made it seem like the vector of db objects with those smart wrappers returned from the TCL entry point was maybe getting destructed / causing deallocation, perhaps in the swig minor rev the semantics around lifetimes of e.g. STL values changed somehow? Just hazarding a rough guess based on what we'd seen so far, feel free to ignore if it's easier to investigate from scratch."}, {"user": "@vvbandeira I see in the release notes it mentions better C++11 and beyond support, perhaps move semantics for the smart wrapper aren't right if those were just enabled in some fashion:\r\n\r\n> - Improved C99, C++11, C++14, C++17 support. Start adding C++20 standard.\r\n> -- https://github.com/swig/swig/blob/master/RELEASENOTES"}, {"user": "In `build/src/dbSta/src/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx` switching:\r\n\r\n` odb::dbNet* ptr = (((std::vector< odb::dbNet * > &)result)[i]);`\r\n\r\nTo:\r\n\r\n` odb::dbNet* ptr = (*&result)[i];`\r\n\r\nCauses the issue to go away, which seems to confirm the rough suspected cause. It's doing a C-style cast to an lvalue reference when that SwigSmartPointer type defined in that file has a rvalue reference cast overload, gonna take a minute to figure out what magic function gets invoked when here. :-) Notably the rvalue reference cast operator overload is guarded by `#if __cplusplus>=201103` which seems like it'd be introduced in this rev from those release notes, which is some additional confirmation."}, {"assistant": "What function does this code appear in?"}, {"assistant": "If it is _wrap_find_all_clk_nets then I see the same code generated by 4.0.1.\r\n\r\nFwiw I built on ubuntu22 (using wsl) with 4.1.0 and got no crash."}, {"user": "Ok, think I've got a handle on it. We're C-style casing to an lvalue reference, and `SwigSmartPointeroperatorT&&()` is not being invoked. So it's just giving us a stack location where the struct is kept (i.e. a wild reference). If you add the following to the `#if __cplusplus >=201103L` part of the code everything passes:\r\n\r\n```\r\n operator T&() const { return *pointer.ptr; }\r\n```\r\n\r\nSo we just need to figure out how to supplement the `SwigSmartPointer` with that cast overload.\r\n\r\n> What function does this code appear in?\r\n\r\n@maliberty If you look in `build/src/dbSta/src/CMakeFiles/dbSta.dir/dbStaTCL_wrap.cxx` with this version of swig in use you should see the following snippets that cause the problem:\r\n\r\n```\r\n 1844 /* SwigValueWrapper is described in swig.swg */\r\n 1845 template class SwigValueWrapper {\r\n 1846 struct SwigSmartPointer {\r\n 1847 T *ptr;\r\n 1848 SwigSmartPointer(T *p) : ptr(p) { }\r\n 1849 ~SwigSmartPointer() { delete ptr; }\r\n 1850 SwigSmartPointer& operator=(SwigSmartPointer& rhs) { T* oldptr = ptr; ptr = 0; delete oldptr; ptr = rhs.ptr; rhs.ptr = 0; return *this; }\r\n 1851 void reset(T *p) { T* oldptr = ptr; ptr = 0; delete oldptr; ptr = p; }\r\n 1852 } pointer;\r\n 1853 SwigValueWrapper& operator=(const SwigValueWrapper& rhs);\r\n 1854 SwigValueWrapper(const SwigValueWrapper& rhs);\r\n 1855 public:\r\n 1856 SwigValueWrapper() : pointer(0) { }\r\n 1857 SwigValueWrapper& operator=(const T& t) { SwigSmartPointer tmp(new T(t)); pointer = tmp; return *this; }\r\n 1858 #if __cplusplus >=201103L\r\n 1859 SwigValueWrapper& operator=(T&& t) { SwigSmartPointer tmp(new T(std::move(t))); pointer = tmp; return *this; }\r\n 1860 operator T&&() const { return std::move(*pointer.ptr); }\r\n 1861 #else\r\n 1862 operator T&() const { return *pointer.ptr; }\r\n 1863 #endif\r\n 1864 T *operator&() const { return pointer.ptr; }\r\n 1865 static void reset(SwigValueWrapper& t, T *p) { t.pointer.reset(p); }\r\n 1866 };\r\n```\r\n\r\n```\r\n39916 SWIGINTERN int\r\n39917 _wrap_find_all_clk_nets(ClientData clientData SWIGUNUSED, Tcl_Interp *interp, int objc, Tcl_Obj *CONST objv[]) {\r\n39918 SwigValueWrapper< std::vector< odb::dbNet * > > result;\r\n39919 \r\n39920 if (SWIG_GetArgs(interp, objc, objv,\":sta::find_all_clk_nets \") == TCL_ERROR) SWIG_fail;\r\n39921 {\r\n39922 try {\r\n39923 result = find_all_clk_nets();\r\n39924 }\r\n39925 catch (std::bad_alloc &) {\r\n39926 fprintf(stderr, \"Error: out of memory.\");\r\n39927 exit(0);\r\n39928 }\r\n39929 // This catches std::runtime_error (utl::error) and sta::Exception.\r\n39930 catch (std::exception &excp) {\r\n39931 Tcl_ResetResult(interp);\r\n39932 Tcl_AppendResult(interp, excp.what(), nullptr);\r\n39933 return TCL_ERROR;\r\n39934 }\r\n39935 }\r\n39936 {\r\n39937 for (unsigned int i = 0; i < (&result)->size(); i++) {\r\n39938 odb::dbNet* ptr = (((std::vector< odb::dbNet * > &)result)[i]);\r\n39939 Tcl_ListObjAppendElement(interp, (Tcl_GetObjResult(interp)), SWIG_NewInstanceObj(ptr, SWIGTYPE_p_odb__dbNet, 0));\r\n39940 }\r\n39941 }\r\n39942 return TCL_OK;\r\n39943 fail:\r\n39944 return TCL_ERROR;\r\n39945 }\r\n```"}, {"assistant": "I see the same code with 4.0.1 and 4.1. It works for me both ways. There must be some other factor that is the problem here. What compiler are you using?"}, {"user": "With the same swig used I believe we were able to observe the same thing -- have a fix coming.\r\n\r\nRoot cause was that with the change in https://github.com/swig/swig/commit/bf36bf7d8aa8d130f30d0cbb2c260966f96bc108 (noted in the PR description it was causing backwards incompatibility) the previous type maps were using a cast to lvalue reference which is not overloaded for C++ >= C++11 in the SWIG implementation as of 4.1.0. Fix is to change the type maps to use safer conversions -- previously we ended up casting the struct value homed on the stack (containing a pointer in the SwigValueWrapper) to a lvalue vector reference, which clearly made for a wild reference.\r\n\r\nThanks to @maliberty for pointing me at https://github.com/The-OpenROAD-Project/OpenROAD/blob/8286c6c9505eabc1b010cbf6b488c00e6ef001f4/src/odb/src/swig/tcl/dbtypes.i#L53 for the spot to fix.\r\n\r\nWith this fix I imagine the 4.1.0 dep and onwards should be good, I'm not seeing test failures anymore -- not sure if it means CI should update its dep as well before we close this. I'll leave that to @maliberty to determine if he wants to open a separate issue for that / how to track."}]} +{"num": 2781, "messages": [{"user": "### Description\n\nThe inspector lets you see a single net easily. However a single logical net may have been broken into many physical nets during buffering. There should be some way to easily visualize all the buffer connected nets.\n\n### Suggested Solution\n\nI'm not positive of the best way to do this. I am thinking of a inspector property on the net like \"Aggregate Net\" that would be a GUI object (not db) that contains a list of nets and buffers. The highlight could draw the individual nets in different colors. Here's an example I did by hand of tracing out such a aggregate (the root segment is green):\r\n![image](https://user-images.githubusercontent.com/761514/213275786-7babb2df-e379-4010-afb9-ad8ef6aceb3d.png)\r\n\n\n### Additional Context\n\n_No response_"}, {"user": "To be able to use the 'Route Guides' and focus buttons on the aggregate would be great too."}, {"assistant": "@maliberty Maybe calling it something like logical net might be a better term?\r\nAlso would you consider inverters and buffers the same?"}, {"user": "I am hunting for a good name. I'm concerned that logical net might be confused with nets in the hierarchical Verilog (which we will be storing in odb soon I hope). \"Net Complex\" came to mind but I don't love that either.\r\n\r\nInverters are tricky. I'm not interested in tracing through a user inverter but if resizer put in a pair instead of a buffer then I would be. rsz doesn't do that today so its a bit theoretical. Perhaps SOURCE NETLIST vs TIMING could be used to distinguish. "}, {"assistant": "\"Buffered Path\"?"}, {"user": "> \"Buffered Path\"?\r\n\r\nKinda. Its not a path like a timing path and is more of a tree of nets. \"Buffered Net\" but that is also a bit odd. Maybe there is no perfect, short name."}, {"assistant": "\"Buffered (net) fanout\" then?"}, {"user": "> \"Buffered (net) fanout\" then?\r\n\r\nDepending on which net you select you could be talking about fanout or fanin or both. Perhaps \"Buffer tree\"?"}, {"assistant": "@maliberty This PR adds the type, but does not implement the route guides"}]} +{"num": 2782, "messages": [{"user": "### Discussed in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/2780\r\n\r\n
\r\n\r\nOriginally posted by **oharboe** January 18, 2023\r\nOld congestion.rpt files are not deleted upon success, which can be confusing.\r\n\r\nIs this intentional?\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/9d17aaf662bcc3266ff452b7be2e6936f9221e76/src/grt/src/GlobalRouter.cpp#L282
"}, {"assistant": "Is the information completely saved in the database? Ie. if I close OpenROAD and reopen it, is this information viewable?\r\nI used this during my last tapeout since it only contains the problem areas it's much easier to see and use the DRC viewer for debugging a design. @maliberty I don't know if we want to get rid of it completely."}, {"user": "I think the point here is that if one run had errors and wrote the file, then a subsequent run without errors should remove it. "}, {"assistant": "Ahh, that makes sense. Thanks for clarifying "}, {"assistant": "fixed by merge listed above #2786 "}]} +{"num": 2791, "messages": [{"user": "### Description\n\nThe [LEMON](https://lemon.cs.elte.hu/trac/lemon) library is a required by OpenROAD, but the maintainers are not very responsive to bug fixes.\r\n\r\nThe last official release of LEMON was in 2014, but it has commits as recent as 2020. We've since run into some problem with the library not supporting C++20, and were required to introduce patches.\r\n\r\nLEMON is pretty widely distributed by package managers so by requiring a custom version of this library we're potentially introducing a conflict. If we do so I think it would be best to hard fork LEMON and make sure to rename its install directory. \n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Are your patches only required to compile lemon and not to use it as a library? If so we should try to contribute them back and see what happens. "}, {"user": "LEMON is largely a header only lib, and the patch affects the header."}, {"assistant": "So the widely distributed version by package managers will never work with c++ unless they do a new release? That seems like enough of an issue to fork over if they will not take the changes and put out a release. Perhaps try asking on their email lists and see if there is anyone willing/able to do a release."}, {"assistant": "FYI - Be careful, if you fork a project you are now responsible for all its maintenance going forward."}, {"assistant": "When I suggested forking this, it was over the prospect that OpenROAD is dependent on this project, but they have not been responsive to patches like the one required for C++20. I don't think OpenROAD project should take over the project (clearly over the last 10 years, people have been happy with no fixes, so I would imagine that this would not add much in overhead). LEMON does not appear in Ubuntu 20's repos, so I would guess that this would drop over time as they become more and more incompatible with modern compilers.\r\n\r\nThe only maintenance this project should be responsible for, is that required for OpenROAD (in my opinion)."}, {"assistant": "I don't feel any need to be \"responsible for all its maintenance going forward\""}, {"assistant": "I know this topic is a bit stale, but it looks like the lemon downloads are not working... So I think it's worth revisiting at least to ensure functional installs "}, {"user": "Agreed. @vvbandeira can you create a repo called LEMON in the organization, and give myself and @gadfort push access "}, {"assistant": "@QuantamHD do you happen to have a copy? I don't seem to have one on any of my machines."}, {"assistant": "@gadfort https://github.com/mdfbaam/Lemon appears to be a copy for now\r\n\r\n@vvbandeira looks like their site is down which is a good argument for doing this."}, {"user": "I have a copy of the mercurial repo at work. Internet archive should also have a copy."}, {"user": "Here's the copy of the [mercurial](https://www.mercurial-scm.org/) repo. You'll need to download mercurial if you want to use SCM commands. right now the repo's head is at my C++20 changes.\r\n\r\n[lemon.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11012324/lemon.tar.gz)\r\n"}, {"assistant": "The lemon website is back online "}, {"assistant": "@maliberty it would appear this is down again"}, {"assistant": "We should make a GH mirror in our project. Lemon hardly changes so the mirror should be safe."}, {"assistant": "[lemon-1.3.1.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11840066/lemon-1.3.1.tar.gz)\r\n\r\nHere is a copy of lemon that we have laying around"}]} +{"num": 2794, "messages": [{"user": "### Description\n\nSee https://github.com/The-OpenROAD-Project/OpenROAD/issues/2777#issuecomment-1397757102 -- since it's the version referenced in https://github.com/The-OpenROAD-Project/OpenROAD/blob/50e6ee4f8ba5b70ad98b48d4637ef15f388cf73a/etc/DependencyInstaller.sh#L12 we should probably ensure it has coverage in the CI image.\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Updated CI image, see #2731 logs."}]} +{"num": 2807, "messages": [{"user": "### Describe the bug\r\n\r\nHi,\r\n\r\nGetting error in make command : Please help\r\n\r\n### Expected Behavior\r\n\r\nMake builds correctly\r\n\r\n### Environment\r\n\r\n```shell\r\nI have already pulled the git path today , but still getting below message on running Env.sh\r\n\r\nPlease pull the latest changes and try again, if problem persists file a github issue with the re-producible test case.\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n...\r\n\r\n### Relevant log output\r\n```\r\n/usr/bin/ld: odb/src/zutil/libzutil.a(util.cpp.o): in function `odb::cutRows(odb::dbBlock*, int, std::vector > const&, int, int, utl::Logger*)':\r\nutil.cpp:(.text+0x107a): undefined reference to `fmt::v7::basic_format_context >, char>::iterator fmt::v7::detail::vformat_to(fmt::v7::detail::buffer&, fmt::v7::basic_string_view, fmt::v7::basic_format_args::type> >, fmt::v7::type_identity::type> >)'\r\n/usr/bin/ld: util.cpp:(.text+0x10ba): undefined reference to `spdlog::details::log_msg::log_msg(spdlog::source_loc, fmt::v7::basic_string_view, spdlog::level::level_enum, fmt::v7::basic_string_view)'\r\n/usr/bin/ld: util.cpp:(.text+0x1523): undefined reference to `fmt::v7::basic_format_context >, char>::iterator fmt::v7::detail::vformat_to(fmt::v7::detail::buffer&, fmt::v7::basic_string_view, fmt::v7::basic_format_args::type> >, fmt::v7::type_identity::type> >)'\r\n/usr/bin/ld: util.cpp:(.text+0x155c): undefined reference to `spdlog::details::log_msg::log_msg(spdlog::source_loc, fmt::v7::basic_string_view, spdlog::level::level_enum, fmt::v7::basic_string_view)'\r\n/usr/bin/ld: util.cpp:(.text+0x1dc5): undefined reference to `fmt::v7::basic_format_context >, char>::iterator fmt::v7::detail::vformat_to(fmt::v7::detail::buffer&, fmt::v7::basic_string_view, fmt::v7::basic_format_args::type> >, fmt::v7::type_identity::type> >)'\r\n/usr/bin/ld: util.cpp:(.text+0x1e05): undefined reference to `spdlog::details::log_msg::log_msg(spdlog::source_loc, fmt::v7::basic_string_view, spdlog::level::level_enum, fmt::v7::basic_string_view)'\r\n/usr/bin/ld: util.cpp:(.text+0x1f34): undefined reference to `fmt::v7::basic_format_context >, char>::iterator fmt::v7::detail::vformat_to(fmt::v7::detail::buffer&, fmt::v7::basic_string_view, fmt::v7::basic_format_args::type> >, fmt::v7::type_identity::type> >)'\r\n/usr/bin/ld: util.cpp:(.text+0x1f6d): undefined reference to `spdlog::details::log_msg::log_msg(spdlog::source_loc, fmt::v7::basic_string_view, spdlog::level::level_enum, fmt::v7::basic_string_view)'\r\ncollect2: error: ld returned 1 exit status\r\nmake[2]: *** [src/CMakeFiles/openroad.dir/build.make:335: src/openroad] Error 1\r\nmake[2]: Leaving directory '/home/ratul619/IIT_study_VM/OpenROAD/build'\r\nmake[1]: *** [CMakeFiles/Makefile2:1421: src/CMakeFiles/openroad.dir/all] Error 2\r\nmake[1]: Leaving directory '/home/ratul619/IIT_study_VM/OpenROAD/build'\r\n```\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@ratul619 what is the output of `git show --shortstat`? Could you also run the command below and upload the `build.log` file?\r\n```\r\n./etc/Build.sh -clean 2>&1 | tee build.log\r\n```"}, {"user": "git show --shortstat : \r\n\r\n\r\ncommit c295b08a99aacb6147b9c51104627e78ac3859e3 (HEAD -> master, origin/master, origin/HEAD)\r\nMerge: 59f179377 5dfec95a9\r\nAuthor: Peter <46405338+gadfort@users.noreply.github.com>\r\nDate: Sat Jan 21 21:35:37 2023 -0500\r\n\r\n Merge pull request #2803 from gadfort/pdn-obs-filtering\r\n \r\n pdn: fix repeated creation of rtrees in Shape::cut\r\n\r\n 5 files changed, 53 insertions(+), 25 deletions(-)\r\n"}, {"assistant": "There were a couple of commits after that:\r\n\"image\"\r\n\r\nThis is the reason for the Env.sh script complaining about the version. Could you upload the build.log anyway?"}, {"user": "Yes , its running, once it completes will upload"}, {"user": "\r\n[build.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/10482911/build.log)\r\n"}, {"user": "@vvbandeira - uploaded"}, {"assistant": "thanks, but that looks like a clean build to me. are you able to run the binary?"}, {"user": "what should be the next step ? "}, {"user": "I see the binary build in below area - is this correct ? \r\n\r\n ./build/src/openroad\r\nOpenROAD v2.0-6562-gc295b08a9 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> \r\nopenroad> \r\n"}, {"assistant": "yes, that is the expected output."}, {"user": "Thanks , closing then"}]} +{"num": 2811, "messages": [{"user": "### Description\n\nI needed spdlog and I'm stuck with finding out what lemon is.\r\nA list of requirements would be good, and even better a list of common package names so that I could apt-get install easily.\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mattvenn \r\nBy running `./etc/DependencyInstaller.sh -local` will install required packages.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD#install-dependencies\r\nWhat is the error got during installation?"}, {"user": "thanks!\r\n"}]} +{"num": 2812, "messages": [{"user": "### Describe the bug\n\nI get up 97%\r\n\r\n```[ 97%] Linking CXX executable openroad \r\ncd /home/matt/work/asic-workshop/shuttle8/OpenROAD/build/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/openroad.dir/link.txt --verbose=1 \r\n/usr/bin/c++ -O3 -DNDEBUG -Wl,--export-dynamic -rdynamic CMakeFiles/openroad.dir/Design.cc.o CMakeFiles/openroad.dir/Tech.cc.o CMakeFiles/openroad.dir/OpenRoad.cc.o CMakeFiles/openroad.dir/Main.cc.o -o openroad -Wl,-rpath,/opt/or-tools/lib:::::::::::::::::::: ifp/src/ifp.a pad/pad.a openroad_swig.a gpl/gpl.a dpl/dpl.a dpo/dpo.a fin/fin.a rsz/src/rsz.a ppl/ppl.a stt/stt.a dbSta/src/dbSta.a ../../src/sta/app/libOpenSTA.a odb/src/swig/tcl/odbtcl.a rcx/src/rcx.a rmp/src/rmp.a cts/src/cts.a grt/grt.a tap/src/tap.a gui/gui.a drt/drt.a dst/dst.a mpl/mpl.a psm/src/psm\r\n.a ant/src/ant.a par/par.a utl/utl.a pdn/src/pdn.a ../third-party/abc/libabc.a /usr/lib/x86_64-linux-gnu/libtcl.so -pthread mpl2/mpl2.a /usr/lib/x86_64-linux-gnu/libtclreadline.so /usr/lib/x86_64-linux-gnu/libz.so _openroad_swig_py.a odb/src/swig/python/_odb_py.a ifp/src/_ifp_py.a utl/_utl_py.a ant/src/_ant_py.a grt/_grt_py.a gpl/_gpl_py.a dpl/_dpl_py.a mpl/_mpl_py.a ppl/_ppl_p\r\ny.a tap/src/_tap_py.a cts/src/_cts_py.a drt/_drt_py.a dpo/_dp```\r\n\r\nThen get 1000s of log lines ending in \r\n\r\n```_to(fmt::v7::detail::buffer&, fmt::v7::basic_string_view, fmt::v7::basic_format_args::type> >, fmt::v7::type_identity::type> >)'\r\n/usr/bin/ld: util.cpp:(.text+0x1f6d): undefined reference to `spdlog::details::log_msg::log_msg(spdlog::source_loc, fmt::v7::basic_string_view, spdlog::level::level_enum, fmt::v7::basic_string_view)'\r\ncollect2: error: ld returned 1 exit status\r\nmake[2]: *** [src/CMakeFiles/openroad.dir/build.make:325: src/openroad] Error 1\r\nmake[2]: Leaving directory '/home/matt/work/asic-workshop/shuttle8/OpenROAD/build'\r\nmake[1]: *** [CMakeFiles/Makefile2:1595: src/CMakeFiles/openroad.dir/all] Error 2\r\nmake[1]: Leaving directory '/home/matt/work/asic-workshop/shuttle8/OpenROAD/build'\r\nmake: *** [Makefile:133: all] Error 2\r\n```\n\n### Expected Behavior\n\nTo compile\n\n### Environment\n\n```shell\nkernel: Linux 5.15.0-58-generic\r\nos: Ubuntu 20.04.2 LTS (Focal Fossa)\r\ncmake version 3.16.3\r\ngcc (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0\r\nclang version 10.0.0-4ubuntu1\n```\n\n\n### To Reproduce\n\nn/a\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mattvenn could you run the command below and upload the build.log file?\r\n\r\n```\r\n./etc/Build.sh -clean 2>&1 | tee build.log\r\n```"}, {"assistant": "Isn't `build_openroad.log` generated by default now?"}, {"assistant": "> Isn't `build_openroad.log` generated by default now?\r\n\r\nIt is for ORFS using build_openroad.sh\r\n\r\nI have already asked @habibayassin to add this feature to `etc/Build.sh` script at OR as well."}, {"assistant": "Ah right I didn't notice which repo this was."}, {"user": "OK, with the build script I have done it. Thanks for your patience. \r\nI would say the 'make install' step is missing from the instructions - at least I couldn't find it. \r\nI changed to build directory and then ran sudo make install\r\n"}]} +{"num": 2818, "messages": [{"user": "### Describe the bug\n\nReproducible with this pull request:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/789\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-very-large-array/config.mk\r\n[deleted]\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\nFailed to find a path between pin ces_5_0/io_outs_2[3] and source aps:\r\n( 382 529 2 ) (Idx) / ( 18081 147420 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (602, 711, 10)\r\n[ERROR DRT-0255] Maze Route cannot find path of net ces_5_0_io_outs_2\\[3\\] in worker of routeBox ( 15120 143640 ) ( 18900 147420 ).\r\nFailed to find a path between pin and source aps:\r\n( 195 187 4 ) (Idx) / ( 91236 143650 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (602, 711, 10)\r\n[ERROR DRT-0255] Maze Route cannot find path of net ces_5_3_io_ins_2\\[6\\] in worker of routeBox ( 90720 143640 ) ( 94500 147420 ).\r\nFailed to find a path between pin and source aps:\r\n( 279 187 4 ) (Idx) / ( 114996 143650 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (602, 711, 10)\r\n[ERROR DRT-0255] Maze Route cannot find path of net ces_5_4_io_ins_2\\[6\\] in worker of routeBox ( 113400 143640 ) ( 117180 147420 ).\r\nError: detail_route.tcl, 47 DRT-0255\r\nElapsed time: 0:38.18[h:]min:sec. CPU time: user 404.92 sys 71.07 (1246%). Peak memory: 13332436KB.\r\nmake: *** [results/asap7/mock-very-large-array/base/5_2_route.odb] Error 1\r\n```\n\n### Expected Behavior\n\nIt should work, or if there is something wrong with the design, a more explicit error message should be shown.\n\n### Environment\n\n```shell\nGit commit: 53c6e6dfd60130d056eeda896d1d75b0a632f739\r\nkernel: Linux 5.15.0-58-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\ngcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0\r\nUbuntu clang version 14.0.0-1ubuntu1\n```\n\n\n### To Reproduce\n\nReproducible with this pull request:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/789\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-very-large-array/config.mk\r\n```\n\n### Relevant log output\n\n```shell\nmake DESIGN_CONFIG=designs/asap7/mock-very-large-array/config.mk\r\n[deleted]\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\nFailed to find a path between pin ces_5_0/io_outs_2[3] and source aps:\r\n( 382 529 2 ) (Idx) / ( 18081 147420 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (602, 711, 10)\r\n[ERROR DRT-0255] Maze Route cannot find path of net ces_5_0_io_outs_2\\[3\\] in worker of routeBox ( 15120 143640 ) ( 18900 147420 ).\r\nFailed to find a path between pin and source aps:\r\n( 195 187 4 ) (Idx) / ( 91236 143650 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (602, 711, 10)\r\n[ERROR DRT-0255] Maze Route cannot find path of net ces_5_3_io_ins_2\\[6\\] in worker of routeBox ( 90720 143640 ) ( 94500 147420 ).\r\nFailed to find a path between pin and source aps:\r\n( 279 187 4 ) (Idx) / ( 114996 143650 ) (coords)\r\nextBBox (xDim, yDim, zDim) = (602, 711, 10)\r\n[ERROR DRT-0255] Maze Route cannot find path of net ces_5_4_io_ins_2\\[6\\] in worker of routeBox ( 113400 143640 ) ( 117180 147420 ).\r\nError: detail_route.tcl, 47 DRT-0255\r\nElapsed time: 0:38.18[h:]min:sec. CPU time: user 404.92 sys 71.07 (1246%). Peak memory: 13332436KB.\r\nmake: *** [results/asap7/mock-very-large-array/base/5_2_route.odb] Error 1\r\n```\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Does this occur after the fix for https://github.com/The-OpenROAD-Project/OpenROAD/issues/2805 ?"}, {"user": "> Does this occur after the fix for #2805 ?\r\n\r\nYes."}, {"user": "@maliberty Mea culpa. When triple checking with latest master, no longer happens."}]} +{"num": 2819, "messages": [{"user": "### Describe the bug\r\n\r\nRun:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk floorplan\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk gui_floorplan\r\n```\r\n\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nThe pins should be constrained to the correct edge during floorplanning, otherwise one can get bogus errors about there not being enough space for pins on the edges.\r\n\r\n\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 53c6e6dfd60130d056eeda896d1d75b0a632f739\r\nkernel: Linux 5.15.0-58-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\ngcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0\r\nUbuntu clang version 14.0.0-1ubuntu1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nUse commands above with the example in master.\r\n\r\n### Relevant log output\r\n\r\nThis is an *example* of a bogus error. This happens in a local design I can't share, but I believe I have correctly identified the root cause: a bogus error during floorplanning because pin placement constraints are not in effect.\r\n\r\n```\r\nUsing 1u default distance from corners.\r\n[INFO PPL-0007] Random pin placement.\r\n[ERROR PPL-0072] Number of pins (32) exceed number of valid positions (10).\r\nError: io_placement_random.tcl, 14 PPL-0072\r\n```\r\n\r\n### Screenshots\r\n\r\nOnly ins_1 and outs_1 pins should be on the right edge: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/b30bac97a008e33d609c3710338793c9508f78b5/flow/designs/asap7/mock-array-big/Element/io.tcl#L25\r\n\r\nThe outs_3 should not appear on the right edge according to the pin constraints:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/214856197-41ee8c7c-e4b1-4be3-8613-caebc6855b37.png)\r\n\r\nAfter placement, the pins are constrained to the expected edge, ins_1 and outs_1 pins:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/214856493-cbe966db-9f4f-40f5-9266-4b70c805de5c.png)\r\n\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe I've used the `mock_array_big` design to test my fixes, which is working now. Both constraints and mirrored pins are working on the random pin placement (the one that runs during floorplan). Could you try this branch: https://github.com/The-OpenROAD-Project/OpenROAD/pull/2822?"}]} +{"num": 2827, "messages": [{"user": "### Describe the bug\n\nWhen running any of the ORFS ASAP7 designs, I notice quite a number of cut spacing violations. These eventually get resolved, but I went looking as to why we see so many.\r\n\r\nI think GC is overestimating the minimum cut space distance for V2. It should be 18nm:\r\n\r\n```\r\nLAYER V2\r\n TYPE CUT ;\r\n SPACING 0.018 ;\r\n WIDTH 0.018 ;\r\nEND V2\r\n```\r\n\r\nBut if I look at `FlexGCWorker::Impl::checkLef58CutSpacingTbl_helper`, it appears to be enforcing a minimum cut space\r\ndistance of 34nm, which might be the constraint for V3 (not V2). \r\n\n\n### Expected Behavior\n\nGC should enforce the correct minimum cut spacing\n\n### Environment\n\n```shell\nGit commit: 78b4fef8f509bb91d6a52cb7551cb6486e7c41b4\r\nos: Fedora Linux 37 (Workstation Edition)\r\ncmake version 3.25.2\r\ngcc (GCC) 12.2.1 20221121 (Red Hat 12.2.1-4)\r\nclang version 15.0.7 (Fedora 15.0.7-1.fc37)\n```\n\n\n### To Reproduce\n\nRun asap7/gcd in ORFS\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "`FlexGCWorker::Impl::checkCutSpacing_main` looks to apply the cut constraints from the via layer above. Is that correct?\r\n\r\n```\r\n if (layer->getLayerNum() + 2 < TOP_ROUTING_LAYER\r\n && layer->getLayerNum() + 2 < getTech()->getLayers().size()) {\r\n auto aboveLayer = getTech()->getLayer(layer->getLayerNum() + 2);\r\n if (aboveLayer->hasLef58SameMetalCutSpcTblConstraint()) {\r\n checkLef58CutSpacingTbl(\r\n rect, aboveLayer->getLef58SameMetalCutSpcTblConstraint());\r\n }\r\n if (aboveLayer->hasLef58SameNetCutSpcTblConstraint()) {\r\n checkLef58CutSpacingTbl(rect,\r\n aboveLayer->getLef58SameNetCutSpcTblConstraint());\r\n }\r\n if (aboveLayer->hasLef58DiffNetCutSpcTblConstraint()) {\r\n checkLef58CutSpacingTbl(rect,\r\n aboveLayer->getLef58DiffNetCutSpcTblConstraint());\r\n }\r\n if (aboveLayer->hasLef58SameNetInterCutSpcTblConstraint()) {\r\n checkLef58CutSpacingTbl(\r\n rect, aboveLayer->getLef58SameNetInterCutSpcTblConstraint());\r\n }\r\n if (aboveLayer->hasLef58SameMetalInterCutSpcTblConstraint()) {\r\n checkLef58CutSpacingTbl(\r\n rect, aboveLayer->getLef58SameMetalInterCutSpcTblConstraint());\r\n }\r\n if (aboveLayer->hasLef58DefaultInterCutSpcTblConstraint()) {\r\n checkLef58CutSpacingTbl(\r\n rect, aboveLayer->getLef58DefaultInterCutSpcTblConstraint());\r\n }\r\n```"}, {"user": "Was added in commit b5f323514d1b3429934ff4f4fe9abca2e3a9ca50 (\"drt: fix InterCutSpcTbl gc check\")"}, {"assistant": "You can have cut spacing constraints between adjacent cut layers. Are you looking at same layer or diff layer spacing?"}, {"user": "> You can have cut spacing constraints between adjacent cut layers. Are you looking at same layer or diff layer spacing?\r\n\r\nIn that snippet above, we are applying rules with and without `Inter`, so it makes me think we are applying same layer and different layer spacing."}, {"assistant": "I think @osamahammad21 is the best to respond here"}, {"assistant": "That does look weird. I remember adding this to solve an issue in intel16 having many calibre DRCs. I am gonna remove the checks for same layer.\r\n@maliberty Is there a way to run calibre on a branch other than master?"}, {"assistant": "I don't think so but @vvbandeira can confirm/help. You could run it yourself at UMich if you still have access. "}, {"assistant": "@maliberty I have access to calibre but not intel16"}, {"assistant": "I can do some testing for you if needed"}, {"assistant": "@maliberty Thanks it would be very helpful to test/confirm before merging. You could run openroad from [my PR](https://github.com/The-OpenROAD-Project-private/OpenROAD/pull/1590) on intel16 designs and check if the calibre drcs change."}, {"assistant": "Osama is adding support for another rule in order to get this working properly (fixing the current overestimates revealed the issue). It will take a bit to get that fully tested and in."}, {"user": "Thanks @maliberty "}, {"user": "This is fixed in https://github.com/The-OpenROAD-Project/OpenROAD/pull/3168. Thanks @osamahammad21."}]} +{"num": 2831, "messages": [{"user": "### Describe the bug\n\n/home/embedded/Documents/OpenROAD/build/src/sta/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx: In function \u2018int _wrap_read_spef_cmd(ClientData, Tcl_Interp*, int, Tcl_Obj* const*)\u2019:\r\n/home/embedded/Documents/OpenROAD/build/src/sta/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx:38297:120: error: \u2018SWIG_STD_MOVE\u2019 was not declared in this scope\r\n38297 | result = (bool)read_spef_cmd((char const *)arg1,arg2,(Corner const *)arg3,(MinMaxAll const *)arg4,arg5,arg6,arg7,SWIG_STD_MOVE(arg8),arg9,arg10);\r\n | ^~~~~~~~~~~~~\r\ngmake[2]: *** [src/sta/CMakeFiles/sta_swig.dir/build.make:79: src/sta/CMakeFiles/sta_swig.dir/CMakeFiles/sta_swig.dir/StaAppTCL_wrap.cxx.o] Error 1\r\n**gmake[2]: Leaving directory '/home/embedded/Documents/OpenROAD/build'\r\ngmake[1]: *** [CMakeFiles/Makefile2:2451: src/sta/CMakeFiles/sta_swig.dir/all] Error 2\r\ngmake[2]: Leaving directory '/home/embedded/Documents/OpenROAD/build'\r\ngmake[1]: *** [CMakeFiles/Makefile2:4137: src/utl/CMakeFiles/utl.dir/all] Error 2\r\ngmake[1]: Leaving directory '/home/embedded/Documents/OpenROAD/build'\r\ngmake: *** [Makefile:139: all] Error 2**\r\n\r\nreal\t0m2,966s\r\nuser\t0m11,493s\r\nsys\t0m0,997s\r\n\r\n\r\ni am getting this error , can you please tell how to fix it ?\n\n### Expected Behavior\n\nit should had install the openroad\n\n### Environment\n\n```shell\nUbunut\n```\n\n\n### To Reproduce\n\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\ncd OpenROAD\r\n./etc/DependencyInstaller.sh\r\n./etc/Build.sh\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Please provide the output of `etc/Env.sh`. Also, could you try `etc/Build.sh -clean`?"}, {"user": "yes i tried the command /etc/build.sh -clean and it worked . \r\n\r\nCan you please tell how to open GUI ?"}, {"assistant": "`build/src/openroad -gui`\r\n\r\nClosing since the initial issue has been resolved."}]} +{"num": 2832, "messages": [{"user": "### Description\n\nHave an equivalent to KLayout's \"Goto Position\"\r\n![image](https://user-images.githubusercontent.com/761514/215865936-1414a1b6-1232-4ff7-9568-d1c08577ce65.png)\r\n\r\nWhen the dialog appears the default entry appears to be based on the current view.\r\n\r\nFrom a discussion w/ @antonblanchard \n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "I think the KLayout dialog should not be directly copied as these should be three distinct entry widgets. DBU or microns should be supported as in the rest of the GUI."}, {"assistant": "@maliberty It should be relatively easy to implement. But not 100% on the timeline."}, {"assistant": "> I think the KLayout dialog should not be directly copied as these should be three distinct entry widgets. DBU or microns should be supported as in the rest of the GUI.\r\n\r\nSounds good. There are a few other things that annoy me about the klayout goto, so we don't have to copy it completely.\r\n\r\neg if you just put in x,y without a window size it doesn't zoom and just places crosshairs on the point. That requires you to manually zoom to the point. If, on the other hand, you reopen the goto dialog to add the window size, the x,y settings have been reset."}, {"assistant": "@antonblanchard are you suggesting that the x,y be prepopulated with the current center?"}, {"user": "It seems like the dialog is pre populated with the current x, y, s "}, {"assistant": "Something I've seen in other GUIs is to put a temporary marker at the designated position so you can see it."}, {"user": "https://github.com/The-OpenROAD-Project/OpenROAD/pull/2974"}]} +{"num": 2833, "messages": [{"user": "### Describe the bug\n\nI've run into this far too many times on my computer: the libabc build has an outrageously long invocation in its build that just straight up crashes in most environments for me now- even more so with multiple threads. There's also a `ranlib` right after that could be the culprit.\r\n\r\n```sh\r\nar qc libabc.a CMakeFiles/libabc.dir/src/base/abc/abcAig.c.o CMakeFiles/libabc.dir/src/base/abc/abcBarBuf.c.o CMakeFiles/libabc.dir/src/base/abc/abcBlifMv.c.o CMakeFiles/libabc.dir/src/base/abc/abcCheck.c.o CMakeFiles/libabc.dir/src/base/abc/abcDfs.c.o CMakeFiles/libabc.dir/src/base/abc/abcFanio.c.o CMakeFiles/libabc.dir/src/base/abc/abcFanOrder.c.o CMakeFiles/libabc.dir/src/base/abc/abcFunc.c.o CMakeFiles/libabc.dir/src/base/abc/abcHie.c.o CMakeFiles/libabc.dir/src/base/abc/abcHieCec.c.o CMakeFiles/libabc.dir/src/base/abc/abcHieGia.c.o CMakeFiles/libabc.dir/src/base/abc/abcHieNew.c.o CMakeFiles/libabc.dir/src/base/abc/abcLatch.c.o CMakeFiles/libabc.dir/src/base/abc/abcLib.c.o CMakeFiles/libabc.dir/src/base/abc/abcMinBase.c.o CMakeFiles/libabc.dir/src/base/abc/abcNames.c.o CMakeFiles/libabc.dir/src/base/abc/abcNetlist.c.o CMakeFiles/libabc.dir/src/base/abc/abcNtk.c.o CMakeFiles/libabc.dir/src/base/abc/abcObj.c.o CMakeFiles/libabc.dir/src/base/abc/abcRefs.c.o CMakeFiles/libabc.dir/src/base/abc/abcShow.c.o CMakeFiles/libabc.dir/src/base/abc/abcSop.c.o CMakeFiles/libabc.dir/src/base/abc/abcUtil.c.o CMakeFiles/libabc.dir/src/base/abci/abc.c.o CMakeFiles/libabc.dir/src/base/abci/abcAttach.c.o CMakeFiles/libabc.dir/src/base/abci/abcAuto.c.o CMakeFiles/libabc.dir/src/base/abci/abcBalance.c.o CMakeFiles/libabc.dir/src/base/abci/abcBidec.c.o CMakeFiles/libabc.dir/src/base/abci/abcBm.c.o CMakeFiles/libabc.dir/src/base/abci/abcBmc.c.o CMakeFiles/libabc.dir/src/base/abci/abcCas.c.o CMakeFiles/libabc.dir/src/base/abci/abcCascade.c.o CMakeFiles/libabc.dir/src/base/abci/abcCollapse.c.o CMakeFiles/libabc.dir/src/base/abci/abcCut.c.o CMakeFiles/libabc.dir/src/base/abci/abcDar.c.o CMakeFiles/libabc.dir/src/base/abci/abcDebug.c.o CMakeFiles/libabc.dir/src/base/abci/abcDec.c.o CMakeFiles/libabc.dir/src/base/abci/abcDetect.c.o CMakeFiles/libabc.dir/src/base/abci/abcDress.c.o CMakeFiles/libabc.dir/src/base/abci/abcDress2.c.o CMakeFiles/libabc.dir/src/base/abci/abcDress3.c.o CMakeFiles/libabc.dir/src/base/abci/abcDsd.c.o CMakeFiles/libabc.dir/src/base/abci/abcEco.c.o CMakeFiles/libabc.dir/src/base/abci/abcExact.c.o CMakeFiles/libabc.dir/src/base/abci/abcExtract.c.o CMakeFiles/libabc.dir/src/base/abci/abcFraig.c.o CMakeFiles/libabc.dir/src/base/abci/abcFx.c.o CMakeFiles/libabc.dir/src/base/abci/abcFxu.c.o CMakeFiles/libabc.dir/src/base/abci/abcGen.c.o CMakeFiles/libabc.dir/src/base/abci/abcHaig.c.o CMakeFiles/libabc.dir/src/base/abci/abcIf.c.o CMakeFiles/libabc.dir/src/base/abci/abcIfif.c.o CMakeFiles/libabc.dir/src/base/abci/abcIfMux.c.o CMakeFiles/libabc.dir/src/base/abci/abcIvy.c.o CMakeFiles/libabc.dir/src/base/abci/abcLog.c.o CMakeFiles/libabc.dir/src/base/abci/abcLut.c.o CMakeFiles/libabc.dir/src/base/abci/abcLutmin.c.o CMakeFiles/libabc.dir/src/base/abci/abcMap.c.o CMakeFiles/libabc.dir/src/base/abci/abcMerge.c.o CMakeFiles/libabc.dir/src/base/abci/abcMfs.c.o CMakeFiles/libabc.dir/src/base/abci/abcMini.c.o CMakeFiles/libabc.dir/src/base/abci/abcMiter.c.o CMakeFiles/libabc.dir/src/base/abci/abcMulti.c.o CMakeFiles/libabc.dir/src/base/abci/abcNtbdd.c.o CMakeFiles/libabc.dir/src/base/abci/abcNpn.c.o CMakeFiles/libabc.dir/src/base/abci/abcNpnSave.c.o CMakeFiles/libabc.dir/src/base/abci/abcOdc.c.o CMakeFiles/libabc.dir/src/base/abci/abcOrder.c.o CMakeFiles/libabc.dir/src/base/abci/abcPart.c.o CMakeFiles/libabc.dir/src/base/abci/abcPrint.c.o CMakeFiles/libabc.dir/src/base/abci/abcProve.c.o CMakeFiles/libabc.dir/src/base/abci/abcQbf.c.o CMakeFiles/libabc.dir/src/base/abci/abcQuant.c.o CMakeFiles/libabc.dir/src/base/abci/abcRec3.c.o CMakeFiles/libabc.dir/src/base/abci/abcReconv.c.o CMakeFiles/libabc.dir/src/base/abci/abcReach.c.o CMakeFiles/libabc.dir/src/base/abci/abcRefactor.c.o CMakeFiles/libabc.dir/src/base/abci/abcRenode.c.o CMakeFiles/libabc.dir/src/base/abci/abcReorder.c.o CMakeFiles/libabc.dir/src/base/abci/abcRestruct.c.o CMakeFiles/libabc.dir/src/base/abci/abcResub.c.o CMakeFiles/libabc.dir/src/base/abci/abcRewrite.c.o CMakeFiles/libabc.dir/src/base/abci/abcRpo.c.o CMakeFiles/libabc.dir/src/base/abci/abcRr.c.o CMakeFiles/libabc.dir/src/base/abci/abcRunGen.c.o CMakeFiles/libabc.dir/src/base/abci/abcSat.c.o CMakeFiles/libabc.dir/src/base/abci/abcSaucy.c.o CMakeFiles/libabc.dir/src/base/abci/abcScorr.c.o CMakeFiles/libabc.dir/src/base/abci/abcSense.c.o CMakeFiles/libabc.dir/src/base/abci/abcSpeedup.c.o CMakeFiles/libabc.dir/src/base/abci/abcStrash.c.o CMakeFiles/libabc.dir/src/base/abci/abcSweep.c.o CMakeFiles/libabc.dir/src/base/abci/abcSymm.c.o CMakeFiles/libabc.dir/src/base/abci/abcTim.c.o CMakeFiles/libabc.dir/src/base/abci/abcTiming.c.o CMakeFiles/libabc.dir/src/base/abci/abcUnate.c.o CMakeFiles/libabc.dir/src/base/abci/abcUnreach.c.o CMakeFiles/libabc.dir/src/base/abci/abcVerify.c.o CMakeFiles/libabc.dir/src/base/abci/abcXsim.c.o CMakeFiles/libabc.dir/src/base/cmd/cmd.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdAlias.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdApi.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdAuto.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdFlag.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdHist.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdLoad.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdPlugin.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdStarter.c.o CMakeFiles/libabc.dir/src/base/cmd/cmdUtils.c.o CMakeFiles/libabc.dir/src/base/io/io.c.o CMakeFiles/libabc.dir/src/base/io/ioJson.c.o CMakeFiles/libabc.dir/src/base/io/ioReadAiger.c.o CMakeFiles/libabc.dir/src/base/io/ioReadBaf.c.o CMakeFiles/libabc.dir/src/base/io/ioReadBblif.c.o CMakeFiles/libabc.dir/src/base/io/ioReadBench.c.o CMakeFiles/libabc.dir/src/base/io/ioReadBlif.c.o CMakeFiles/libabc.dir/src/base/io/ioReadBlifAig.c.o CMakeFiles/libabc.dir/src/base/io/ioReadBlifMv.c.o CMakeFiles/libabc.dir/src/base/io/ioReadDsd.c.o CMakeFiles/libabc.dir/src/base/io/ioReadEdif.c.o CMakeFiles/libabc.dir/src/base/io/ioReadEqn.c.o CMakeFiles/libabc.dir/src/base/io/ioReadPla.c.o CMakeFiles/libabc.dir/src/base/io/ioReadPlaMo.c.o CMakeFiles/libabc.dir/src/base/io/ioReadVerilog.c.o CMakeFiles/libabc.dir/src/base/io/ioUtil.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteAiger.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteBaf.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteBblif.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteBench.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteBlif.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteBlifMv.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteBook.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteCnf.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteDot.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteEqn.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteGml.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteList.c.o CMakeFiles/libabc.dir/src/base/io/ioWritePla.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteVerilog.c.o CMakeFiles/libabc.dir/src/base/io/ioWriteSmv.c.o CMakeFiles/libabc.dir/src/base/main/mainFrame.c.o CMakeFiles/libabc.dir/src/base/main/mainInit.c.o CMakeFiles/libabc.dir/src/base/main/mainLib.c.o CMakeFiles/libabc.dir/src/base/main/mainReal.c.o CMakeFiles/libabc.dir/src/base/main/libSupport.c.o CMakeFiles/libabc.dir/src/base/main/mainUtils.c.o CMakeFiles/libabc.dir/src/base/exor/exor.c.o CMakeFiles/libabc.dir/src/base/exor/exorBits.c.o CMakeFiles/libabc.dir/src/base/exor/exorCubes.c.o CMakeFiles/libabc.dir/src/base/exor/exorLink.c.o CMakeFiles/libabc.dir/src/base/exor/exorList.c.o CMakeFiles/libabc.dir/src/base/exor/exorUtil.c.o CMakeFiles/libabc.dir/src/base/ver/verCore.c.o CMakeFiles/libabc.dir/src/base/ver/verFormula.c.o CMakeFiles/libabc.dir/src/base/ver/verParse.c.o CMakeFiles/libabc.dir/src/base/ver/verStream.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcAbs.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcAbs2.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcAbc.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcPth.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcBlast.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcCom.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcGraft.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcJson.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcMem.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcNdr.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcNtk.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcReadSmt.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcReadVer.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcSim.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcShow.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcStdin.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcUif.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcWin.c.o CMakeFiles/libabc.dir/src/base/wlc/wlcWriteVer.c.o CMakeFiles/libabc.dir/src/base/wln/wln.c.o CMakeFiles/libabc.dir/src/base/wln/wlnBlast.c.o CMakeFiles/libabc.dir/src/base/wln/wlnCom.c.o CMakeFiles/libabc.dir/src/base/wln/wlnGuide.c.o CMakeFiles/libabc.dir/src/base/wln/wlnMem.c.o CMakeFiles/libabc.dir/src/base/wln/wlnNdr.c.o CMakeFiles/libabc.dir/src/base/wln/wlnNtk.c.o CMakeFiles/libabc.dir/src/base/wln/wlnObj.c.o CMakeFiles/libabc.dir/src/base/wln/wlnRead.c.o CMakeFiles/libabc.dir/src/base/wln/wlnRetime.c.o CMakeFiles/libabc.dir/src/base/wln/wlnRtl.c.o CMakeFiles/libabc.dir/src/base/wln/wlnWlc.c.o CMakeFiles/libabc.dir/src/base/wln/wlnWriteVer.c.o CMakeFiles/libabc.dir/src/base/acb/acbAbc.c.o CMakeFiles/libabc.dir/src/base/acb/acbAig.c.o CMakeFiles/libabc.dir/src/base/acb/acbCom.c.o CMakeFiles/libabc.dir/src/base/acb/acbFunc.c.o CMakeFiles/libabc.dir/src/base/acb/acbMfs.c.o CMakeFiles/libabc.dir/src/base/acb/acbPush.c.o CMakeFiles/libabc.dir/src/base/acb/acbSets.c.o CMakeFiles/libabc.dir/src/base/acb/acbTest.c.o CMakeFiles/libabc.dir/src/base/acb/acbUtil.c.o CMakeFiles/libabc.dir/src/base/bac/bacBlast.c.o CMakeFiles/libabc.dir/src/base/bac/bacBac.c.o CMakeFiles/libabc.dir/src/base/bac/bacCom.c.o CMakeFiles/libabc.dir/src/base/bac/bacLib.c.o CMakeFiles/libabc.dir/src/base/bac/bacNtk.c.o CMakeFiles/libabc.dir/src/base/bac/bacPrsBuild.c.o CMakeFiles/libabc.dir/src/base/bac/bacPrsTrans.c.o CMakeFiles/libabc.dir/src/base/bac/bacPtr.c.o CMakeFiles/libabc.dir/src/base/bac/bacPtrAbc.c.o CMakeFiles/libabc.dir/src/base/bac/bacReadBlif.c.o CMakeFiles/libabc.dir/src/base/bac/bacReadSmt.c.o CMakeFiles/libabc.dir/src/base/bac/bacReadVer.c.o CMakeFiles/libabc.dir/src/base/bac/bacWriteBlif.c.o CMakeFiles/libabc.dir/src/base/bac/bacWriteSmt.c.o CMakeFiles/libabc.dir/src/base/bac/bacWriteVer.c.o CMakeFiles/libabc.dir/src/base/cba/cbaBlast.c.o CMakeFiles/libabc.dir/src/base/cba/cbaCba.c.o CMakeFiles/libabc.dir/src/base/cba/cbaCom.c.o CMakeFiles/libabc.dir/src/base/cba/cbaNtk.c.o CMakeFiles/libabc.dir/src/base/cba/cbaReadBlif.c.o CMakeFiles/libabc.dir/src/base/cba/cbaReadVer.c.o CMakeFiles/libabc.dir/src/base/cba/cbaWriteBlif.c.o CMakeFiles/libabc.dir/src/base/cba/cbaWriteVer.c.o CMakeFiles/libabc.dir/src/base/pla/plaCom.c.o CMakeFiles/libabc.dir/src/base/pla/plaHash.c.o CMakeFiles/libabc.dir/src/base/pla/plaMan.c.o CMakeFiles/libabc.dir/src/base/pla/plaMerge.c.o CMakeFiles/libabc.dir/src/base/pla/plaSimple.c.o CMakeFiles/libabc.dir/src/base/pla/plaRead.c.o CMakeFiles/libabc.dir/src/base/pla/plaWrite.c.o CMakeFiles/libabc.dir/src/base/test/test.c.o CMakeFiles/libabc.dir/src/map/mapper/mapper.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperCanon.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperCore.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperCreate.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperCut.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperCutUtils.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperLib.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperMatch.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperRefs.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperSuper.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperSwitch.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperTable.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperTime.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperTree.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperTruth.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperUtils.c.o CMakeFiles/libabc.dir/src/map/mapper/mapperVec.c.o CMakeFiles/libabc.dir/src/map/mio/mio.c.o CMakeFiles/libabc.dir/src/map/mio/mioApi.c.o CMakeFiles/libabc.dir/src/map/mio/mioFunc.c.o CMakeFiles/libabc.dir/src/map/mio/mioParse.c.o CMakeFiles/libabc.dir/src/map/mio/mioRead.c.o CMakeFiles/libabc.dir/src/map/mio/mioSop.c.o CMakeFiles/libabc.dir/src/map/mio/mioUtils.c.o CMakeFiles/libabc.dir/src/map/super/super.c.o CMakeFiles/libabc.dir/src/map/super/superAnd.c.o CMakeFiles/libabc.dir/src/map/super/superGate.c.o CMakeFiles/libabc.dir/src/map/if/ifCom.c.o CMakeFiles/libabc.dir/src/map/if/ifCache.c.o CMakeFiles/libabc.dir/src/map/if/ifCore.c.o CMakeFiles/libabc.dir/src/map/if/ifCut.c.o CMakeFiles/libabc.dir/src/map/if/ifData2.c.o CMakeFiles/libabc.dir/src/map/if/ifDec07.c.o CMakeFiles/libabc.dir/src/map/if/ifDec08.c.o CMakeFiles/libabc.dir/src/map/if/ifDec10.c.o CMakeFiles/libabc.dir/src/map/if/ifDec16.c.o CMakeFiles/libabc.dir/src/map/if/ifDec75.c.o CMakeFiles/libabc.dir/src/map/if/ifDelay.c.o CMakeFiles/libabc.dir/src/map/if/ifDsd.c.o CMakeFiles/libabc.dir/src/map/if/ifLibBox.c.o CMakeFiles/libabc.dir/src/map/if/ifLibLut.c.o CMakeFiles/libabc.dir/src/map/if/ifMan.c.o CMakeFiles/libabc.dir/src/map/if/ifMap.c.o CMakeFiles/libabc.dir/src/map/if/ifMatch2.c.o CMakeFiles/libabc.dir/src/map/if/ifReduce.c.o CMakeFiles/libabc.dir/src/map/if/ifSat.c.o CMakeFiles/libabc.dir/src/map/if/ifSelect.c.o CMakeFiles/libabc.dir/src/map/if/ifSeq.c.o CMakeFiles/libabc.dir/src/map/if/ifTest.c.o CMakeFiles/libabc.dir/src/map/if/ifTime.c.o CMakeFiles/libabc.dir/src/map/if/ifTruth.c.o CMakeFiles/libabc.dir/src/map/if/ifTune.c.o CMakeFiles/libabc.dir/src/map/if/ifUtil.c.o CMakeFiles/libabc.dir/src/map/amap/amapCore.c.o CMakeFiles/libabc.dir/src/map/amap/amapGraph.c.o CMakeFiles/libabc.dir/src/map/amap/amapLib.c.o CMakeFiles/libabc.dir/src/map/amap/amapLiberty.c.o CMakeFiles/libabc.dir/src/map/amap/amapMan.c.o CMakeFiles/libabc.dir/src/map/amap/amapMatch.c.o CMakeFiles/libabc.dir/src/map/amap/amapMerge.c.o CMakeFiles/libabc.dir/src/map/amap/amapOutput.c.o CMakeFiles/libabc.dir/src/map/amap/amapParse.c.o CMakeFiles/libabc.dir/src/map/amap/amapPerm.c.o CMakeFiles/libabc.dir/src/map/amap/amapRead.c.o CMakeFiles/libabc.dir/src/map/amap/amapRule.c.o CMakeFiles/libabc.dir/src/map/amap/amapUniq.c.o CMakeFiles/libabc.dir/src/map/cov/covBuild.c.o CMakeFiles/libabc.dir/src/map/cov/covCore.c.o CMakeFiles/libabc.dir/src/map/cov/covMan.c.o CMakeFiles/libabc.dir/src/map/cov/covMinEsop.c.o CMakeFiles/libabc.dir/src/map/cov/covMinMan.c.o CMakeFiles/libabc.dir/src/map/cov/covMinSop.c.o CMakeFiles/libabc.dir/src/map/cov/covMinUtil.c.o CMakeFiles/libabc.dir/src/map/scl/scl.c.o CMakeFiles/libabc.dir/src/map/scl/sclBuffer.c.o CMakeFiles/libabc.dir/src/map/scl/sclBufSize.c.o CMakeFiles/libabc.dir/src/map/scl/sclDnsize.c.o CMakeFiles/libabc.dir/src/map/scl/sclLiberty.c.o CMakeFiles/libabc.dir/src/map/scl/sclLibScl.c.o CMakeFiles/libabc.dir/src/map/scl/sclLibUtil.c.o CMakeFiles/libabc.dir/src/map/scl/sclLoad.c.o CMakeFiles/libabc.dir/src/map/scl/sclSize.c.o CMakeFiles/libabc.dir/src/map/scl/sclUpsize.c.o CMakeFiles/libabc.dir/src/map/scl/sclUtil.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmAbc.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmCore.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmDsd.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmGates.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmLib.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmMan.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmMap.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmMig.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmPre.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmTruth.c.o CMakeFiles/libabc.dir/src/map/mpm/mpmUtil.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilBitMatrix.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilCanon.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilCfs.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilCube.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilDsd.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilEnum.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilFile.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilGen.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilMacc.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilMaj.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilMemory.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilMisc.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilMult.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilPath.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilPerm.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilProgress.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilReader.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilSupp.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilTruth.c.o CMakeFiles/libabc.dir/src/misc/extra/extraUtilUtil.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcApi.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcCompare.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcContain.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcCover.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcCube.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcDivide.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcDivisor.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcList.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcLits.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcMan.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcOpAlg.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcOpBool.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcPrint.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcSort.c.o CMakeFiles/libabc.dir/src/misc/mvc/mvcUtils.c.o CMakeFiles/libabc.dir/src/misc/st/st.c.o CMakeFiles/libabc.dir/src/misc/st/stmm.c.o CMakeFiles/libabc.dir/src/misc/util/utilBridge.c.o CMakeFiles/libabc.dir/src/misc/util/utilCex.c.o CMakeFiles/libabc.dir/src/misc/util/utilColor.c.o CMakeFiles/libabc.dir/src/misc/util/utilFile.c.o CMakeFiles/libabc.dir/src/misc/util/utilIsop.c.o CMakeFiles/libabc.dir/src/misc/util/utilNam.c.o CMakeFiles/libabc.dir/src/misc/util/utilSignal.c.o CMakeFiles/libabc.dir/src/misc/util/utilSort.c.o CMakeFiles/libabc.dir/src/misc/nm/nmApi.c.o CMakeFiles/libabc.dir/src/misc/nm/nmTable.c.o CMakeFiles/libabc.dir/src/misc/tim/timBox.c.o CMakeFiles/libabc.dir/src/misc/tim/timDump.c.o CMakeFiles/libabc.dir/src/misc/tim/timMan.c.o CMakeFiles/libabc.dir/src/misc/tim/timTime.c.o CMakeFiles/libabc.dir/src/misc/tim/timTrav.c.o CMakeFiles/libabc.dir/src/misc/bzlib/blocksort.c.o CMakeFiles/libabc.dir/src/misc/bzlib/bzlib.c.o CMakeFiles/libabc.dir/src/misc/bzlib/compress.c.o CMakeFiles/libabc.dir/src/misc/bzlib/crctable.c.o CMakeFiles/libabc.dir/src/misc/bzlib/decompress.c.o CMakeFiles/libabc.dir/src/misc/bzlib/huffman.c.o CMakeFiles/libabc.dir/src/misc/bzlib/randtable.c.o CMakeFiles/libabc.dir/src/misc/zlib/adler32.c.o CMakeFiles/libabc.dir/src/misc/zlib/compress_.c.o CMakeFiles/libabc.dir/src/misc/zlib/crc32.c.o CMakeFiles/libabc.dir/src/misc/zlib/deflate.c.o CMakeFiles/libabc.dir/src/misc/zlib/gzclose.c.o CMakeFiles/libabc.dir/src/misc/zlib/gzlib.c.o CMakeFiles/libabc.dir/src/misc/zlib/gzread.c.o CMakeFiles/libabc.dir/src/misc/zlib/gzwrite.c.o CMakeFiles/libabc.dir/src/misc/zlib/infback.c.o CMakeFiles/libabc.dir/src/misc/zlib/inffast.c.o CMakeFiles/libabc.dir/src/misc/zlib/inflate.c.o CMakeFiles/libabc.dir/src/misc/zlib/inftrees.c.o CMakeFiles/libabc.dir/src/misc/zlib/trees.c.o CMakeFiles/libabc.dir/src/misc/zlib/uncompr.c.o CMakeFiles/libabc.dir/src/misc/zlib/zutil.c.o CMakeFiles/libabc.dir/src/misc/mem/mem.c.o CMakeFiles/libabc.dir/src/misc/bar/bar.c.o CMakeFiles/libabc.dir/src/misc/bbl/bblif.c.o CMakeFiles/libabc.dir/src/misc/parse/parseEqn.c.o CMakeFiles/libabc.dir/src/misc/parse/parseStack.c.o CMakeFiles/libabc.dir/src/opt/cut/cutApi.c.o CMakeFiles/libabc.dir/src/opt/cut/cutCut.c.o CMakeFiles/libabc.dir/src/opt/cut/cutMan.c.o CMakeFiles/libabc.dir/src/opt/cut/cutMerge.c.o CMakeFiles/libabc.dir/src/opt/cut/cutNode.c.o CMakeFiles/libabc.dir/src/opt/cut/cutOracle.c.o CMakeFiles/libabc.dir/src/opt/cut/cutPre22.c.o CMakeFiles/libabc.dir/src/opt/cut/cutSeq.c.o CMakeFiles/libabc.dir/src/opt/cut/cutTruth.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxu.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuCreate.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuHeapD.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuHeapS.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuList.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuMatrix.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuPair.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuPrint.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuReduce.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuSelect.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuSingle.c.o CMakeFiles/libabc.dir/src/opt/fxu/fxuUpdate.c.o CMakeFiles/libabc.dir/src/opt/fxch/Fxch.c.o CMakeFiles/libabc.dir/src/opt/fxch/FxchDiv.c.o CMakeFiles/libabc.dir/src/opt/fxch/FxchMan.c.o CMakeFiles/libabc.dir/src/opt/fxch/FxchSCHashTable.c.o CMakeFiles/libabc.dir/src/opt/rwr/rwrDec.c.o CMakeFiles/libabc.dir/src/opt/rwr/rwrEva.c.o CMakeFiles/libabc.dir/src/opt/rwr/rwrExp.c.o CMakeFiles/libabc.dir/src/opt/rwr/rwrLib.c.o CMakeFiles/libabc.dir/src/opt/rwr/rwrMan.c.o CMakeFiles/libabc.dir/src/opt/rwr/rwrPrint.c.o CMakeFiles/libabc.dir/src/opt/rwr/rwrUtil.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsCore.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsDiv.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsInter.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsMan.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsResub.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsSat.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsStrash.c.o CMakeFiles/libabc.dir/src/opt/mfs/mfsWin.c.o CMakeFiles/libabc.dir/src/opt/sim/simMan.c.o CMakeFiles/libabc.dir/src/opt/sim/simSeq.c.o CMakeFiles/libabc.dir/src/opt/sim/simSupp.c.o CMakeFiles/libabc.dir/src/opt/sim/simSwitch.c.o CMakeFiles/libabc.dir/src/opt/sim/simSym.c.o CMakeFiles/libabc.dir/src/opt/sim/simSymSat.c.o CMakeFiles/libabc.dir/src/opt/sim/simSymSim.c.o CMakeFiles/libabc.dir/src/opt/sim/simSymStr.c.o CMakeFiles/libabc.dir/src/opt/sim/simUtils.c.o CMakeFiles/libabc.dir/src/opt/ret/retArea.c.o CMakeFiles/libabc.dir/src/opt/ret/retCore.c.o CMakeFiles/libabc.dir/src/opt/ret/retDelay.c.o CMakeFiles/libabc.dir/src/opt/ret/retFlow.c.o CMakeFiles/libabc.dir/src/opt/ret/retIncrem.c.o CMakeFiles/libabc.dir/src/opt/ret/retInit.c.o CMakeFiles/libabc.dir/src/opt/ret/retLvalue.c.o CMakeFiles/libabc.dir/src/opt/fret/fretMain.c.o CMakeFiles/libabc.dir/src/opt/fret/fretFlow.c.o CMakeFiles/libabc.dir/src/opt/fret/fretInit.c.o CMakeFiles/libabc.dir/src/opt/fret/fretTime.c.o CMakeFiles/libabc.dir/src/opt/res/resCore.c.o CMakeFiles/libabc.dir/src/opt/res/resDivs.c.o CMakeFiles/libabc.dir/src/opt/res/resFilter.c.o CMakeFiles/libabc.dir/src/opt/res/resSat.c.o CMakeFiles/libabc.dir/src/opt/res/resSim.c.o CMakeFiles/libabc.dir/src/opt/res/resStrash.c.o CMakeFiles/libabc.dir/src/opt/res/resWin.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkCore.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcDec.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcMux.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcDsd.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkAbcUtil.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkCut.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkMan.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkMap.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkMulti.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkMux.c.o CMakeFiles/libabc.dir/src/opt/lpk/lpkSets.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkAig.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkCheck.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkBidec.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkDfs.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkFanio.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkFlow.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkMan.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkMap.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkMerge.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkObj.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkSpeedup.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkStrash.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkTiming.c.o CMakeFiles/libabc.dir/src/opt/nwk/nwkUtil.c.o CMakeFiles/libabc.dir/src/opt/rwt/rwtDec.c.o CMakeFiles/libabc.dir/src/opt/rwt/rwtMan.c.o CMakeFiles/libabc.dir/src/opt/rwt/rwtUtil.c.o CMakeFiles/libabc.dir/src/opt/cgt/cgtAig.c.o CMakeFiles/libabc.dir/src/opt/cgt/cgtCore.c.o CMakeFiles/libabc.dir/src/opt/cgt/cgtDecide.c.o CMakeFiles/libabc.dir/src/opt/cgt/cgtMan.c.o CMakeFiles/libabc.dir/src/opt/cgt/cgtSat.c.o CMakeFiles/libabc.dir/src/opt/csw/cswCore.c.o CMakeFiles/libabc.dir/src/opt/csw/cswCut.c.o CMakeFiles/libabc.dir/src/opt/csw/cswMan.c.o CMakeFiles/libabc.dir/src/opt/csw/cswTable.c.o CMakeFiles/libabc.dir/src/opt/dar/darBalance.c.o CMakeFiles/libabc.dir/src/opt/dar/darCore.c.o CMakeFiles/libabc.dir/src/opt/dar/darCut.c.o CMakeFiles/libabc.dir/src/opt/dar/darData.c.o CMakeFiles/libabc.dir/src/opt/dar/darLib.c.o CMakeFiles/libabc.dir/src/opt/dar/darMan.c.o CMakeFiles/libabc.dir/src/opt/dar/darPrec.c.o CMakeFiles/libabc.dir/src/opt/dar/darRefact.c.o CMakeFiles/libabc.dir/src/opt/dar/darScript.c.o CMakeFiles/libabc.dir/src/opt/dau/dauCanon.c.o CMakeFiles/libabc.dir/src/opt/dau/dauCore.c.o CMakeFiles/libabc.dir/src/opt/dau/dauCount.c.o CMakeFiles/libabc.dir/src/opt/dau/dauDivs.c.o CMakeFiles/libabc.dir/src/opt/dau/dauDsd.c.o CMakeFiles/libabc.dir/src/opt/dau/dauEnum.c.o CMakeFiles/libabc.dir/src/opt/dau/dauGia.c.o CMakeFiles/libabc.dir/src/opt/dau/dauMerge.c.o CMakeFiles/libabc.dir/src/opt/dau/dauNonDsd.c.o CMakeFiles/libabc.dir/src/opt/dau/dauNpn.c.o CMakeFiles/libabc.dir/src/opt/dau/dauNpn2.c.o CMakeFiles/libabc.dir/src/opt/dau/dauTree.c.o CMakeFiles/libabc.dir/src/opt/dsc/dsc.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmArea.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmCnf.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmCore.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmDec.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmLib.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmNtk.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmSat.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmTim.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmMit.c.o CMakeFiles/libabc.dir/src/opt/sfm/sfmWin.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbd.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdCnf.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdCore.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdCut.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdCut2.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdLut.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdPath.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdSat.c.o CMakeFiles/libabc.dir/src/opt/sbd/sbdWin.c.o CMakeFiles/libabc.dir/src/sat/bsat/satMem.c.o CMakeFiles/libabc.dir/src/sat/bsat/satInter.c.o CMakeFiles/libabc.dir/src/sat/bsat/satInterA.c.o CMakeFiles/libabc.dir/src/sat/bsat/satInterB.c.o CMakeFiles/libabc.dir/src/sat/bsat/satInterP.c.o CMakeFiles/libabc.dir/src/sat/bsat/satProof.c.o CMakeFiles/libabc.dir/src/sat/bsat/satSolver.c.o CMakeFiles/libabc.dir/src/sat/bsat/satSolver2.c.o CMakeFiles/libabc.dir/src/sat/bsat/satSolver2i.c.o CMakeFiles/libabc.dir/src/sat/bsat/satSolver3.c.o CMakeFiles/libabc.dir/src/sat/bsat/satStore.c.o CMakeFiles/libabc.dir/src/sat/bsat/satTrace.c.o CMakeFiles/libabc.dir/src/sat/bsat/satTruth.c.o CMakeFiles/libabc.dir/src/sat/bsat/satUtil.c.o CMakeFiles/libabc.dir/src/sat/xsat/xsatSolver.c.o CMakeFiles/libabc.dir/src/sat/xsat/xsatSolverAPI.c.o CMakeFiles/libabc.dir/src/sat/xsat/xsatCnfReader.c.o CMakeFiles/libabc.dir/src/sat/satoko/solver.c.o CMakeFiles/libabc.dir/src/sat/satoko/solver_api.c.o CMakeFiles/libabc.dir/src/sat/satoko/cnf_reader.c.o CMakeFiles/libabc.dir/src/sat/csat/csat_apis.c.o CMakeFiles/libabc.dir/src/sat/msat/msatActivity.c.o CMakeFiles/libabc.dir/src/sat/msat/msatClause.c.o CMakeFiles/libabc.dir/src/sat/msat/msatClauseVec.c.o CMakeFiles/libabc.dir/src/sat/msat/msatMem.c.o CMakeFiles/libabc.dir/src/sat/msat/msatOrderH.c.o CMakeFiles/libabc.dir/src/sat/msat/msatQueue.c.o CMakeFiles/libabc.dir/src/sat/msat/msatRead.c.o CMakeFiles/libabc.dir/src/sat/msat/msatSolverApi.c.o CMakeFiles/libabc.dir/src/sat/msat/msatSolverCore.c.o CMakeFiles/libabc.dir/src/sat/msat/msatSolverIo.c.o CMakeFiles/libabc.dir/src/sat/msat/msatSolverSearch.c.o CMakeFiles/libabc.dir/src/sat/msat/msatSort.c.o CMakeFiles/libabc.dir/src/sat/msat/msatVec.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfCore.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfCut.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfData.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfFast.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfMan.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfMap.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfPost.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfUtil.c.o CMakeFiles/libabc.dir/src/sat/cnf/cnfWrite.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBCore.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBmc.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBmc2.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBmc3.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBmcAnd.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBmci.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBmcG.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcBmcS.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcCexCare.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcCexCut.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcCexDepth.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcCexMin1.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcCexMin2.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcCexTools.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcChain.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcClp.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcEco.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcExpand.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcFault.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcFx.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcGen.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcICheck.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcInse.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcLoad.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcMaj.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcMaj2.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcMaj3.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcMaxi.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcMesh.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcMesh2.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcMulti.c.o CMakeFiles/libabc.dir/src/sat/bmc/bmcUnroll.c.o CMakeFiles/libabc.dir/src/sat/glucose/AbcGlucose.cpp.o CMakeFiles/libabc.dir/src/sat/glucose/AbcGlucoseCmd.cpp.o CMakeFiles/libabc.dir/src/sat/glucose/Glucose.cpp.o CMakeFiles/libabc.dir/src/sat/glucose/Options.cpp.o CMakeFiles/libabc.dir/src/sat/glucose/SimpSolver.cpp.o CMakeFiles/libabc.dir/src/sat/glucose/System.cpp.o CMakeFiles/libabc.dir/src/sat/glucose2/AbcGlucose2.cpp.o CMakeFiles/libabc.dir/src/sat/glucose2/AbcGlucoseCmd2.cpp.o CMakeFiles/libabc.dir/src/sat/glucose2/Glucose2.cpp.o CMakeFiles/libabc.dir/src/sat/glucose2/Options2.cpp.o CMakeFiles/libabc.dir/src/sat/glucose2/SimpSolver2.cpp.o CMakeFiles/libabc.dir/src/sat/glucose2/System2.cpp.o CMakeFiles/libabc.dir/src/bool/bdc/bdcCore.c.o CMakeFiles/libabc.dir/src/bool/bdc/bdcDec.c.o CMakeFiles/libabc.dir/src/bool/bdc/bdcSpfd.c.o CMakeFiles/libabc.dir/src/bool/bdc/bdcTable.c.o CMakeFiles/libabc.dir/src/bool/dec/decAbc.c.o CMakeFiles/libabc.dir/src/bool/dec/decFactor.c.o CMakeFiles/libabc.dir/src/bool/dec/decMan.c.o CMakeFiles/libabc.dir/src/bool/dec/decPrint.c.o CMakeFiles/libabc.dir/src/bool/dec/decUtil.c.o CMakeFiles/libabc.dir/src/bool/kit/kitAig.c.o CMakeFiles/libabc.dir/src/bool/kit/kitBdd.c.o CMakeFiles/libabc.dir/src/bool/kit/kitCloud.c.o CMakeFiles/libabc.dir/src/bool/kit/cloud.c.o CMakeFiles/libabc.dir/src/bool/kit/kitDsd.c.o CMakeFiles/libabc.dir/src/bool/kit/kitFactor.c.o CMakeFiles/libabc.dir/src/bool/kit/kitGraph.c.o CMakeFiles/libabc.dir/src/bool/kit/kitHop.c.o CMakeFiles/libabc.dir/src/bool/kit/kitIsop.c.o CMakeFiles/libabc.dir/src/bool/kit/kitPla.c.o CMakeFiles/libabc.dir/src/bool/kit/kitSop.c.o CMakeFiles/libabc.dir/src/bool/kit/kitTruth.c.o CMakeFiles/libabc.dir/src/bool/lucky/lucky.c.o CMakeFiles/libabc.dir/src/bool/lucky/luckyFast16.c.o CMakeFiles/libabc.dir/src/bool/lucky/luckyFast6.c.o CMakeFiles/libabc.dir/src/bool/lucky/luckyRead.c.o CMakeFiles/libabc.dir/src/bool/lucky/luckySimple.c.o CMakeFiles/libabc.dir/src/bool/lucky/luckySwapIJ.c.o CMakeFiles/libabc.dir/src/bool/lucky/luckySwap.c.o CMakeFiles/libabc.dir/src/bool/rsb/rsbDec6.c.o CMakeFiles/libabc.dir/src/bool/rsb/rsbMan.c.o CMakeFiles/libabc.dir/src/bool/rpo/rpo.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrCnf.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrCore.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrIncr.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrInv.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrMan.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrSat.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrTsim.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrTsim2.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrTsim3.c.o CMakeFiles/libabc.dir/src/proof/pdr/pdrUtil.c.o CMakeFiles/libabc.dir/src/proof/abs/absDup.c.o CMakeFiles/libabc.dir/src/proof/abs/absGla.c.o CMakeFiles/libabc.dir/src/proof/abs/absGlaOld.c.o CMakeFiles/libabc.dir/src/proof/abs/absIter.c.o CMakeFiles/libabc.dir/src/proof/abs/absOldCex.c.o CMakeFiles/libabc.dir/src/proof/abs/absOldRef.c.o CMakeFiles/libabc.dir/src/proof/abs/absOldSat.c.o CMakeFiles/libabc.dir/src/proof/abs/absOldSim.c.o CMakeFiles/libabc.dir/src/proof/abs/absOut.c.o CMakeFiles/libabc.dir/src/proof/abs/absPth.c.o CMakeFiles/libabc.dir/src/proof/abs/absRef.c.o CMakeFiles/libabc.dir/src/proof/abs/absRefSelect.c.o CMakeFiles/libabc.dir/src/proof/abs/absRpm.c.o CMakeFiles/libabc.dir/src/proof/abs/absRpmOld.c.o CMakeFiles/libabc.dir/src/proof/abs/absVta.c.o CMakeFiles/libabc.dir/src/proof/abs/absUtil.c.o CMakeFiles/libabc.dir/src/proof/live/liveness.c.o CMakeFiles/libabc.dir/src/proof/live/liveness_sim.c.o CMakeFiles/libabc.dir/src/proof/live/ltl_parser.c.o CMakeFiles/libabc.dir/src/proof/live/kliveness.c.o CMakeFiles/libabc.dir/src/proof/live/monotone.c.o CMakeFiles/libabc.dir/src/proof/live/disjunctiveMonotone.c.o CMakeFiles/libabc.dir/src/proof/live/arenaViolation.c.o CMakeFiles/libabc.dir/src/proof/live/kLiveConstraints.c.o CMakeFiles/libabc.dir/src/proof/live/combination.c.o CMakeFiles/libabc.dir/src/proof/ssc/sscClass.c.o CMakeFiles/libabc.dir/src/proof/ssc/sscCore.c.o CMakeFiles/libabc.dir/src/proof/ssc/sscSat.c.o CMakeFiles/libabc.dir/src/proof/ssc/sscSim.c.o CMakeFiles/libabc.dir/src/proof/ssc/sscUtil.c.o CMakeFiles/libabc.dir/src/proof/int/intCheck.c.o CMakeFiles/libabc.dir/src/proof/int/intContain.c.o CMakeFiles/libabc.dir/src/proof/int/intCore.c.o CMakeFiles/libabc.dir/src/proof/int/intCtrex.c.o CMakeFiles/libabc.dir/src/proof/int/intDup.c.o CMakeFiles/libabc.dir/src/proof/int/intFrames.c.o CMakeFiles/libabc.dir/src/proof/int/intInter.c.o CMakeFiles/libabc.dir/src/proof/int/intM114.c.o CMakeFiles/libabc.dir/src/proof/int/intMan.c.o CMakeFiles/libabc.dir/src/proof/int/intUtil.c.o CMakeFiles/libabc.dir/src/proof/cec/cecCec.c.o CMakeFiles/libabc.dir/src/proof/cec/cecChoice.c.o CMakeFiles/libabc.dir/src/proof/cec/cecClass.c.o CMakeFiles/libabc.dir/src/proof/cec/cecCore.c.o CMakeFiles/libabc.dir/src/proof/cec/cecCorr.c.o CMakeFiles/libabc.dir/src/proof/cec/cecIso.c.o CMakeFiles/libabc.dir/src/proof/cec/cecMan.c.o CMakeFiles/libabc.dir/src/proof/cec/cecPat.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSat.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSatG.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSatG2.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSatG3.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSeq.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSim.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSolve.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSolveG.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSplit.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSynth.c.o CMakeFiles/libabc.dir/src/proof/cec/cecSweep.c.o CMakeFiles/libabc.dir/src/proof/acec/acecCl.c.o CMakeFiles/libabc.dir/src/proof/acec/acecCore.c.o CMakeFiles/libabc.dir/src/proof/acec/acecCo.c.o CMakeFiles/libabc.dir/src/proof/acec/acecBo.c.o CMakeFiles/libabc.dir/src/proof/acec/acecRe.c.o CMakeFiles/libabc.dir/src/proof/acec/acecPa.c.o CMakeFiles/libabc.dir/src/proof/acec/acecPo.c.o CMakeFiles/libabc.dir/src/proof/acec/acecPool.c.o CMakeFiles/libabc.dir/src/proof/acec/acecCover.c.o CMakeFiles/libabc.dir/src/proof/acec/acecFadds.c.o CMakeFiles/libabc.dir/src/proof/acec/acecMult.c.o CMakeFiles/libabc.dir/src/proof/acec/acecNorm.c.o CMakeFiles/libabc.dir/src/proof/acec/acecOrder.c.o CMakeFiles/libabc.dir/src/proof/acec/acecPolyn.c.o CMakeFiles/libabc.dir/src/proof/acec/acecSt.c.o CMakeFiles/libabc.dir/src/proof/acec/acecTree.c.o CMakeFiles/libabc.dir/src/proof/acec/acecUtil.c.o CMakeFiles/libabc.dir/src/proof/acec/acec2Mult.c.o CMakeFiles/libabc.dir/src/proof/acec/acecXor.c.o CMakeFiles/libabc.dir/src/proof/dch/dchAig.c.o CMakeFiles/libabc.dir/src/proof/dch/dchChoice.c.o CMakeFiles/libabc.dir/src/proof/dch/dchClass.c.o CMakeFiles/libabc.dir/src/proof/dch/dchCnf.c.o CMakeFiles/libabc.dir/src/proof/dch/dchCore.c.o CMakeFiles/libabc.dir/src/proof/dch/dchMan.c.o CMakeFiles/libabc.dir/src/proof/dch/dchSat.c.o CMakeFiles/libabc.dir/src/proof/dch/dchSim.c.o CMakeFiles/libabc.dir/src/proof/dch/dchSimSat.c.o CMakeFiles/libabc.dir/src/proof/dch/dchSweep.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigApi.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigCanon.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigFanout.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigFeed.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigMan.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigMem.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigNode.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigPrime.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigSat.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigTable.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigUtil.c.o CMakeFiles/libabc.dir/src/proof/fraig/fraigVec.c.o CMakeFiles/libabc.dir/src/proof/fra/fraBmc.c.o CMakeFiles/libabc.dir/src/proof/fra/fraCec.c.o CMakeFiles/libabc.dir/src/proof/fra/fraClass.c.o CMakeFiles/libabc.dir/src/proof/fra/fraClau.c.o CMakeFiles/libabc.dir/src/proof/fra/fraClaus.c.o CMakeFiles/libabc.dir/src/proof/fra/fraCnf.c.o CMakeFiles/libabc.dir/src/proof/fra/fraCore.c.o CMakeFiles/libabc.dir/src/proof/fra/fraHot.c.o CMakeFiles/libabc.dir/src/proof/fra/fraImp.c.o CMakeFiles/libabc.dir/src/proof/fra/fraInd.c.o CMakeFiles/libabc.dir/src/proof/fra/fraIndVer.c.o CMakeFiles/libabc.dir/src/proof/fra/fraLcr.c.o CMakeFiles/libabc.dir/src/proof/fra/fraMan.c.o CMakeFiles/libabc.dir/src/proof/fra/fraPart.c.o CMakeFiles/libabc.dir/src/proof/fra/fraSat.c.o CMakeFiles/libabc.dir/src/proof/fra/fraSec.c.o CMakeFiles/libabc.dir/src/proof/fra/fraSim.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswAig.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswBmc.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswClass.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswCnf.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswConstr.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswCore.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswDyn.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswFilter.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswIslands.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswLcorr.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswMan.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswPart.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswPairs.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswRarity.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswSat.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswSemi.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswSim.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswSimSat.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswSweep.c.o CMakeFiles/libabc.dir/src/proof/ssw/sswUnique.c.o CMakeFiles/libabc.dir/src/aig/aig/aigCheck.c.o CMakeFiles/libabc.dir/src/aig/aig/aigCanon.c.o CMakeFiles/libabc.dir/src/aig/aig/aigCuts.c.o CMakeFiles/libabc.dir/src/aig/aig/aigDfs.c.o CMakeFiles/libabc.dir/src/aig/aig/aigDup.c.o CMakeFiles/libabc.dir/src/aig/aig/aigFanout.c.o CMakeFiles/libabc.dir/src/aig/aig/aigFrames.c.o CMakeFiles/libabc.dir/src/aig/aig/aigInter.c.o CMakeFiles/libabc.dir/src/aig/aig/aigJust.c.o CMakeFiles/libabc.dir/src/aig/aig/aigMan.c.o CMakeFiles/libabc.dir/src/aig/aig/aigMem.c.o CMakeFiles/libabc.dir/src/aig/aig/aigMffc.c.o CMakeFiles/libabc.dir/src/aig/aig/aigObj.c.o CMakeFiles/libabc.dir/src/aig/aig/aigOper.c.o CMakeFiles/libabc.dir/src/aig/aig/aigOrder.c.o CMakeFiles/libabc.dir/src/aig/aig/aigPack.c.o CMakeFiles/libabc.dir/src/aig/aig/aigPart.c.o CMakeFiles/libabc.dir/src/aig/aig/aigPartReg.c.o CMakeFiles/libabc.dir/src/aig/aig/aigPartSat.c.o CMakeFiles/libabc.dir/src/aig/aig/aigRepr.c.o CMakeFiles/libabc.dir/src/aig/aig/aigRet.c.o CMakeFiles/libabc.dir/src/aig/aig/aigRetF.c.o CMakeFiles/libabc.dir/src/aig/aig/aigScl.c.o CMakeFiles/libabc.dir/src/aig/aig/aigShow.c.o CMakeFiles/libabc.dir/src/aig/aig/aigSplit.c.o CMakeFiles/libabc.dir/src/aig/aig/aigTable.c.o CMakeFiles/libabc.dir/src/aig/aig/aigTiming.c.o CMakeFiles/libabc.dir/src/aig/aig/aigTruth.c.o CMakeFiles/libabc.dir/src/aig/aig/aigTsim.c.o CMakeFiles/libabc.dir/src/aig/aig/aigUtil.c.o CMakeFiles/libabc.dir/src/aig/aig/aigWin.c.o CMakeFiles/libabc.dir/src/aig/saig/saigCone.c.o CMakeFiles/libabc.dir/src/aig/saig/saigConstr.c.o CMakeFiles/libabc.dir/src/aig/saig/saigConstr2.c.o CMakeFiles/libabc.dir/src/aig/saig/saigDual.c.o CMakeFiles/libabc.dir/src/aig/saig/saigDup.c.o CMakeFiles/libabc.dir/src/aig/saig/saigInd.c.o CMakeFiles/libabc.dir/src/aig/saig/saigIoa.c.o CMakeFiles/libabc.dir/src/aig/saig/saigIso.c.o CMakeFiles/libabc.dir/src/aig/saig/saigIsoFast.c.o CMakeFiles/libabc.dir/src/aig/saig/saigIsoSlow.c.o CMakeFiles/libabc.dir/src/aig/saig/saigMiter.c.o CMakeFiles/libabc.dir/src/aig/saig/saigOutDec.c.o CMakeFiles/libabc.dir/src/aig/saig/saigPhase.c.o CMakeFiles/libabc.dir/src/aig/saig/saigRetFwd.c.o CMakeFiles/libabc.dir/src/aig/saig/saigRetMin.c.o CMakeFiles/libabc.dir/src/aig/saig/saigRetStep.c.o CMakeFiles/libabc.dir/src/aig/saig/saigScl.c.o CMakeFiles/libabc.dir/src/aig/saig/saigSimFast.c.o CMakeFiles/libabc.dir/src/aig/saig/saigSimMv.c.o CMakeFiles/libabc.dir/src/aig/saig/saigSimSeq.c.o CMakeFiles/libabc.dir/src/aig/saig/saigStrSim.c.o CMakeFiles/libabc.dir/src/aig/saig/saigSwitch.c.o CMakeFiles/libabc.dir/src/aig/saig/saigSynch.c.o CMakeFiles/libabc.dir/src/aig/saig/saigTempor.c.o CMakeFiles/libabc.dir/src/aig/saig/saigTrans.c.o CMakeFiles/libabc.dir/src/aig/saig/saigWnd.c.o CMakeFiles/libabc.dir/src/aig/gia/giaAig.c.o CMakeFiles/libabc.dir/src/aig/gia/giaAgi.c.o CMakeFiles/libabc.dir/src/aig/gia/giaAiger.c.o CMakeFiles/libabc.dir/src/aig/gia/giaAigerExt.c.o CMakeFiles/libabc.dir/src/aig/gia/giaBalAig.c.o CMakeFiles/libabc.dir/src/aig/gia/giaBalLut.c.o CMakeFiles/libabc.dir/src/aig/gia/giaBalMap.c.o CMakeFiles/libabc.dir/src/aig/gia/giaBidec.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCCof.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCex.c.o CMakeFiles/libabc.dir/src/aig/gia/giaClp.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCof.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCone.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCSatOld.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCSat.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCSat2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCSat3.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCSatP.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCTas.c.o CMakeFiles/libabc.dir/src/aig/gia/giaCut.c.o CMakeFiles/libabc.dir/src/aig/gia/giaDecs.c.o CMakeFiles/libabc.dir/src/aig/gia/giaDeep.c.o CMakeFiles/libabc.dir/src/aig/gia/giaDfs.c.o CMakeFiles/libabc.dir/src/aig/gia/giaDup.c.o CMakeFiles/libabc.dir/src/aig/gia/giaEdge.c.o CMakeFiles/libabc.dir/src/aig/gia/giaEmbed.c.o CMakeFiles/libabc.dir/src/aig/gia/giaEnable.c.o CMakeFiles/libabc.dir/src/aig/gia/giaEquiv.c.o CMakeFiles/libabc.dir/src/aig/gia/giaEra.c.o CMakeFiles/libabc.dir/src/aig/gia/giaEra2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaEsop.c.o CMakeFiles/libabc.dir/src/aig/gia/giaExist.c.o CMakeFiles/libabc.dir/src/aig/gia/giaFalse.c.o CMakeFiles/libabc.dir/src/aig/gia/giaFanout.c.o CMakeFiles/libabc.dir/src/aig/gia/giaForce.c.o CMakeFiles/libabc.dir/src/aig/gia/giaFrames.c.o CMakeFiles/libabc.dir/src/aig/gia/giaFront.c.o CMakeFiles/libabc.dir/src/aig/gia/giaFx.c.o CMakeFiles/libabc.dir/src/aig/gia/giaGen.c.o CMakeFiles/libabc.dir/src/aig/gia/giaGig.c.o CMakeFiles/libabc.dir/src/aig/gia/giaGlitch.c.o CMakeFiles/libabc.dir/src/aig/gia/giaHash.c.o CMakeFiles/libabc.dir/src/aig/gia/giaIf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaIff.c.o CMakeFiles/libabc.dir/src/aig/gia/giaIiff.c.o CMakeFiles/libabc.dir/src/aig/gia/giaIso.c.o CMakeFiles/libabc.dir/src/aig/gia/giaIso2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaIso3.c.o CMakeFiles/libabc.dir/src/aig/gia/giaJf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaKf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaLf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMan.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMem.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMfs.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMini.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMinLut.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMinLut2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaMuxes.c.o CMakeFiles/libabc.dir/src/aig/gia/giaNf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaOf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaPack.c.o CMakeFiles/libabc.dir/src/aig/gia/giaPat.c.o CMakeFiles/libabc.dir/src/aig/gia/giaPat2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaPf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaQbf.c.o CMakeFiles/libabc.dir/src/aig/gia/giaReshape1.c.o CMakeFiles/libabc.dir/src/aig/gia/giaReshape2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaResub.c.o CMakeFiles/libabc.dir/src/aig/gia/giaResub2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaResub3.c.o CMakeFiles/libabc.dir/src/aig/gia/giaRetime.c.o CMakeFiles/libabc.dir/src/aig/gia/giaRex.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSatEdge.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSatLE.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSatLut.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSatMap.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSatoko.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSat3.c.o CMakeFiles/libabc.dir/src/aig/gia/giaScl.c.o CMakeFiles/libabc.dir/src/aig/gia/giaScript.c.o CMakeFiles/libabc.dir/src/aig/gia/giaShow.c.o CMakeFiles/libabc.dir/src/aig/gia/giaShrink.c.o CMakeFiles/libabc.dir/src/aig/gia/giaShrink6.c.o CMakeFiles/libabc.dir/src/aig/gia/giaShrink7.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSim.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSim2.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSimBase.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSort.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSpeedup.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSplit.c.o CMakeFiles/libabc.dir/src/aig/gia/giaStg.c.o CMakeFiles/libabc.dir/src/aig/gia/giaStoch.c.o CMakeFiles/libabc.dir/src/aig/gia/giaStr.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSupMin.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSupp.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSupps.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSweep.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSweeper.c.o CMakeFiles/libabc.dir/src/aig/gia/giaSwitch.c.o CMakeFiles/libabc.dir/src/aig/gia/giaTim.c.o CMakeFiles/libabc.dir/src/aig/gia/giaTis.c.o CMakeFiles/libabc.dir/src/aig/gia/giaTruth.c.o CMakeFiles/libabc.dir/src/aig/gia/giaTsim.c.o CMakeFiles/libabc.dir/src/aig/gia/giaUnate.c.o CMakeFiles/libabc.dir/src/aig/gia/giaUtil.c.o CMakeFiles/libabc.dir/src/aig/ioa/ioaReadAig.c.o CMakeFiles/libabc.dir/src/aig/ioa/ioaWriteAig.c.o CMakeFiles/libabc.dir/src/aig/ioa/ioaUtil.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyBalance.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyCanon.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyCheck.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyCut.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyCutTrav.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyDfs.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyDsd.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyFanout.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyFastMap.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyFraig.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyHaig.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyMan.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyMem.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyMulti.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyObj.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyOper.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyResyn.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyRwr.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivySeq.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyShow.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyTable.c.o CMakeFiles/libabc.dir/src/aig/ivy/ivyUtil.c.o CMakeFiles/libabc.dir/src/aig/hop/hopBalance.c.o CMakeFiles/libabc.dir/src/aig/hop/hopCheck.c.o CMakeFiles/libabc.dir/src/aig/hop/hopDfs.c.o CMakeFiles/libabc.dir/src/aig/hop/hopMan.c.o CMakeFiles/libabc.dir/src/aig/hop/hopMem.c.o CMakeFiles/libabc.dir/src/aig/hop/hopObj.c.o CMakeFiles/libabc.dir/src/aig/hop/hopOper.c.o CMakeFiles/libabc.dir/src/aig/hop/hopTable.c.o CMakeFiles/libabc.dir/src/aig/hop/hopTruth.c.o CMakeFiles/libabc.dir/src/aig/hop/hopUtil.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAPI.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddAbs.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddApply.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddFind.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddInv.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddIte.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddNeg.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAddWalsh.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAndAbs.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddAnneal.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddApa.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddApprox.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddBddAbs.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddBddCorr.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddBddIte.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddBridge.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddCache.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddCheck.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddClip.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddCof.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddCompose.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddDecomp.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddEssent.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddExact.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddExport.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddGenCof.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddGenetic.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddGroup.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddHarwell.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddInit.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddInteract.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddLCache.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddLevelQ.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddLinear.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddLiteral.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddMatMult.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddPriority.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddRead.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddRef.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddReorder.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddSat.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddSign.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddSolve.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddSplit.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddSubsetHB.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddSubsetSP.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddSymmetry.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddTable.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddUtil.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddWindow.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddCount.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddFuncs.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddGroup.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddIsop.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddLin.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddMisc.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddPort.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddReord.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddSetop.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddSymm.c.o CMakeFiles/libabc.dir/src/bdd/cudd/cuddZddUtil.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddAuto.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddCas.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddImage.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddKmap.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddMaxMin.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddMisc.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddSet.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddSymm.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddThresh.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddTime.c.o CMakeFiles/libabc.dir/src/bdd/extrab/extraBddUnate.c.o CMakeFiles/libabc.dir/src/bdd/dsd/dsdApi.c.o CMakeFiles/libabc.dir/src/bdd/dsd/dsdCheck.c.o CMakeFiles/libabc.dir/src/bdd/dsd/dsdLocal.c.o CMakeFiles/libabc.dir/src/bdd/dsd/dsdMan.c.o CMakeFiles/libabc.dir/src/bdd/dsd/dsdProc.c.o CMakeFiles/libabc.dir/src/bdd/dsd/dsdTree.c.o CMakeFiles/libabc.dir/src/bdd/epd/epd.c.o CMakeFiles/libabc.dir/src/bdd/mtr/mtrBasic.c.o CMakeFiles/libabc.dir/src/bdd/mtr/mtrGroup.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoApi.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoCore.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoProfile.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoShuffle.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoSift.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoSwap.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoTransfer.c.o CMakeFiles/libabc.dir/src/bdd/reo/reoUnits.c.o CMakeFiles/libabc.dir/src/bdd/cas/casCore.c.o CMakeFiles/libabc.dir/src/bdd/cas/casDec.c.o CMakeFiles/libabc.dir/src/bdd/bbr/bbrCex.c.o CMakeFiles/libabc.dir/src/bdd/bbr/bbrImage.c.o CMakeFiles/libabc.dir/src/bdd/bbr/bbrNtbdd.c.o CMakeFiles/libabc.dir/src/bdd/bbr/bbrReach.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Cluster.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Constr.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Core.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Group.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Hint.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Man.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Matrix.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Pivot.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Reach.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb1Sched.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb2Bad.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb2Core.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb2Driver.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb2Dump.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb2Flow.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb2Image.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb3Image.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb3Nonlin.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb4Cex.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb4Image.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb4Nonlin.c.o CMakeFiles/libabc.dir/src/bdd/llb/llb4Sweep.c.o\r\n```\n\n### Expected Behavior\n\nNot a crash. I don't know how this could be alleviated: could the build be more... hierarchical?\n\n### Environment\n\n```shell\nGit commit: a19ae00780d477f8b1b59979277f375277f17ada\r\nkernel: Linux 5.15.89\r\nos: NixOS 22.11 (Raccoon)\r\ncmake version 3.24.3\r\ngcc (GCC) 10.4.0\r\nclang version 11.1.0\n```\n\n\n### To Reproduce\n\nJust try compiling OpenROAD with ABC with 16+ threads.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I run with 100 threads on my machine and haven't seen a crash related to this. Is it maybe a low memory issue (My machine has 512GB of RAM which might alleviate the problem)?"}, {"user": "I have ~20 GB free, so I don't think that's it either."}, {"assistant": "I've never seen this in daily -j45 builds. Perhaps low tmp or swap space?"}, {"user": "Nope, I have plenty of both too...\r\n\r\nCuriously, using Clang and exactly 8 threads works fine. Which version of GCC do you recommend?"}, {"assistant": "I'm using \"gcc version 8.3.1\""}, {"assistant": "@donn Could you try to collect a core dump for the failing program.\r\n\r\nhttps://jvns.ca/blog/2018/04/28/debugging-a-segfault-on-linux/"}, {"assistant": "I've run into similar problems and it's usually a memory thing. Running with fewer threads always fixes it for me.\r\n\r\nIt's especially a problem on my laptop which has 24 \"logical cores\" as reported by `nproc` but I think that half of those cores are \"efficiency cores\" and I think the OS wants to run either/or, not both efficiency and performance cores."}, {"assistant": "Since the problem exists outside our tree I think it's technically out of scope for the project. The fix would need to come from upstream abc."}, {"user": "OpenROAD uses a fork of ABC, for which the build can be made a bit more hierarchical. "}, {"assistant": "@rovinski have you run into this problem with abc or just other crashes in general? \r\n\r\nRight now I don't have any way to reproduce this and no OR developer has ever reported seeing it. Its never happened in the OR CI.\r\n\r\n@donn how can I reproduce this issue before trying to fix it?"}, {"assistant": "Not sure; I haven't paid attention to when it happens specifically. It's a random occurrence for me.\r\n\r\nMaybe try running the build script with `threads` > `$(nproc)`?"}]} +{"num": 2838, "messages": [{"user": "### Description\n\nThe addition of the \"Open Database\" feature has been very useful, because it makes it much easier to discover the ability to open `.odb` files.\r\n\r\nIt would be very nice to also add the ability to open a database from the command line. This makes it easy to open \"the most recent good file\" from an openlane flow to inspect what might have gone wrong.\n\n### Suggested Solution\n\nEither add a command line argument `--open`, or add some magic to detect whether the specified file is a tcl script or an odb file.\r\n\r\nAlternately, adding an `--eval` command line argument would also be acceptable.\n\n### Additional Context\n\nCurrently I have this implemented using a FIFO in a script called `show-openroad.sh`:\r\n\r\n```\r\n#!/bin/sh\r\nif [ ! -e \"$1\" ]\r\nthen\r\n echo \"File $1 doesn't exist!\"\r\n exit 1\r\nfi\r\nrm -f /tmp/pipe\r\nmkfifo /tmp/pipe\r\n(echo \"read_db $1\" > /tmp/pipe &)\r\nopenroad -gui /tmp/pipe\r\nrm -f /tmp/pipe\r\n```\r\n\r\nBut it would be nice if this wasn't required"}, {"assistant": "@proppy do you have time for this?"}, {"assistant": "Related https://github.com/The-OpenROAD-Project/OpenROAD/pull/2762\r\n\r\n"}, {"assistant": "@xobs curious if the following would work?\r\n\r\n```\r\nopenroad -gui <(echo read_db path/to/layout.db)\r\n```"}, {"user": "That does work, yes! I had trouble remembering the bash-ism for \"pipe the output of this subshell into stdin of a new shell\"."}, {"assistant": "Still I think it would be nice to have a flag for this common use case"}]} +{"num": 2856, "messages": [{"user": "### Description\n\nWhen psm fails on power grid connectivity issues with\r\n\r\n[WARNING PSM-0039] Unconnected instance XX at location (X.XXXum, X.XXXum) layer: X.\r\n\r\nit would be good to write an rpt file with markers that can be loaded into the drc viewer.\r\n\r\n@VidyaChhabria do you have time for this?\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty probably not in the near future (as it would go at the bottom of my list). Is it urgent? "}, {"user": "@VidyaChhabria I figured that would be the case but thought it worth trying. I can take care of it."}]} +{"num": 2861, "messages": [{"user": "### Description\n\nThere are a few places where we want to know if a clock net is a trunk or leaf (grt, drt and gui). We should add it to odb.\r\n\r\nRight now `setSigType(odbdbSigType::CLOCK)` is set in two places (cts and grt), so we could just do it in cts, together with the code to detect trunk vs leaf nets. That would break the def flow, so should we populate the clock fields when we read in a def too?\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I suspect it is done in grt for test cases where we start the flow at grt rather than run a full flow (eg DEFs from contests or other tools). Presumably it is required because the DEF itself didn't have the clock nets already marked, otherwise it would be a no-op. Drt would likely need the same as would any other tool that cares.\r\n\r\nLEF/DEF alone doesn't tell you enough to figure out what is a leaf/trunk as you need to know about the cell types from Liberty (eg is this a buffer/inverter/ff/etc). Even to know what is a clock is best done by sta as gated/generated clocks can get complicated. I think we will have to have a utility to compute this info that is called at various points in the flow.\r\n\r\nNote that some tools use a thre-way split: leaf, trunk, and top. Top is any clock net with transitive leaf fanout exceeding some limit."}, {"assistant": "I've also heard of \"trunk, branch, leaf\" \ud83d\ude42"}, {"user": " > LEF/DEF alone doesn't tell you enough to figure out what is a leaf/trunk as you need to know about the cell types from Liberty (eg is this a buffer/inverter/ff/etc). Even to know what is a clock is best done by sta as gated/generated clocks can get complicated. I think we will have to have a utility to compute this info that is called at various points in the flow.\r\n\r\nDoes it make sense for that to go in dbNetwork?\r\n\r\nAt the moment drt doesn't need to access liberty files (or dbSta and dbNetwork), so this would be a change in behaviour."}, {"assistant": "dbSta could have a command/API to do this. That seems as good a place as any."}]} +{"num": 2864, "messages": [{"user": "### Description\r\n\r\nIt would be helpful to have a .rpt file associated with warnings, errors and info log entries so as to view warnings directly in the GUI.\r\n\r\nTasks:\r\n\r\n- [ ] hunt other warning messages that refer to a location in the design and add tasks to this github issue to address them\r\n- [ ] write out a .rpt file for the specific warning below\r\n\r\n\r\n```\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[INFO PSM-0076] Setting metal node density to be standard cell height times 5.\r\n[WARNING PSM-0030] VSRC location at (680.567um, 370.300um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (691.854um, 375.327um).\r\n[WARNING PSM-0030] VSRC location at (400.567um, 650.300um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (394.854um, 639.327um).\r\n[WARNING PSM-0030] VSRC location at (680.567um, 790.300um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (679.974um, 771.327um).\r\n[WARNING PSM-0030] VSRC location at (960.567um, 930.300um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (988.794um, 927.327um).\r\n[INFO PSM-0031] Number of PDN nodes on net VDD = 1448763.\r\n[INFO PSM-0064] Number of voltage sources = 10.\r\n[WARNING PSM-0038] Unconnected PDN node on net VDD at location (988.854um, 951.183um), layer: 5.\r\n[WARNING PSM-0039] Unconnected instance r3c3 at location (988.854um, 951.183um) layer: 5.\r\n[WARNING PSM-0038] Unconnected PDN node on net VDD at location (988.854um, 963.471um), layer: 5.\r\n[WARNING PSM-0039] Unconnected instance r3c3 at location (988.854um, 963.471um) layer: 5.\r\n[ERROR PSM-0078] IR drop setup failed. Analysis can't proceed.\r\nError: final_report.tcl, 58 PSM-0078\r\n```\r\n\r\n### Suggested Solution\r\n\r\nWrite out a .rpt file when there are warnings in the log. Perhaps build this into the logger->warning()/error() API?\r\n\r\nPerhaps the GUI could just have a \"log\" tab, just like DRC tab that is loaded automatically?\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "That's a very cool idea. Having metadata associated with a log line is a really interesting concept "}, {"user": "> That's a very cool idea. Having metadata associated with a log line is a really interesting concept\r\n\r\nA log viewer in the GUI w/clicking on lines to see what they relate to in the design?"}, {"assistant": "This is somewhat of a duplicate of https://github.com/The-OpenROAD-Project/OpenROAD/issues/2856 as it relates to psm.\r\n\r\nIn general many warning have nothing to show in the layout, e.g.\r\n```\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n```\r\n\r\nI think we could just have a warnings.rpt that is written in addition to the log where there is something to show. Parsing the log file seems fraught with trouble. \r\n\r\nEven this will be problematic as the layout might be changed after the warning is issued and make the marker useless/misleading. The warnings from psm work because it is an analysis tool and doesn't modify the layout."}, {"user": "@maliberty Trying to navigate manually to a warning is straining on the eyes... A warning.rpt might have multiple sections, like a DRC file? That would make it clear what stage some warnings belong to and there's a better chance of looking at the relevant .odb file + warnings."}, {"assistant": "The OR piece of this https://github.com/The-OpenROAD-Project-private/OpenROAD/pull/1641"}, {"user": "> The OR piece of this https://github.com/The-OpenROAD-Project-private/OpenROAD/pull/1641\r\n\r\nFYI, I dont have access to that private repository, which is fine. Looking forward to trying the feature when it is done."}, {"assistant": "> > The OR piece of this [The-OpenROAD-Project-private/OpenROAD#1641](https://github.com/The-OpenROAD-Project-private/OpenROAD/pull/1641)\r\n> \r\n> FYI, I dont have access to that private repository, which is fine. Looking forward to trying the feature when it is done.\r\n\r\nSame as https://github.com/The-OpenROAD-Project/OpenROAD/pull/3074"}]} +{"num": 2872, "messages": [{"user": "### Describe the bug\n\nAfter running `extract_parasitics` on my design, I run `write_spef` to create a SPEF file for the design. But subsequently reading the same SPEF file with `read_spef` results in many \"net not found\" errors. \r\n\r\nI then run `write_verilog`, and am able to find all of the \"not found\" nets in the Verilog netlist.\r\n\r\nOne observation: all of the nets listed as \"not found\" in the tool output have a leading \"\\\\\" character, that is not found in the SPEF file but is found in the Verilog netlist (this is consistent with how Innovus writes out both files). However, the `read_spef` error message limit was reached before all \"not found\" nets were printed, so maybe the remaining nets without the leading \"\\\\\" character were simply not printed.\n\n### Expected Behavior\n\nThere should be no error messages after the `read_spef` command.\n\n### Environment\n\n```shell\ngit commit: unknown, this is not a git repository.\r\n(Please make sure that you have the latest code changes and add the commit hash in the description)\r\nkernel: Linux 3.10.0-1160.80.1.el7.x86_64\r\nos: Red Hat Enterprise Linux Server 7.9 (Maipo)\r\ncmake version 3.22.1\r\ngcc (GCC) 4.8.5 20150623 (Red Hat 4.8.5-44)\r\nclang version 15.0.6 (https://github.com/conda-forge/clangdev-feedstock b6cf7124da893bcb57ab1f38c768ea825fabbdb1)\r\n\r\n**NOTE: this OpenROAD install is located on a server where I don't have sudo permissions, so the git version may not have been located due to this\r\nRunning 'git log' returns:\r\ncommit 5144431cb9ad3a71208cf0a810a0674cfeced15b\r\nMerge: f86efab 23abb26\r\nAuthor: Peter <46405338+arlpetergadfort@users.noreply.github.com>\r\nDate: Fri Oct 28 10:56:28 2022 -0400\r\n\r\n Merge pull request #2430 from The-OpenROAD-Project-staging/pdn-clang\r\n \r\n pdn: clang format\n```\n\n\n### To Reproduce\n\nDownload tar.gz archive from here: [\r\nspef-nets-not-found.tar.gz](https://drive.google.com/file/d/1Q51AMnaMGt8D77ovyubmAosrj4WD3j4L/view?usp=sharing)\r\n(file size is very large because I added the pre-extraction database to speed things up, otherwise run would take several hours)\r\n\r\ntar -xf spef-nets-not-found.tar.gz\r\ncd spef-nets-not-found\r\n./runme.sh\n\n### Relevant log output\n\n```shell\n(hammer) write_spef ChipTop.par.spef\r\n[INFO RCX-0016] Writing SPEF ...\r\n[INFO RCX-0042] 100000 nets finished\r\n[INFO RCX-0443] 148462 nets finished\r\n[INFO RCX-0017] Finished writing SPEF ...\r\n\r\n(hammer) read_spef -corner setup ChipTop.par.spef\r\n[WARNING STA-0174] ChipTop.par.spef line 1096973, net system/prci_ctrl_domain/tileResetSetter/_out_out_bits_data_T_4 not found.\r\n....\r\n[WARNING STA-0174] message limit reached, this message will no longer print\r\n\r\n(hammer) write_verilog -include_pwr_gnd ChipTop.lvs.v\r\n\r\nWithin ChipTop.lvs.v, we can see this net:\r\nline 8012: wire \\system/prci_ctrl_domain/tileResetSetter/_out_out_bits_data_T_4 ;\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "The first recommendation is definitely to try and check with a more recent version of OpenROAD.\r\nThis issue may have been resolved by #2628."}, {"user": "No longer an issue with the new version of OpenROAD, closing this issue. Thanks!"}]} +{"num": 2881, "messages": [{"user": "### Describe the bug\n\nWhen attempting to route DFFRAM for GF180MCU, openroad segfaults during \"Multi-Corner Static Timing Analysis at the min process corner\"\n\n### Expected Behavior\n\nopenroad should never segfault\n\n### OpenROAD Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.79.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\nCMake Error: The source directory \"/opt/Si/src/OpenROAD/etc\" does not appear to contain CMakeLists.txt.\r\nSpecify --help for usage, or press the help button on the CMake GUI.\n```\n\n\n### OpenLane Environment\n\n```shell\nTraceback (most recent call last):\r\n File \"./share/openlane/env.py\", line 265, in \r\n main()\r\n File \"./share/openlane/env.py\", line 261, in main\r\n commands[args[0]]()\r\n File \"./share/openlane/env.py\", line 168, in issue_survey\r\n % get_tag()\r\n File \"/si/conda/share/openlane/dependencies/get_tag.py\", line 67, in get_tag\r\n raise e\r\n File \"/si/conda/share/openlane/dependencies/get_tag.py\", line 48, in get_tag\r\n f\"Cannot determine git branch. Please specify OPENLANE_IMAGE_NAME manually.\\nFull output: {branch_name_data.stderr.decode('utf8').strip()}\"\r\ndependencies.get_tag.NoGitException: Cannot determine git branch. Please specify OPENLANE_IMAGE_NAME manually.\r\nFull output: fatal: not a git repository (or any parent up to mount point /)\r\nStopping at filesystem boundary (GIT_DISCOVERY_ACROSS_FILESYSTEM not set).\n```\n\n\n### To Reproduce\n\n1. Download the latest `mixed-signal-0` for GF180MCU from https://github.com/proppy/conda-eda/releases/latest\r\n2. Install it by running the program\r\n3. Activate it by running `. ${install_path}/bin/activate`\r\n4. Download the latest DFFRAM\r\n5. Run:\r\n\r\n```\r\n./dffram.py \\\r\n --using-local-openlane /si/conda/share/openlane \\\r\n --pdk-root=$PDK_ROOT \\\r\n --building-blocks=$PDK:$SCL:$NAME \\\r\n --routing-threads 20 \\\r\n --halo 100 \\\r\n -s 32x32 \\\r\n -v 2R1W \\\r\n```\n\n### Relevant log output\n\n```shell\n[STEP 6]\r\n[INFO]: Running Multi-Corner Static Timing Analysis at the min process corner (log: build/32x32_2R1W/openlane/runs/RUN_2023.02.10_10.54.18/logs/signoff/6-rcx_mcsta.min.log)...\r\n[ERROR]: during executing openroad script /si/conda/share/openlane/scripts/openroad/sta_multi_corner.tcl\r\n[ERROR]: Log: build/32x32_2R1W/openlane/runs/RUN_2023.02.10_10.54.18/logs/signoff/6-rcx_mcsta.min.log\r\n[ERROR]: Last 10 lines:\r\n11# Tcl_EvalEx in /si/conda/bin/../lib/libtcl8.6.so\r\n12# Tcl_Eval in /si/conda/bin/../lib/libtcl8.6.so\r\n13# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n14# ord::tclAppInit(Tcl_Interp*) in openroad\r\n15# Tcl_MainEx in /si/conda/bin/../lib/libtcl8.6.so\r\n16# main in openroad\r\n17# 0x00007F2BC245ED90 in /lib/x86_64-linux-gnu/libc.so.6\r\n18# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n19# 0x0000000000B0CC69 in openroad\r\nchild killed: segmentation violation\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nHere is the issue_reproducible from the run.\r\n\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10703302/issue_reproducible.tar.gz)\r\n"}, {"user": "This is using openroad 21da7da7cce402d6f0984583cf89f611870041cc"}, {"user": "As suggested by @proppy , I was able to successfully lay out DFFRAM by commenting out the `run_parasitics_sta` step in `scripts/openlane/interactive.tcl`"}, {"assistant": "I can reproduce the error with the specific commit given:\r\n```\r\n0# 0x0000000000CCF487 in openroad\r\n 1# 0x00007FE4911C5400 in /lib64/libc.so.6\r\n 2# sta::MakeTimingModel::makeGateModelTable(sta::Pin const*, float, sta::RiseFall*) in openroad\r\n 3# sta::MakeTimingModel::makeInputOutputTimingArcs(sta::Pin const*, std::map, std::allocator > >&) in openroad\r\n 4# sta::MakeTimingModel::findTimingFromInputs() in openroad\r\n 5# sta::MakeTimingModel::makeTimingModel(char const*, char const*, char const*) in openroad\r\n 6# sta::Sta::writeTimingModel(char const*, char const*, char const*, sta::Corner const*) in openroad\r\n```"}, {"assistant": "fixed in opensta commit c94ee13 (but integration into openroad will take a while because the version is is using is so bar behind)"}, {"assistant": "@xobs I believe this is fixed in the latest version"}, {"assistant": "Please reopen if the problem persists"}]} +{"num": 2884, "messages": [{"user": "### Describe the bug\r\n\r\n(no such thing as a draft bugreport, still trying to get this reproduced with mock-array-big).\r\n\r\nI'm trying to reproduce the DRC error below with mock-big-array https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/818\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/218244070-11d7713f-3446-4980-813b-9c882e3bddde.png)\r\n\r\n\r\n### Expected Behavior\r\n\r\nFast convergence in detailed routing, the design is very simple.\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 0c4aa914df1f1b1a01bbe47c30a9ebfd8d6b48ca\r\nkernel: Linux 5.15.0-58-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nEdit designs/asap7/mock-array-big/constraints.sdc to avoid lots of hold buffers(not too high, not too low):\r\n\r\n```\r\nset clk_period 8000\r\n```\r\n\r\n```\r\nMOCK_ARRAY_PITCH_SCALE=20 MOCK_ARRAY_WIDTH=16 MOCK_ARRAY_HEIGHT=8 MOCK_ARRAY_DATAWIDTH=64 ./configure.sh\r\nMOCK_ARRAY_PITCH_SCALE=20 MOCK_ARRAY_WIDTH=16 MOCK_ARRAY_HEIGHT=8 MOCK_ARRAY_DATAWIDTH=64 make DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk DETAILED_ROUTE_ARGS=\"-bottom_routing_layer M2 -top_routing_layer M7 -save_guide_updates -verbose 1 -droute_end_iter 3\"\r\n```\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "I have a different way to reproduce and report this."}]} +{"num": 2892, "messages": [{"user": "### Describe the bug\r\n\r\nMet2 spacing violation at approx (1180, 996). Observed spacing is `0.266`. According to spacing table provided in tech lef spacing should be larger than 0.28.\r\n\r\n### Expected Behavior\r\n\r\nNo drc violations.\r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\nGit commit: 79e6a99bcbcf15a18c95cd064ef202660f73cb89\r\nkernel: Linux 5.14.0-1057-oem\r\nos: Ubuntu 20.04.3 LTS (Focal Fossa)\r\ncmake version 3.14.0\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Check for working CXX compiler: /usr/bin/c++\r\n-- Check for working CXX compiler: /usr/bin/c++ -- works\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-6818-g79e6a99bc\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Check for working C compiler: /usr/bin/cc\r\n-- Check for working C compiler: /usr/bin/cc -- works\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.0.1\", minimum required is \"3.0\") \r\n-- Boost found.\r\n-- boost: 1.72.0\r\n-- Found Python3: /usr/lib/x86_64-linux-gnu/libpython3.8.so (found version \"3.8.10\") found components: Development \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Looking for C++ include pthread.h\r\n-- Looking for C++ include pthread.h - found\r\n-- Looking for pthread_create\r\n-- Looking for pthread_create - not found\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Boost found.\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.3.3\r\n-- STA git sha: 2a2b5cd59f5d1b596f20cbd39609c52b33299e06\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /media/karim/ssd_gamed/work/ef/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /home/karim/Downloads/or-tools_cpp_Ubuntu-20.04-64bit_v9.4.1874/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_cpp_Ubuntu-20.04-64bit_v9.4.1874/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_cpp_Ubuntu-20.04-64bit_v9.4.1874/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.3.9\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_cpp_Ubuntu-20.04-64bit_v9.4.1874/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\") \r\n-- GUI is enabled\r\n-- Boost found.\r\n-- Found Boost components:\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Boost 1.78 found.\r\n-- Boost found.\r\n-- Found Boost components:\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.Rw5pxPrSDm\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\nKernel: Linux v5.14.0-1057-oem\r\nDistribution: ubuntu 20.04\r\nPython: v3.8.10 (OK)\r\nContainer Engine: docker v20.10.11 (OK)\r\nOpenLane Git Version: ce916510de89a037889e06ab16c00c4101f77d2f\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\nce916510 2023-02-07T19:41:12+02:00 Added link to tutorials for Spanish learners (#1653) - Erick Carvajal - (HEAD -> master, tag: 2023.02.08, origin/master, origin/HEAD)\r\nbf207eda 2023-02-07T19:23:39+02:00 KLayout GDSII updates (#1608) - Kareem Farid - ()\r\n1ff228d4 2023-02-07T14:00:41+02:00 [BOT] Update PDK (#1655) - Openlane Bot - ()\r\n---\r\nGit Remotes\r\n\r\ndonn\tgit@github.com:donn/openlane.git (fetch)\r\ndonn\tgit@github.com:donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[issue_reproducible.1.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10726201/issue_reproducible.1.zip)\r\n[issue_reproducible.2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10726199/issue_reproducible.2.zip)\r\n\r\n`cat issue_reproducible.1.zip issue_reproducible.2.zip > issue_reproducible.zip` and then proceed as expected. \r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n![Screenshot from 2023-02-13 21-31-14](https://user-images.githubusercontent.com/12303699/218572021-7d462eaf-74f9-4595-8124-a475393bea1a.png)\r\n\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "~~This is pretty bizarre. I started to look at `io_in[37]` via `detailed_route_debug -dr -net {io_in[37]}` and the macro when placed is huge:~~ My mistake, see below\r\n\r\n![weird-macro](https://user-images.githubusercontent.com/2029971/218601477-ad554293-dfcb-4763-ae00-b64a9a4b6520.png)\r\n\r\nAnd the fixed costs mirror that huge macro (eg the pins on met2 in green):\r\n\r\n![weird-macro-2](https://user-images.githubusercontent.com/2029971/218601676-b077f470-108c-41d3-ab25-0729fd5db894.png)\r\n\r\nAfter routing the macro is correct sized:\r\n\r\n![weird-macro-3](https://user-images.githubusercontent.com/2029971/218601701-113213ce-d1e5-433e-b525-70a80a28cd41.png)\r\n"}, {"assistant": "Ignore that, I was looking at a worker box of course."}, {"assistant": "The fixed costs for the macro don't don't extend to the off grid, wrong direction track outside the macro. I suspect we should at least have a via blockage on this track:\r\n\r\n![macro-fixed-costs](https://user-images.githubusercontent.com/2029971/218606006-305538d5-1f2b-4ea9-aa79-b2f24d569fd3.png)\r\n"}, {"assistant": "At a guess, in `FlexGCWorker::Impl::checkMetalSpacing_main` the width calculation of the met2 obstruction looks to just use the 370nm chunk at the edge. We therefore pick the small minspacing value and not the large one:\r\n\r\n![met2-obs](https://user-images.githubusercontent.com/2029971/218615786-6fd4a41d-6705-4d86-8d14-395e00e5f8ab.png)\r\n\r\nThat explains why we don't flag a DRC. We aren't also setting the fixed route costs, a separate issue."}, {"assistant": "@osamahammad21 any comments?"}, {"assistant": "Sorry to bother you, but any updates? "}, {"assistant": "Sorry for the delay, I will start investigating this issue right away."}, {"assistant": "Ok so after debugging, it turns out that the upper part of the obstruction is read separate from the the lower part of the obstruction. For the drc checker, they do not represent the same blockage so each one is treated separately. So when it checks for spacing violations, it finds the upper obstruction on its own legal.\r\nI am currently discussing a solution with Matt on how to approach this. For now, a work around would be extending the upper obstructions down to have the required width that would trigger the spacing violation."}, {"user": "Hello. Any updates on this?"}, {"assistant": "@osamahammad21 please update"}, {"user": "~I don't see that same issue. Just confirming if this was fixed.~\r\n\r\nEdit: \r\nSorry I was mistaken. The issue still persists"}, {"assistant": "@kareefardi With latest master the met2 spacing respected with `0.281`. Can you please check once?\r\n![OR_2892](https://github.com/The-OpenROAD-Project/OpenROAD/assets/85787913/bed994d6-f096-4157-a3e8-903e83a5fb5f)\r\n"}, {"user": "@vijayank88 Sorry for missing this. Using OpenROAD version `41a51eaf4ca2171c92ff38afb91eb37bbd3f36da`, the same issue is there in the top left side left side of the macro at the bottom. "}]} +{"num": 2896, "messages": [{"user": "### Description\n\n`mpl2` does not appear to be currently supported on Darwin, yet, `or-tools` is listed as a requirement. As far as I can tell, nothing else uses `or-tools`.\r\n\r\n`or-tools` is a pain to package and build on Mac, quite frankly (there are scenarios where the dependency installer is not an option,) so is there another reason the requirement continues to exist or are you just keeping the requirement there just in case?\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "We plan to make mpl2 work on a mac. Even if we removed or-tools now it will just come back in the near future."}, {"assistant": "openroad build and ran a couple of weeks ago just fine on a M1 MacBook Air.\r\nSomething in the meantime broke something related to shared lib path building the executable, it compiled just fine.\r\nIs using the CMAKE_PREFIX_PATH to point to the homebrew or-tools is not the way to do it any more ?\r\n\r\nenv | grep or-tools\r\nCMAKE_PREFIX_PATH=/opt/homebrew/opt/or-tools\r\n./build_openroad.sh --local --latest\r\n...\r\n-- Install configuration: \"RELEASE\"\r\n-- Installing: /Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n-- Installing: /Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/sta\r\n-- Installing: /Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD/lib/libOpenSTA.a\r\n...\r\nopenroad -gui\r\ndyld[6376]: Library not loaded: @rpath/libortools.9.dylib\r\n Referenced from: <080E4EA9-5A62-32D6-B99E-39742491795C> /Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n Reason: tried: '/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS@rpath/libortools.9.dylib' (no such file),\r\n '/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib64/libortools.9.dylib' (no such file),\r\n '/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/System/Volumes/Preboot/Cryptexes/OS/opt/or-tools/lib/libortools.9.dylib' (no such file),\r\n '/usr/local/lib/libortools.9.dylib' (no such file),\r\n '/usr/lib/libortools.9.dylib' (no such file, not in dyld cache)\r\nzsh: abort openroad -gui\r\n"}, {"assistant": "> CMAKE_PREFIX_PATH=/opt/homebrew/opt/or-tools\r\n\r\nNote that the latest installer script is installing or-tools in the `brew --prefix or-tools`: https://github.com/The-OpenROAD-Project/OpenROAD/blob/ed167734d8eab758a1846bf31ca7abc7c6b485fb/etc/DependencyInstaller.sh#L141-L143\r\n\r\n@donn / @rovinski in my mac, I can build and run OpenROAD (and gcd through the flow) with:\r\n```\r\nexport PATH=\"$(brew --prefix bison)/bin:$PATH\"\r\nexport PATH=\"$(brew --prefix flex)/bin:$PATH\"\r\nexport PATH=\"$(brew --prefix tcl-tk)/bin:$PATH\"\r\nexport PKG_CONFIG_PATH=\"$(brew --prefix tcl-tk)/lib/pkgconfig\"\r\nexport CMAKE_PREFIX_PATH=\"$(brew --prefix or-tools)\"\r\nexport PATH=/Applications/KLayout/klayout.app/Contents/MacOS/:$PATH\r\nalias awk=gawk\r\n```\r\n\r\nAlso, I disable any env manager like conda (e.g., `conda deactivate`).\r\n\r\n"}, {"assistant": "Haven't checked - I use WSL Ubuntu or RHEL7 for my daily use. MacOS is only when I'm testing builds \ud83d\ude42."}, {"assistant": "Feb 24, MacBook Air M1, latest DependencyInstaller.sh upgraded or-tools \r\n==> Upgrading or-tools\r\n 9.5_1 -> 9.5_2 \r\n\r\n./build_openroad.sh --local --latest\r\n\r\nCMake Error at src/mpl2/CMakeLists.txt:42 (find_package):\r\n Found package configuration file:\r\n /opt/homebrew/opt/or-tools/lib/cmake/ortools/ortoolsConfig.cmake\r\n but it set ortools_FOUND to FALSE so package \"ortools\" is considered to be\r\n NOT FOUND. Reason given by package:\r\n The following imported targets are referenced, but are missing:\r\n Coin::CbcSolver Coin::OsiCbc Coin::ClpSolver Coin::OsiClp\r\n"}, {"assistant": "After using ./build_openroad.sh --clean ./build_openroad.sh --local --latest I get past the cmake issue.\r\nBut then the build fails to link the openroad executable with something unrelated to or-tools."}, {"assistant": "> After using ./build_openroad.sh --clean ./build_openroad.sh --local --latest I get past the cmake issue. But then the build fails to link the openroad executable with something unrelated to or-tools.\r\n\r\nCan you share your `build_openroad.log`? Is the link error something about \"symbol not found for arch\"?"}, {"assistant": "if you want the full, rather large build_openroad.log:\r\nshould I attach it or can I drop it somewhere were you can access it ?\r\n\r\n[100%] Linking CXX executable openroad\r\n..\r\nld: warning: directory not found for option '-F/Library/Developer/CommandLineTools/SDKs/MacOSX13.0.sdk/System/Library/Frameworks'\r\nUndefined symbols for architecture arm64:\r\n \"operations_research::sat::CpModelBuilder::AddExactlyOne(absl::lts_20230125::Span)\", referenced from:\r\n par::TPpartitioner::OptimalPartCplexWarmStart(std::__1::shared_ptr, std::__1::vector >, std::__1::allocator > > > const&, std::__1::vector >&) in par.a(TPPartitioner.cpp.o)\r\n \"absl::lts_20230125::container_internal::kEmptyGroup\", referenced from:\r\n par::TPpartitioner::OptimalPartCplexWarmStart(std::__1::shared_ptr, std::__1::vector >, std::__1::allocator > > > const&, std::__1::vector >&) in par.a(TPPartitioner.cpp.o)\r\nld: symbol(s) not found for architecture arm64\r\nclang: error: linker command failed with exit code 1 (use -v to see invocation)\r\ngmake[2]: *** [src/CMakeFiles/openroad.dir/build.make:335: src/openroad] Error 1\r\n"}, {"assistant": "@stefanottili, just the top of the file where the cmake prints the version and path of the dependencies is enough -- ~150 first lines up to \"-- Build files have been written to:\". I think I have seen something similar."}, {"assistant": "```\r\n./build_openroad.sh --local --latest\r\n[INFO FLW-0027] Saving logs to build_openroad.log\r\n[INFO FLW-0028] ./build_openroad.sh --local --latest\r\n[INFO FLW-0002] Updating git submodules.\r\nSubmodule path 'tools/OpenROAD': checked out '5c85b36f8d50975d4e57af6678a0bbfe62046f0e'\r\n[INFO FLW-0004] Updating OpenROAD app to the HEAD of origin/master.\r\nPrevious HEAD position was 5c85b36f8 Merge pull request #2908 from The-OpenROAD-Project-staging/par-coverity\r\nHEAD is now at c9d8d8889 Merge pull request #2947 from The-OpenROAD-Project-staging/odb-dead-code\r\nFrom https://github.com/The-OpenROAD-Project/OpenROAD\r\n * branch master -> FETCH_HEAD\r\nAlready up to date.\r\n[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.\r\n[INFO FLW-0017] Compiling Yosys.\r\n$BREW_PREFIX is [/opt/homebrew/opt]\r\nmkdir -p /Users/user/OpenROAD-flow-scripts/tools/install/yosys/bin\r\ncp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc /Users/user/OpenROAD-flow-scripts/tools/install/yosys/bin\r\nstrip -S /Users/stefanthiede/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys\r\nstrip /Users/user/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-abc\r\nstrip /Users/user/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-filterlib\r\nmkdir -p /Users/user/OpenROAD-flow-scripts/tools/install/yosys/share/yosys\r\ncp -r share/. /Users/user/OpenROAD-flow-scripts/tools/install/yosys/share/yosys/.\r\n[INFO FLW-0018] Compiling OpenROAD.\r\n-- OpenROAD version: v2.0-7029-gc9d8d8889\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 14.0.0.14000029\r\n-- Build type: RELEASE\r\n-- Install prefix: /Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- Using SWIG >= 4.1.1 -flatstaticmethod flag for python\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- spdlog: 1.9.2\r\nCMake Warning at src/CMakeLists.txt:225 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.3.3\r\n-- STA git sha: 2a2b5cd59f5d1b596f20cbd39609c52b33299e06\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 14.0.0.14000029\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /Users/user/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- SSTA: 0\r\n-- STA executable: /Users/user/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- GUI is enabled\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- Removing MPL2 to avoid run time fatal error.\r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /Users/user/OpenROAD-flow-scripts/tools/OpenROAD/build\r\n```"}, {"assistant": "remove par and par_py until they work on apple silicon. #2967\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/pull/2967/commits/15883c5c548a5e736a736517d88bed9a47fa78b9\r\n\r\n./build_openroad.sh --local --latest\r\n\r\nrun's thru and builds openroad on M1"}, {"user": "Seems to be fine on at least x86-64 now."}]} +{"num": 2904, "messages": [{"user": "### Description\n\nAdd option to set_pin_constraint so that it is possible to constrain to an edge as well as deciding the ordering of the pins.\r\n\r\n\n\n### Suggested Solution\n\nAdd ```-order``` option that orders the pins in increasing x/z ordering as the names were specified to the ```-pin_names``` argument\r\n\r\n```\r\nset_io_pin_constraint -order\r\n```\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/42cea5fc6fc5244bbaf93d591fa7c3ab162cbc59/src/ppl/src/IOPlacer.tcl#L121\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe Do you want the pins adjacent to each other? Or can we have other pins between two pins of the list?"}, {"user": "> @oharboe Do you want the pins adjacent to each other? Or can we have other pins between two pins of the list?\r\n\r\nI thought there was a \"group\" constraint that could express this?\r\n\r\nI can easily imagine someone wanting control over this, such as when having a bus and other unconstrained pins. In this case thet would want the bus pins not intermingled with other pins."}, {"assistant": "@oharboe Yes, we have the -group option where you can define a set of pins to be placed together, following the ordering of the names. In this case, they will be placed following the min distance between each pin. I'm updating this option to have the pins ordered in ascending x/y position.\r\n\r\nYou can see an example of how to use the `-group_pins` option here: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/ppl/test/group_pins6.tcl.\r\n \r\nYou can constrain the whole group to an edge by only constraining one pin of the group, so your script doesn't need to be as verbose as this example."}, {"assistant": "But does the `-group_pins` option cover what you need? "}, {"user": "> @oharboe Yes, we have the -group option where you can define a set of pins to be placed together, following the ordering of the names. In this case, they will be placed following the min distance between each pin. I'm updating this option to have the pins ordered in ascending x/y position.\r\n> \r\n> You can see an example of how to use the `-group_pins` option here: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/ppl/test/group_pins6.tcl.\r\n> \r\n> You can constrain the whole group to an edge by only constraining one pin of the group, so your script doesn't need to be as verbose as this example.\r\n\r\n@maliberty Makes sense to me. The only concern I have is that this changes the API, something that as OpenROAD becomes more mature should never do without careful consideration. E.g. if this has an.impact on QoR, good or bad, an upgrade can become less trivial.\r\n\r\nLets say this change makes routing at top level easier and macro level harder. That is a big change.\r\n\r\nTherefore I would recommend a non change to existing API, a new -order option only considered for -group_pins, unless after due consideration a change in the API is the right thing."}, {"assistant": "@oharboe I think adding the `-order` option to the `-group_pins`, which already is an option of the `place_pins` command, can be confusing.\r\n\r\nI suggest adding the `-group` option to the `set_io_pin_constraint` command, where you can decide using or not the `-order` option. IMO, this is a better place for defining pin groups than in the `place_pins` command, but it will not change our current API. We will have redundant options for a while, but I don't see a big problem with this."}, {"user": "> @oharboe I think adding the `-order` option to the `-group_pins`, which already is an option of the `place_pins` command, can be confusing.\r\n> \r\n> I suggest adding the `-group` option to the `set_io_pin_constraint` command, where you can decide using or not the `-order` option. IMO, this is a better place for defining pin groups than in the `place_pins` command, but it will not change our current API. We will have redundant options for a while, but I don't see a big problem with this.\r\n\r\nI see. Very good. Makes sense. I would generally expect those who group pins to want them at minimum distance and in order, us included. However, this is just a software engineers expectation, I dont have any ASIC experience."}, {"assistant": "> I see. Very good. Makes sense. I would generally expect those who group pins to want them at minimum distance and in order, us included. However, this is just a software engineers expectation, I dont have any ASIC experience.\r\n\r\nMin distance is already respected with the current `-group_pins` option. The only thing missing is that in the top and left edges, the pins are placed in reversed order, due to the way PPL computes the valid positions for the pins. My update will just make sure the pins will be placed in ascending order, independent of what edge they are placed on."}]} +{"num": 2910, "messages": [{"user": "### Description\r\n\r\nOne great thing about deltaDebug.py is that it can reduce confidential examples to the point where they can be shared as bug reports.\r\n\r\nHowever, when the resulting .odb file with a few insts and nets is megabytes, that doesn't install confidence that no confidential information is divulged.\r\n\r\n### Suggested Solution\r\n\r\nThe .odb files should be small and then converted to a textual representation that can be inspected for confidential information.\r\n\r\nWhen there are a few insts and nets I would have expected a text file in the kilobytes, not a binary in megabytes...\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "You can write_lef & write_def to get text. If you want to share a confidential one with me in private I can try to analyze the size. Its possible the space represents deleted objects as we can only compress an array down to the last allocated element. "}, {"assistant": "I mean the standard cells and other library elements represent a non-zero amount of size in ODB. Do we delete dbMasters that aren't used?"}, {"assistant": "No the lef elements remain unchanged which is a likely cause. We could add a final pass to remove unused cells."}, {"assistant": "The db doesn't have a way to delete dbMaster as we don't normally do so. That will require an enhancement"}]} +{"num": 2919, "messages": [{"user": "### Description\r\n\r\nThe odb names generated in dbReadVerilog.cc are verilog names sometimes referring to intermediate points in the hierarchy. Please can they be replaced with structural names. This would then allow the automatic construction of a hierarchical view from the output of the flow.\r\n\r\nHere is an example (taken for the aes test case, run in hierarchical mode):\r\n```\r\n NAND4_X1 \\u0/u0/_1273_ (.A1(\\u0/u0/_0062_ ),\r\n .A2(\\u0/u0/_0492_ ),\r\n .A3(\\u0/u0/_0512_ ),\r\n .A4(\\u0/u0/_0517_ ),\r\n .ZN(\\u0/subword[28] ))\r\n```\r\nThe `ZN` output is connected to `u0/subword[28]` but this is outside the scope of the instance `U0/U0/_1273`. This means that it is tricky to construct the hierarchy from the verilog alone. If however the output is connected to `u0/u0/subword[28]` then the hierarchical connection is self evident.\r\n\r\n### Suggested Solution\r\n\r\nThe name is generated as a path name in the dbReadVerilog.cc code here:\r\n```\r\nvoid\r\nVerilog2db::makeDbNets(const Instance* inst)\r\n{\r\n bool is_top = (inst == network_->topInstance());\r\n NetIterator* net_iter = network_->netIterator(inst);\r\n while (net_iter->hasNext()) {\r\n Net* net = net_iter->next();\r\n const char* net_name = network_->pathName(net);\r\n```\r\nRather than use the net path name, construct the \"structural \"net name using the instance path name using some variant like:\r\n```\r\nconst char* instance_name = network_ -> pathName(inst);\r\n \r\n //get the path name to the net\r\n std::string leaf_net_name = network_ -> name(net);\r\n std::string sep(\"/\");\r\n std::string i_name = std::string(instance_name);\r\n std::string structural_net_name = i_name + sep + leaf_net_name;\r\n```\r\n\r\n### Additional Context\r\n\r\nThe context here is to allow the construction of a hierarchical netlist for the OpenRoad flow. If the above naming convention is used (fully scoped hierarchical names) then the following (trivial) algorithm can be used to construct a hierarchical netlist (H) from the input design (synth_1.v) and the final resultant flat netlist from open road (F).\r\n\r\nAlgorithm : Copy And Wire Algorithm.\r\n\r\nSteps:\r\n1. Record the invariant points (same in H and L) reachable from ports in modules of H. Annotate and store these. (this is so that we can recover port names)\r\n2. Remove all liberty instances from hierarchical network H. Preserve hierarchy modules M. \r\n3. Copy in all the liberty instances from the flat network F. Use instance name to assure correct place in hierarchy. Create output nets for each liberty gate. Axiom: require output net to be scoped in same module as driver \u2013 ok for sinks to be in other modules).\r\n4. Wire up all instance inputs and outputs. Shared ports where possible. Stash names as properties. Add ports to go up and down the hierarchy to resolve cross module connections.\r\n5. Traverse ports on merged hierarchical network. Find invariant points. Recover port names. Example: walk from input ports in modules in merged network until 1st invariant point hit, recover port name stashed in step 1.\r\n"}, {"assistant": "This looks to be based on the idea that you want to reconstruct the hierarchy. Our approach is to carry the hierarchy in the db and not to reconstruct it later. The instance tree is already there and work is underway to keep the full connectivity. I think that is a better solution.\r\n\r\nIt would be helpful to have a minimal example. It is 'normal' to me to refer to the highest net in the collection not the one at the driver. How do you handle multi-driver nets in your approach?"}, {"assistant": "This would also affect the user experience. If someone has a top level net foo and tries to find it by name they will fail if we named it by driver (eg a/b/c/d/driver instead). It would also be incompatible with the behavior of every other EDA tool afaik.\r\n\r\nThe example of how to create the name would produce a net name that doesn't even exist in the input verilog"}, {"user": "Thank you for the clear explanation. Sounds like it is best to keep this exactly as is right now and then to carry forwards the hierarchy as you are doing. Thanks for the helpful commentary. I agree with you that carrying forwards the hierarchy is the best solution and I note you are still working on that (adding pins and connectivity)."}, {"user": "Not needed because openroad will be forward propagating the hierarchy (and presumably updating it as the design changes) which is a much preferred solution to inferring the hierarchy at the end."}]} +{"num": 2920, "messages": [{"user": "### Description\n\nEntry to the OpenRoad flow is by load.tcl. This reads the verilog, libraries etc and does a link. The link generates a flattened view of the design which is passed to the other tools. The frontend (dbReadVerilog.cc does indeed nicely generate a verilog hierarchy via the add dbModule data structures).\r\n\r\nIt would be nice if it was possible to do a write_db before the link step and then read the db back and do a subsequent link. It is as if that it is only after the link that the db is kosher and after link we seem to lose the hierarchy information (all the dbModule class information).\r\n\r\nTo reproduce modify the load.tcl script to write out the db after reading the verilog.\r\n\r\n\tread_verilog $::env(RESULTS_DIR)/$design_file\r\n\twrite_db \"before_link.db\"\r\n\tlink_design $::env(DESIGN_NAME)\r\n\twrite_db \"after_link.db\"\r\n\r\n\r\n\r\nOpenROAD v2.0-6842-g1cf0b3144 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> read_db before_link.db\r\nopenroad> link_design aes_cipher_top\r\ndb linking design\r\n[ERROR STA-0008] cell type aes_cipher_top can not be linked.\r\nSTA-0008\r\n\r\n\n\n### Suggested Solution\n\nThe linker is essentially flattening the design -- it seems to traverse to the leaf cells and then wire them up. An alternative approach would be to segregate the linking step into two commands: link_flat -- which generates a flattened view (as now) and link_hier -- which links all the leaf cells but preserves the hierarchy. \r\n\r\nWith the exception of RTLMP most of the downstream tools seem to work on the flattened odb view. But perhaps in the future a link_hier might allow for tool use with a truly hierarchical odb (block in block) view. Link_hier could then be used to signal to the downstream tools that the hierarchical database is being generated and propagated.\n\n### Additional Context\n\nFrom a flow context it is also useful to be able save the design information during load.tcl and then once everything loaded up in a separate file do the link. It seems weird not to be able to read design information in, save it, and then write out the db and resume later.\r\n\r\n"}, {"assistant": "I don't understand your description. There is nothing in the db before the link step (Verilog2db is run by dbLinkDesign)."}, {"assistant": "There is also nothing lost during linking as that is when the dbModules are created"}, {"user": "Thank you for the helpful explanation. Sounds like calling write_db before link is meaningless, so this is an irrelevant point ! Thanks again for the comments."}, {"user": "The link step generates the db, so calling write_db before link is meaningless."}]} +{"num": 2924, "messages": [{"user": "### Describe the bug\n\nRun:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nLook at routing congestion heat-map. There's bogus congestion at the top in a horizontal strip:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/220089038-b0cb39b6-f40d-4ca1-95ac-01dba306bb34.png)\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/220089199-be043b5b-e3eb-425a-ae7d-8e0bf7f7931e.png)\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/220089296-163e22cf-31f5-416b-b153-3d3f5417fc98.png)\r\n\n\n### Expected Behavior\n\nThere should only be routing congestion shown in the heat map if there is actually routing congestion.\n\n### Environment\n\n```shell\nGit commit: 1af9a6cdefb5c627bb5ebd73148d7f217fc6d308\r\nkernel: Linux 5.15.0-60-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-6917-g1af9a6cde\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot \r\n-- STA version: 2.3.3\r\n-- STA git sha: 2a2b5cd59f5d1b596f20cbd39609c52b33299e06\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/oyvind/ascenium/docker-OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.zSmmIzzUjC\n```\n\n\n### To Reproduce\n\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort would you have any time for this? I suspect it relates to a mix of my setting the bounds for the heat map and the fact that the last gcell is often slightly larger than the rest (when the bounds are not a gcell multiple)."}, {"assistant": "@maliberty I can take a look tomorrow evening at this."}, {"assistant": "@maliberty There is something messed up with the gcell data the heatmap is getting. There is a problem on M2.\r\nThis is the congestion on M2 (all other layers seem fine)\r\n\"Congestion\"\r\n\r\nI mocked up something so I could see the Usage and Capacity of the cells:\r\nCapacity is 14:\r\n\"Capacity\"\r\n\r\nAnd the usage is 14\r\n\"Usage\"\r\n\r\nIt's possible that it's the heatmap, but the code is pretty simple (just grab the values from the gcells), so I wonder if the gcells might also be wrong?"}, {"assistant": "m2 on asap7 has the unusual track pattern so maybe that relates\r\n@eder-matheus do you have ideas?"}, {"assistant": "> m2 on asap7 has the unusual track pattern so maybe that relates @eder-matheus do you have ideas?\r\n\r\nI'm investigating it, and you're probably right. The unusual track pattern seems to be the key to this weird behavior. We have the `computeTrackAdjustments` function that removes any routing capacity between the die boundary and the first and last routing tracks on each layer. When I remove this function, the heatmap seems correct. I'll keep working to fix it."}, {"assistant": "@maliberty @oharboe Now I understand what is happening here. There are two main pieces in this case:\r\n1. Due to the unusual track pattern, the grt understands that there are no M2 tracks near the top edge of the die. I've printed the rectangle computed by grt as an area without tracks, and it matches the area marked as congested in the heatmap.\r\n2. This area is shown as congested because we save the usage in the db as the `edge_usage + edge_blockage.` In this test case, we don't have any edge_usage, but we have the edge_blockage due to what has been explained above.\r\n\r\nWe save the congestion data like that to visualize how much resources were consumed by routing obstructions and the nets routing. The fix for it would be a better understanding of the M2 track pattern of asap7.\r\n\r\n(BTW, thanks @gadfort for adding the usage and capacity information into the congestion map data! It helped me a lot when debugging this case)"}]} +{"num": 2926, "messages": [{"user": "### Description\n\nIf we supported LEF58_PITCH then in asap7 we wouldn't need the make_tracks.tcl file and could generate the tracks from the tech lef directly.\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Close as completed in [2969](https://github.com/The-OpenROAD-Project/OpenROAD/pull/2969)"}]} +{"num": 2934, "messages": [{"user": "### Describe the bug\r\n\r\nDuring:\r\n```\r\n[STEP 12]\r\n[INFO]: Running Global Routing\r\n```\r\nwhe GRT fails with:\r\n```\r\n[ERROR GRT-0118] Routing congestion too high. Check the congestion heatmap in the GUI.\r\n```\r\nIt does seems to dump the current odb database in the `runs` folder.\r\n\r\nThis make it no possible to follow the error message advise, because `or_gui` will `read_db` for the previous step `11-top.resized.odb` can complain about missing data when trying to display the heat map:\r\n```\r\n[WARNING GUI-0066] Heat map \"Routing Congestion\" has not been populated with data.\r\n```\r\n\r\n### Expected Behavior\r\n\r\nBefore falling the flow dump the current state in a db file run `runs//tmp`.\r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\nopenroad 2.0_6924_g037e4e3a4 20230222_073528 litex-hub\r\n```\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\nopenlane 2023.02.19_0_g95580b00 20230222_073528 litex-hub\r\n```\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[congestion-heatmap.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/10810156/congestion-heatmap.zip)\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\n[INFO]: Starting routing process...\r\n[STEP 12]\r\n[INFO]: Running Global Routing Resizer Timing Optimizations (log: digital/runs/congestion/logs/routing/12-resizer.log)...\r\n[INFO]: Executing openroad with Tcl script '../../../../silicon-gf180mcuC-env/share/openlane/scripts/openroad/resizer_routing_timing.tcl'...\r\nOpenROAD 037e4e3a46ec91e8020445672d86afc9ff675cd6 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Reading ODB at '/home/proppy/src/github.com/spnadig/GF180_GAFE_1/digital/runs/congestion/tmp/cts/11-top.resized.odb'...\r\n[WARNING STA-0357] virtual clock __VIRTUAL_CLK__ can not be propagated.\r\n[INFO]: Setting signal min routing layer to: Metal2 and clock min routing layer to Metal2. \r\n[INFO]: Setting signal max routing layer to: Metal5 and clock max routing layer to Metal5. \r\n-congestion_iterations 50 -verbose\r\n[INFO GRT-0020] Min routing layer: Metal2\r\n[INFO GRT-0021] Max routing layer: Metal5\r\n[INFO GRT-0022] Global adjustment: 20%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0088] Layer Metal1 Track-Pitch = 0.5600 line-2-Via Pitch: 0.5450\r\n[INFO GRT-0088] Layer Metal2 Track-Pitch = 0.5600 line-2-Via Pitch: 0.5800\r\n[INFO GRT-0088] Layer Metal3 Track-Pitch = 0.5600 line-2-Via Pitch: 0.5800\r\n[INFO GRT-0088] Layer Metal4 Track-Pitch = 0.5600 line-2-Via Pitch: 0.5800\r\n[INFO GRT-0088] Layer Metal5 Track-Pitch = 0.9000 line-2-Via Pitch: 0.9600\r\n[INFO GRT-0019] Found 0 clock nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 2\r\n[INFO GRT-0003] Macros: 1\r\n[INFO GRT-0004] Blockages: 4\r\n\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nMetal1 Horizontal 0 0 0.00%\r\nMetal2 Vertical 1784286 1150303 35.53%\r\nMetal3 Horizontal 1784286 1122364 37.10%\r\nMetal4 Vertical 1784286 1102497 38.21%\r\nMetal5 Horizontal 1019592 598478 41.30%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0197] Via related to pin nodes: 27\r\n[INFO GRT-0198] Via related Steiner nodes: 0\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 50\r\n[INFO GRT-0112] Final usage 3D: 1565\r\n[ERROR GRT-0118] Routing congestion too high. Check the congestion heatmap in the GUI.\r\nError: resizer_routing_timing.tcl, 39 GRT-0118\r\n[ERROR]: during executing openroad script /home/proppy/silicon-gf180mcuC-env/share/openlane/scripts/openroad/resizer_routing_timing.tcl\r\n[ERROR]: Log: digital/runs/congestion/logs/routing/12-resizer.log\r\n[ERROR]: Last 10 lines:\r\nchild process exited abnormally\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n![image](https://user-images.githubusercontent.com/5268/220818840-e446c786-5d49-4869-b854-950d4aad0388.png)"}, {"user": "a workaround is to set `GRT_ALLOW_CONGESTION` to `true` to be able to open `runs//tmp/12-top.odb`:\r\n![image](https://user-images.githubusercontent.com/5268/220820580-953c071e-a2bc-4a86-9685-0a6155442679.png)\r\n\r\n"}, {"assistant": "This sounds like an OL bug not an OR one - is this in the right repo?"}, {"user": "@maliberty I'm still debating this :)\r\n\r\nThe error message does come from OpenROAD so GRT seems to imply that a db is available by the time it outputs this error message:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/1af9a6cdefb5c627bb5ebd73148d7f217fc6d308/src/grt/src/GlobalRouter.cpp#L300-L305\r\n\r\nDoes OpenLane has a way to dump the db after GRT exists?"}, {"assistant": "The message says nothing about a db being available. You might already be in the gui or plan to start it from the current session."}, {"assistant": "The OL question belongs to the OL team...."}, {"user": "> The message says nothing about a db being available. You might already be in the gui or plan to start it from the current session.\r\n\r\nAh that make total sense, I didn't realize that could also been displayed during interactive usage of the GUI (and that's probably the primary use case).\r\n\r\nSo the issue is more about how OpenLane can surface an actionable message out of routing congestion error. Thanks!"}]} +{"num": 2941, "messages": [{"user": "### Description\r\n\r\nHi OpenROAD devs,\r\n\r\nI am working on a random testing infra similar to https://en.wikipedia.org/wiki/QuickCheck. If I run the tests sequentially then they work as expected, but when I try to run the test cases in parallel to get some speed up using OpenMP's parallel for loop, I found out that OpenRoad crashes.\r\n\r\nRunning OpenRoad inside Valgrind, I get the following ST:\r\n\r\n```\r\n==2885== Thread 8:\r\n==2885== Invalid write of size 2\r\n==2885== at 0x63DE8A3: memmove (vg_replace_strmem.c:1270)\r\n==2885== by 0x9B6698A: _IO_file_xsgetn (fileops.c:1296)\r\n==2885== by 0x9B5B11E: fread (iofread.c:38)\r\n==2885== by 0x2AC9F74: LefDefParser::lefReloadBuffer() (lef_keywords.cpp:206)\r\n==2885== by 0x2ACA096: LefDefParser::lefGetc() (lef_keywords.cpp:229)\r\n==2885== by 0x2ACB34E: LefDefParser::lefsublex() (lef_keywords.cpp:694)\r\n==2885== by 0x2ACB090: LefDefParser::lefyylex() (lef_keywords.cpp:634)\r\n==2885== by 0x2ADE1D6: LefDefParser::lefyyparse() (lef_parser.cpp:3825)\r\n==2885== by 0x2AD4681: LefDefParser::lefrRead(_IO_FILE*, char const*, void*) (lefrReader.cpp:297)\r\n==2885== by 0x28C764C: odb::lefin_parse(odb::lefin*, utl::Logger*, char const*) (reader.cpp:560)\r\n==2885== by 0x28C231C: odb::lefin::readLef(char const*) (lefin.cpp:2094)\r\n==2885== by 0x28C32E4: odb::lefin::createTechAndLib(char const*, char const*) (lefin.cpp:2268)\r\n==2885== Address 0x1cfef764 is 22,548 bytes inside a block of size 61,632 free'd\r\n==2885== at 0x63D908B: operator delete(void*, unsigned long) (vg_replace_malloc.c:593)\r\n==2885== by 0x2AD390D: LefDefParser::lefrData::reset() (lefrData.cpp:319)\r\n==2885== by 0x2AD4596: LefDefParser::lefrRead(_IO_FILE*, char const*, void*) (lefrReader.cpp:281)\r\n==2885== by 0x28C764C: odb::lefin_parse(odb::lefin*, utl::Logger*, char const*) (reader.cpp:560)\r\n==2885== by 0x28C231C: odb::lefin::readLef(char const*) (lefin.cpp:2094)\r\n==2885== by 0x28C32E4: odb::lefin::createTechAndLib(char const*, char const*) (lefin.cpp:2268)\r\n==2885== by 0x32B2B2: RandomDesign::loadLibs() (RandomDesign.cpp:187)\r\n==2885== by 0x32A4D5: RandomDesign::generate() (RandomDesign.cpp:70)\r\n==2885== by 0x2BBBB9: test_suite::test_default::test_method() [clone ._omp_fn.0] (TestScanReplace.cpp:93)\r\n==2885== by 0x830EDE5: ??? (in /usr/lib/x86_64-linux-gnu/libgomp.so.1.0.0)\r\n==2885== by 0x833CEA6: start_thread (pthread_create.c:477)\r\n==2885== by 0x9BE5A2E: clone (clone.S:95)\r\n==2885== Block was alloc'd at\r\n==2885== at 0x63D7DEF: operator new(unsigned long) (vg_replace_malloc.c:342)\r\n==2885== by 0x2AD3917: LefDefParser::lefrData::reset() (lefrData.cpp:322)\r\n==2885== by 0x2AD4596: LefDefParser::lefrRead(_IO_FILE*, char const*, void*) (lefrReader.cpp:281)\r\n==2885== by 0x28C764C: odb::lefin_parse(odb::lefin*, utl::Logger*, char const*) (reader.cpp:560)\r\n==2885== by 0x28C231C: odb::lefin::readLef(char const*) (lefin.cpp:2094)\r\n==2885== by 0x28C32E4: odb::lefin::createTechAndLib(char const*, char const*) (lefin.cpp:2268)\r\n==2885== by 0x32B2B2: RandomDesign::loadLibs() (RandomDesign.cpp:187)\r\n==2885== by 0x32A4D5: RandomDesign::generate() (RandomDesign.cpp:70)\r\n==2885== by 0x2BBBB9: test_suite::test_default::test_method() [clone ._omp_fn.0] (TestScanReplace.cpp:93)\r\n==2885== by 0x830EDE5: ??? (in /usr/lib/x86_64-linux-gnu/libgomp.so.1.0.0)\r\n==2885== by 0x833CEA6: start_thread (pthread_create.c:477)\r\n==2885== by 0x9BE5A2E: clone (clone.S:95)\r\n==2885==\r\n```\r\n\r\nAs you can see, the issue is happening in lef_keywords.cpp:206, because the memory was freed in lefrData.cpp:319. So looks like my threads are sharing lefData, that is global defined in src/lef/lef/lefrData.cpp:44.\r\n\r\n### Suggested Solution\r\n\r\nWould be nice if we can remove lefData from being a global variable, maybe moving it inside the class lefrData. From a quick grep, I see that there are aprox. 326 instances of lefData in the code so looks like this is a mayor refactor.\r\n\r\n### Additional Context\r\n\r\nThis would make random testing more practical in OpenRoad and improve parallel execution for other tools/projects.\r\n\r\nAlso, I am using something similar to this code to load the lef, running it in parallel:\r\n\r\n```\r\nfor(;;) {\r\n odb::lefin lef_reader(openRoad_->getDb(), openRoad_->getLogger(), false);\r\n lib = lef_reader.createTechAndLib(\"lib\", \"some.lef\");\r\n}\r\n```"}, {"assistant": "The lef parser comes from Si2. Taking updates is already a lot of work without trying to make it thread safe. Generally LEF parsing is quite fast so I don't think taking a lock around it would make much difference. From my perspective this is a low priority."}]} +{"num": 2953, "messages": [{"user": "### Description\n\n@grotival, @fgaray and myself have all been trying to use OpenROAD in a more library-like fashion in threaded environments.\r\n\r\nWe\u2019ve uncovered that this will require the removal of static across the OpenROAD codebase.\r\n\r\nIn particular we would like to remove usage of OpenRoad::openroad() across the codebase, and instead use injected OpenRoad objects.\r\n\r\n\n\n### Suggested Solution\n\nSee the following before vs after in the Design class:\r\n\r\n## Before\r\n\r\n```c++\r\nDesign::Design(Tech* tech) : tech_(tech)\r\n{\r\n}\r\n\r\nvoid Design::readVerilog(const std::string& file_name)\r\n{\r\n auto chip = tech_->getDB()->getChip();\r\n if (chip && chip->getBlock()) {\r\n getLogger()->error(utl::ORD, 36, \"A block already exists in the db\");\r\n }\r\n\r\n auto app = OpenRoad::openRoad();\r\n app->readVerilog(file_name.c_str());\r\n}\r\n```\r\n\r\n## After\r\n\r\n```c++\r\nDesign::Design(Tech* tech, std::unique_ptr openroad) : tech_(tech), openroad_(openroad)\r\n{\r\n}\r\n\r\nvoid Design::readVerilog(const std::string& file_name)\r\n{\r\n auto chip = tech_->getDB()->getChip();\r\n if (chip && chip->getBlock()) {\r\n getLogger()->error(utl::ORD, 36, \"A block already exists in the db\");\r\n }\r\n\r\n openroad_->readVerilog(file_name.c_str());\r\n}\r\n```\r\n\r\nWe plan to start the transition in our spare cycles with the end goal being a thread safe OpenRoad library.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Is it safe to assume this would only be from the python API and not from TCL?\r\n\r\nIs there a reason to prefer threading over separate processes? It would be a lot less work."}, {"user": "This would be from the C++ API, and the benefits of threading are simpler execution/communication model, and the general preference of Google binaries. "}, {"assistant": "I was thinking of the Design as an interface primarily for Python. It is swigged and making the python api require an app object seems unnatural. In C++ you can just directly instantiate the tools yourself (assuming they are thread-safe)"}, {"user": "It can construct one by default if not provided. "}, {"user": "I think I always envisioned Design as a way to encapsulate background state that needed to be passed to tools, and a convenient way to get access to constructed tools in any language."}, {"assistant": "So\r\n```\r\nDesign::Design(Tech* tech, std::unique_ptr openroad = std::unique_ptr())\r\n```\r\nin that case wouldn't it be better to add it to Tech() since Design takes it as an arg?"}, {"user": "I'm not so sure since I logically think of Tech as a generic tech library and not the design itself. OpenRoad* holds the database so to me it logically makes sense to be owned by Design."}, {"assistant": "Openroad owns the db implies it own the Tech as well. There is no tech outside of an OpenRoad"}, {"user": "We should probably separate them. Tech should have it's own db that it copies into new instances of Design."}, {"assistant": "What is the benefit? You'll always wind up with two copies of your LEF in memory that way. Also there is no code to do that copying today. "}, {"user": "There's a clone function that we'll enhance to work for this purpose.\r\n\r\nThe benefit is that you end up with a pure Tech that can be used in multiple places without having to read a bunch of setup files."}, {"assistant": "In the ordinary use case (one tech, one design) you will double your LEF memory usage which isn't great."}, {"user": "I think we've observed with @oharboe's comments on Delta debugger that that amounts to a few MB at most."}, {"assistant": "Its also error prone is the tech is ever modifiable. "}, {"assistant": "Are we designing a system that can't have a large tech? What is we want to store the gds in the tech someday?"}, {"user": "The tech is modifiable like any object.\r\n\r\nI think it's a trivial matter to make this work efficiently. We could accept a unique_ptr to transfer ownership, or a copy mechanism depending on the users preference. It seems easy enough to make the implementation efficient, and IMO we should prioritize user experience over implementation complexity. "}]} +{"num": 2957, "messages": [{"user": "### Describe the bug\n\nI build OpenROAD ABC separately from OpenROAD to better cache builds, as ABC represents around 50% of OpenROAD's compile time from my experience and is changed very infrequently + mitigates https://github.com/The-OpenROAD-Project/OpenROAD/issues/2833, where the most frequent offender is the `libabc.a` creation.\r\n\r\nThe file `src/rmp/src/Restructure.cpp` has two imports:\r\n\r\n```c++\r\n#include \"base/abc/abc.h\"\r\n#include \"base/main/abcapis.h\"\r\n```\r\n\r\nThese two imports assume the headers are not being used as a system library.\r\n\r\nThis is the simplest workaround:\r\n```sh\r\nsed -i 's@#include \"base/abc/abc.h\"@#include @' src/rmp/src/Restructure.cpp\r\nsed -i 's@#include \"base/main/abcapis.h\"@#include @' src/rmp/src/Restructure.cpp\r\n```\r\n\n\n### Expected Behavior\n\nThe `#include` should work regardless of the mode ABC is being used in.\n\n### Environment\n\n```shell\nGit commit: efe3d3e2e9b7e34052965890a8c5fb74358b2412\r\nkernel: Darwin 22.3.0\r\nos: macOS 13.2.1\r\ncmake version 3.24.3\r\n\r\n\r\n---\r\n\r\nclang version 11.1.0\r\nTarget: x86_64-apple-darwin\r\nThread model: posix\r\nInstalledDir: /nix/store/f22xbkm1ml2wvimnhz97ikbaniv4695v-clang-11.1.0/bin\n```\n\n\n### To Reproduce\n\nN/A\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Can you add a -I flag to include the system header when you generate the cmake project?"}, {"user": "Already do: didn't work\r\n\r\n```sh\r\n-DCMAKE_CXX_FLAGS=-I$ABC_PREFIX/include\r\n```"}, {"user": "I should note: the angled brackets did not work without the `-I` flag either iirc."}, {"assistant": "Ah, that's probably because we don't propagate compile flags to the abc subproject. I think there's some cmake function that will let you do that. \r\n\r\nHowever, the only tier 1 supported version of ABC is the version bundled with OpenROAD so I think it would require an enhancement from you or another interested party to make this work more seamlessly in your flow."}, {"user": "To be clear, I am building the one in https://github.com/the-openroad-project/abc, but I getcha.\r\n\r\nI'll see if I can come up with something that works for everybody."}]} +{"num": 2962, "messages": [{"user": "### Describe the bug\r\n\r\nI was trying to dump a report using below command from shell in a text file.\r\n\r\n```\r\nreport_check_types -max_delay > test.txt\r\n```\r\nIt creates the text file but does not generate the report in the file. Generates an empty file.\r\n\r\nThe above command generates report in gui mode but does not generate export in any seperate file.\r\n\r\nI have also tried saving the report manually by below code (I have created group paths):\r\n```\r\nset f [open test.txt w]; foreach a [exec echo [report_checks -path_group reg2reg -path_delay max]] { puts $f \"$a\" }; close $f\r\n```\r\nIt also generates an empty file.\r\n\r\nSummary:\r\n\r\n- Goal: Saving report in a seperate file from openroad tcl shell\r\n- Issue: Nothing is exported from openroad tcl shell\r\n\r\n\r\n\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nSaving report in a seperate file from openroad tcl shell\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenroad tcl shell environment\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n- load any design (odb)\r\n- try to dump the file using 'report_check_types -max_delay > test.txt' or 'set f [open mostak.txt w]; foreach a [exec echo [report_checks -path_group reg2reg -path_delay max]] { puts $f \"$a\" }; close $f' \r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "AFAIK, no option to dump logs for a command directly. You need put the commands in tcl/shell script and execute by following command will copy logs into the file.\r\n`./run.sh | tee test.txt`\r\n\r\n@vvbandeira can you confirm it?\r\n\r\nORFS discussion https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/discussions/845"}, {"assistant": "standalone sta supports '>' but it is not supported in OR as all output goes through the Logger."}, {"assistant": "@khaledhasanzami what Google does in practice is create custom report commands that output json strings. If you look at the implementation of the command you're interested in it's usually easy enough to copy it's implementation, and do whatever you want."}, {"assistant": "Timing reports have a lot of logic. Some tools offer the ability to return the timing report as a tcl list of lists. Probably that would be the better solution for a timing report. Other cases are probably easier."}, {"user": "> Timing reports have a lot of logic. Some tools offer the ability to return the timing report as a tcl list of lists. Probably that would be the better solution for a timing report. Other cases are probably easier.\r\n\r\nCould you please elaborate?"}, {"assistant": "I was responding to \"it's usually easy enough to copy it's implementation\". I don't think that is the case for report_checks. I'm not sure it is a good idea in general but particular not in this case."}, {"assistant": "@khaledhasanzami \r\n\r\nFor example `report_check_type -max_delay` basically just calls this\r\n\r\n```tcl\r\n set path_ends [sta::find_path_ends \"NULL\" {} \"NULL\" 0 \\\r\n\t\t $corner $path_min_max $group_count 1 0 \\\r\n\t\t $slack_min $slack_max \\\r\n\t\t 0 {} \\\r\n\t\t $setup $hold \\\r\n\t\t $recovery $removal \\\r\n\t\t $clk_gating_setup $clk_gating_hold]\r\n report_path_ends $path_ends\r\n\r\n```\r\n\r\nYou can rather easily read this path_ends object, and write it out in your own custom format.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenSTA/blob/master/tcl/Search.tcl#L413-L558"}, {"assistant": "> @khaledhasanzami what Google does in practice is create custom report commands that output json strings. If you look at the implementation of the command you're interested in it's usually easy enough to copy it's implementation, and do whatever you want.\r\n\r\n@QuantamHD is Google's re-implementation public?"}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3029 might be helpful"}, {"assistant": "It is. Thanks!"}]} +{"num": 2968, "messages": [{"user": "### Describe the bug\n\nHello, I'm trying to build openroad, so I intall the dependencies first, but I got this kind of problem, I don't know how to handle it.\n\n### Expected Behavior\n\n install the dependencies correctly\n\n### Environment\n\n```shell\nGit commit: 0264023b6c2a8ae803b8d440478d657387277d93\r\nkernel: Linux 4.18.0-240.1.1.el8_3.x86_64\r\nos: CentOS Linux 8\r\ncmake version 3.20.3\r\nCMake Error at /usr/local/cmake-3.20.3-linux-x86_64/share/cmake-3.20/Modules/CMakeTestCXXCompiler.cmake:59 (message):\r\n The C++ compiler\r\n\r\n \"/usr/local/bin/c++\"\r\n\r\n is not able to compile a simple test program.\r\n\r\n It fails with the following output:\r\n\r\n Change Dir: /tmp/tmp.ut2MlU26q6/CMakeFiles/CMakeTmp\r\n \r\n Run Build Command(s):/usr/bin/gmake -f Makefile cmTC_202f5/fast && /usr/bin/gmake -f CMakeFiles/cmTC_202f5.dir/build.make CMakeFiles/cmTC_202f5.dir/build\r\n gmake[1]: Entering directory '/tmp/tmp.ut2MlU26q6/CMakeFiles/CMakeTmp'\r\n Building CXX object CMakeFiles/cmTC_202f5.dir/testCXXCompiler.cxx.o\r\n /usr/local/bin/c++ -o CMakeFiles/cmTC_202f5.dir/testCXXCompiler.cxx.o -c /tmp/tmp.ut2MlU26q6/CMakeFiles/CMakeTmp/testCXXCompiler.cxx\r\n Linking CXX executable cmTC_202f5\r\n /usr/local/cmake-3.20.3-linux-x86_64/bin/cmake -E cmake_link_script CMakeFiles/cmTC_202f5.dir/link.txt --verbose=1\r\n /usr/local/bin/c++ CMakeFiles/cmTC_202f5.dir/testCXXCompiler.cxx.o -o cmTC_202f5 \r\n /usr/bin/ld: cannot find crtbegin.o: No such file or directory\r\n /usr/bin/ld: cannot find -lstdc++\r\n collect2: error: ld returned 1 exit status\r\n gmake[1]: *** [CMakeFiles/cmTC_202f5.dir/build.make:99: cmTC_202f5] Error 1\r\n gmake[1]: Leaving directory '/tmp/tmp.ut2MlU26q6/CMakeFiles/CMakeTmp'\r\n gmake: *** [Makefile:127: cmTC_202f5/fast] Error 2\r\n \r\n \r\n\r\n \r\n\r\n CMake will not be able to correctly generate this project.\r\nCall Stack (most recent call first):\r\n CMakeLists.txt:84 (project)\r\n\r\n\r\n-- The CXX compiler identification is GNU 7.5.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - failed\r\n-- Check for working CXX compiler: /usr/local/bin/c++\r\n-- Check for working CXX compiler: /usr/local/bin/c++ - broken\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nrun `sudo ./etc/DependencyInstaller.sh` in the OpenROAD root folder\n\n### Relevant log output\n\n```shell\n(base) [yzhu@rios-cad1 OpenROAD]$sudo ./etc/DependencyInstaller.sh \r\nUpdating Subscription Management repositories.\r\n\r\nThis system is registered to Red Hat Subscription Management, but is not receiving updates. You can use subscription-manager to assign subscriptions.\r\n\r\nNo match for argument: ius-release\r\nNo match for argument: epel-release\r\nDependencies resolved.\r\n================================================================================================================\r\n Package Architecture Version Repository Size\r\n================================================================================================================\r\nRemoving:\r\n lcov noarch 1.14-1 @@commandline 369 k\r\n\r\nTransaction Summary\r\n================================================================================================================\r\nRemove 1 Package\r\n\r\nFreed space: 369 k\r\nRunning transaction check\r\nTransaction check succeeded.\r\nRunning transaction test\r\nTransaction test succeeded.\r\nRunning transaction\r\n Preparing : 1/1 \r\n Erasing : lcov-1.14-1.noarch 1/1 \r\n Running scriptlet: lcov-1.14-1.noarch 1/1 \r\n Verifying : lcov-1.14-1.noarch 1/1 \r\nInstalled products updated.\r\n\r\nRemoved:\r\n lcov-1.14-1.noarch \r\n\r\nComplete!\r\nUpdating Subscription Management repositories.\r\n\r\nThis system is registered to Red Hat Subscription Management, but is not receiving updates. You can use subscription-manager to assign subscriptions.\r\n\r\nLast metadata expiration check: 2:43:59 ago on Thu 02 Mar 2023 04:34:50 PM CST.\r\nlcov-1.14-1.noarch.rpm 31 kB/s | 99 kB 00:03 \r\nDependencies resolved.\r\n================================================================================================================\r\n Package Architecture Version Repository Size\r\n================================================================================================================\r\nInstalling:\r\n lcov noarch 1.14-1 @commandline 99 k\r\n\r\nTransaction Summary\r\n================================================================================================================\r\nInstall 1 Package\r\n\r\nTotal size: 99 k\r\nInstalled size: 369 k\r\nDownloading Packages:\r\nRunning transaction check\r\nTransaction check succeeded.\r\nRunning transaction test\r\nTransaction test succeeded.\r\nRunning transaction\r\n Preparing : 1/1 \r\n Installing : lcov-1.14-1.noarch 1/1 \r\n Running scriptlet: lcov-1.14-1.noarch 1/1 \r\n Verifying : lcov-1.14-1.noarch 1/1 \r\nInstalled products updated.\r\n\r\nInstalled:\r\n lcov-1.14-1.noarch \r\n\r\nComplete!\r\nUpdating Subscription Management repositories.\r\n\r\nThis system is registered to Red Hat Subscription Management, but is not receiving updates. You can use subscription-manager to assign subscriptions.\r\n\r\nLast metadata expiration check: 2:44:05 ago on Thu 02 Mar 2023 04:34:50 PM CST.\r\nius-release-el7.rpm 8.3 kB/s | 8.2 kB 00:00 \r\nError: \r\n Problem: conflicting requests\r\n - nothing provides epel-release = 7 needed by ius-release-2-1.el7.ius.noarch\r\n(try to add '--skip-broken' to skip uninstallable packages or '--nobest' to use not only best candidate packages)\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nhere is my linux platform\r\n![image](https://user-images.githubusercontent.com/39954153/222420759-2d2d31e8-f6c8-4672-9bd4-541448d0b506.png)\r\n"}, {"assistant": "Please run `sudo yum update` and check cmake version. Minimum required version is 3.24.3.\r\nYou're using 3.20.3 version."}, {"assistant": "\r\n![censot8_repo](https://user-images.githubusercontent.com/85787913/222452624-990dce97-44bc-4033-a311-2f5444b9c65d.png)\r\n\r\nAs per user update from slack channel, CentOS 8 unable to pull packages from existing path with `sudo yum update`. Suggested to try this fix: https://www.tecmint.com/error-failed-to-download-metadata-for-repo-appstream/"}, {"assistant": "@b224hisl Are you able to resolve it?"}]} +{"num": 2970, "messages": [{"user": "### Description\n\nIt would be useful for OpenROAD to surface a function that can write a PNG view of a layout similar to the other views.\n\n### Suggested Solution\n\nAdd a `write_png` command that behaves similarly to `write_def`, `write_odb`, etc.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Are you looking for [`save_image`](https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/gui#save-screenshot-of-layout)?\r\n\r\n[Here's](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/save_images.tcl) an example of it used in ORFS."}, {"user": "I am! Thanks!"}]} +{"num": 2988, "messages": [{"user": "### Describe the bug\r\n\r\nOpenROAD crashed after 70% with a lot of violations for the [Ibex Controller](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/designs/src/ibex/ibex_controller.v)..\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nOpenROAD should not crash and the no. of violations should be less.\r\n\r\n### Environment\r\n\r\n\r\n\r\n\r\n### To Reproduce\r\n\r\nRun `make \"DESIGN_CONFIG=./designs/asap7/ibex/config.mk\"` in the OpenROAD flow scripts.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n![image](https://user-images.githubusercontent.com/58599984/223680113-a79eeba6-c069-417c-9b70-6f65d67eb2bc.png)\r\n\r\n### Additional Context\r\n\r\nBug reported by Subhradip Chakraborty."}, {"assistant": "The design passes in the nightly CI so it is likely a local problem. This report is too vague to be actionable. What commit id? What platform? Are you running out of memory?"}, {"assistant": "@Eyantra698Sumanto \r\n\r\nPlease follow the Issue template closely. There are instructions that must be followed to help us understand the problem:\r\n\r\n![image](https://user-images.githubusercontent.com/9001905/223729398-865e1b77-a62b-4e0b-b3d4-74e205604b33.png)"}, {"assistant": "As per slack channel discussion with user, in VM they had only 4GB RAM. So once it reaches peak it got exited.\r\n\r\nIncrease the swap memory for your system and try again."}]} +{"num": 2989, "messages": [{"user": "### Describe the bug\n\nThe build of OpenROAD fails on Ubuntu 22.04 for `arm64`. The reason is the `-m64` flag in `src/par/CMakeLists.txt`.\n\n### Expected Behavior\n\nBuilding successfully.\n\n### Environment\n\n```shell\nn/a\n```\n\n\n### To Reproduce\n\nTry to build commit `0264023b6c2a8ae803b8d440478d657387277d93` on Ubuntu 22.04 LTS.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "We `sed` it out in OpenLane"}, {"user": "That's what I do now in our Docker build, but should be fixed in the source."}, {"assistant": "Closing as #3003 was merged. Please reopen if necessary."}]} +{"num": 2991, "messages": [{"user": "### Describe the bug\n\nWith a \"build by hand\", we ran into an issue at _make_:\r\n\r\n```mkdir build\r\ncd build\r\ncmake ..\r\n**make**```\r\n\r\n```libpython3.6m.so \r\n/opt/or-tools/lib/libortools.so.9.5.2237: undefined reference to `std::to_chars(char*, char*, double)@GLIBCXX_3.4.29'\r\n/opt/or-tools/lib/libortools.so.9.5.2237: undefined reference to `std::__cxx11::basic_ostringstream, std::allocator >::basic_ostringstream()@GLIBCXX_3.4.26'\r\n/opt/or-tools/lib/libortools.so.9.5.2237: undefined reference to `std::__cxx11::basic_string, std::allocator >::reserve()@GLIBCXX_3.4.29'\r\n/opt/or-tools/lib/libortools.so.9.5.2237: undefined reference to `std::__throw_bad_array_new_length()@GLIBCXX_3.4.29'\r\ncollect2: error: ld returned 1 exit status```\r\n\r\nThis was on Ubuntu 18 with gcc and g++ version 8.4.0.\r\n[build.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/10925442/build.log)\r\n\n\n### Expected Behavior\n\nWe expected the build to complete without error\n\n### Environment\n\n```shell\nUbuntu 18 LTS with gcc version 8.4\n```\n\n\n### To Reproduce\n\nsee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\nsee attached log file\n\n### Additional Context\n\n_No response_"}, {"assistant": "@smosanu \r\nHave you ran after clone `./etc/DependencyInstaller.sh` inside `OpenROAD` directory?"}, {"user": "Hi @vijayank88,\r\n\r\nYes, I did run that, and just did it again (see log attached) and the issue with `make` still persists. Is it possible that not all dependencies got installed?\r\n\r\nOne other thing I did is, I upgraded the gcc and g++ version to 8.4.0, though I did this after installing the dependencies. Perhaps I should re-install some of the dependencies?\r\n\r\nThank you!\r\n\r\n[ORdep.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/10943526/ORdep.log)\r\n"}, {"assistant": "libpython3.8 is already the newest version (3.8.16-1+bionic1).\r\npython3-dev is already the newest version (3.6.7-1~18.04).\r\n\r\nlook to be out of sync\r\n"}, {"assistant": "Fwiw I've built on Ubuntu 22.04 LTS"}, {"user": "Thank you @vijayank88 @maliberty for your suggestions. It kept me motivated to keep digging, even replicated the same issues on another machine with Ubuntu 18.04.\r\n\r\nThe error message suggests that it has something to do with **or-tools**, and I was able to fix it by bumping the gcc & g++ compiler to version 11 as per [https://groups.google.com/g/or-tools-discuss/c/d8W3urEp0wk](https://groups.google.com/g/or-tools-discuss/c/d8W3urEp0wk)\r\n\r\n```\r\nadd-apt-repository -y ppa:ubuntu-toolchain-r/test\r\napt install -yq gcc-11 g++-11\r\n```\r\n\r\nHope this is useful to others stuck with Ubuntu 18.04."}]} +{"num": 2999, "messages": [{"user": "### Description\r\n\r\n@vvbandeira these are the tasks I can identify for setting up automated build and publish of debian packages.\r\n\r\n- [ ] Make the [build deb package github action](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/.github/workflows/github-actions-build-deb-package.yml#L3-L6) trigger on changes to the [changelog file](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/debian/changelog). An update to the changelog + a new version number is needed when publishing an updated package.\r\n- [ ] Remove the upload step of `.deb` packages\r\n- [ ] Change the build commands to build a source package. A source package must be built, then launchpad will build deb packages from it. Docs: https://help.launchpad.net/Packaging/PPA/BuildingASourcePackage\r\n- [ ] Create a user on https://help.launchpad.net and ask me to transfer ownership of https://launchpad.net/openroad to you.\r\n- [ ] Upload the source package to the [openroad ppa](https://launchpad.net/openroad). Docs: https://help.launchpad.net/Packaging/PPA/Uploading\r\n- [ ] Add readme entry for adding the ppa:\r\n ```\r\n sudo add-apt-repository ppa:user/ppa-name\r\n sudo apt-get update\r\n ```\r\n\r\n\r\n### Additional Context\r\n\r\nIt has to be investigated/tested how this works when yosys is installed separately, does the openroad yosys fork need its own deb package or be included in this one? Maybe https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts should be packaged as a deb package"}, {"assistant": "@vvbandeira Some thoughts, I have not had a chance to test yet:\r\n\r\n- does yosys installed by OR work side by side with official Ubuntu yosys package?\r\n- ORFS needs to be installed too\r\n- The PDK, which is part of ORFS, is big, but rarely changes. How can the nightly PPA build size of ORFS be minimized?"}]} +{"num": 3008, "messages": [{"user": "### Describe the bug\n\nI am reading a tech lef file (LEF syntax is version 5.8). It core dumps and stack trace is attached. I also see warnings like \r\n\r\n[WARNING ODB-0279] parse mismatch in layer property LEF58_CUTCLASS for layer V1 : \"\r\n CUTCLASS Vx WIDTH 0.020 LENGTH 0.020 CUTS 1 ;\r\n CUTCLASS VxPWR0 WIDTH 0.020 LENGTH 0.026 CUTS 1 ORIENT VERTICAL ;\r\n CUTCLASS VxBAR WIDTH 0.020 LENGTH 0.040 CUTS 2 ;\r\n CUTCLASS VxBARPWR0 WIDTH 0.020 LENGTH 0.042 CUTS 2 ORIENT HORIZONTAL ;\r\n CUTCLASS VxLRG WIDTH 0.040 LENGTH 0.040 CUTS 4 ; \"\r\n\r\n[t1.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/10954175/t1.txt)\r\n\n\n### Expected Behavior\n\nI don't want it to crash, rather but I do expect that it may encounter un-supported constructs.\n\n### Environment\n\n```shell\nLinux RH8\n```\n\n\n### To Reproduce\n\nLEF it proprietary so I can't share.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I created a test case to reproduce what I think is the issue. The parser doesn't understand `ORIENT VERTICAL` and `ORIENT HORIZONTAL`. This isn't a huge problem in itself, because those vias aren't going to be used by detailed routing. Perhaps it is an issue for pdn?\r\n\r\nLater on we refer to the unknown `CUTCLASS` in a `LEF58_ENCLOSURE` statement, which SEGVs. We should fix our `LEF58_ENCLOSURE` parsing to not crash on unknown `CUTCLASS`\r\n\r\n```\r\nVERSION 5.8 ;\r\nBUSBITCHARS \"[]\" ;\r\nDIVIDERCHAR \"/\" ;\r\nUSEMINSPACING OBS OFF ;\r\n\r\nUNITS\r\n DATABASE MICRONS 1000 ;\r\nEND UNITS\r\n\r\nMANUFACTURINGGRID 0.001 ;\r\n\r\nPROPERTYDEFINITIONS\r\n LAYER LEF58_CUTCLASS STRING ;\r\n LAYER LEF58_ENCLOSURE STRING ;\r\nEND PROPERTYDEFINITIONS\r\n\r\nLAYER V0\r\n TYPE CUT ;\r\n\r\n PROPERTY LEF58_CUTCLASS \"\r\n CUTCLASS Vx WIDTH 0.001 LENGTH 0.001 CUTS 1 ORIENT VERTICAL ;\r\n CUTCLASS VxLRG WIDTH 0.001 LENGTH 0.001 CUTS 1 ORIENT HORIZONTAL ; \" ;\r\n\r\n PROPERTY LEF58_ENCLOSURE \"\r\n ENCLOSURE CUTCLASS Vx BELOW 0.001 0.0 ;\r\n ENCLOSURE CUTCLASS VxLRG BELOW 0.001 0.0 ; \" ;\r\n\r\nEND V0\r\n\r\nEND LIBRARY\r\n```\r\n"}, {"assistant": "Thanks @antonblanchard that made it much easier to fix"}]} +{"num": 3020, "messages": [{"user": "### Describe the bug\n\nThe attached test case shows guides that go through a blockage. M7 is completely blocked, but some guides go right through it from M5 through to M8:\r\n\r\n![grt-through-blockage](https://user-images.githubusercontent.com/2029971/225280426-d1b05b81-42d7-4dde-92ba-23a50e31b143.png)\r\n\n\n### Expected Behavior\n\nGuides should not cross through obstructions\n\n### Environment\n\n```shell\nGit commit: edcd14ffec5d232d98e8b0c34315b1ce233b1af6\r\nos: Fedora Linux 37 (Workstation Edition)\r\ncmake version 3.26.0-rc5\n```\n\n\n### To Reproduce\n\nTest case: [grt-through-blockage.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/10978590/grt-through-blockage.tar.gz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "This is a good case to start considering via resources. The current grt core code doesn't check the resources when adding vias. Also, the 2D resources will consider the M8 tracks, even if they are inaccessible from lower layers.\r\n\r\nThe first step could be to detect inaccessible layers and \"block\" them from the actual resources. The via-resource model is a more complex work that can be implemented next.\r\n\r\n@maliberty do you have some thoughts on it?"}, {"assistant": "@antonblanchard would you give some more context as to what you are seeking to have happen by blocking m7? "}, {"user": "> @antonblanchard would you give some more context as to what you are seeking to have happen by blocking m7?\r\n\r\nTrying to build simple test cases to exercise specific parts of drt. In this particular case I could obstruct all layers other than the two I'm interested in."}, {"assistant": "What does it mean to block all but two non-adjacent layers?"}, {"user": "> What does it mean to block all but two non-adjacent layers?\r\n\r\nTo force specific vias to be used in test cases. I'm not sure how much of an issue this is outside of test cases, and I can see how grt would struggle since it starts with a 2D view of the capacity."}]} +{"num": 3028, "messages": [{"user": "### Describe the bug\n\nI was investigating why it takes so long to start ispd19_test10. Even after https://github.com/The-OpenROAD-Project/OpenROAD/pull/3027 we still spend a significant amount of time in `initDesign_skipObj`. \r\n\r\nIt looks like we call it multiple times for every access point, and multiple times for every inst (both via initPA0)\n\n### Expected Behavior\n\nReduce the time it takes to start ispd_test10\n\n### Environment\n\n```shell\nGit commit: edcd14ffec5d232d98e8b0c34315b1ce233b1af6\r\nos: Fedora Linux 37 (Workstation Edition)\r\ncmake version 3.26.0-rc5\n```\n\n\n### To Reproduce\n\nRun ispd19_test10\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@osamahammad21 any comments?"}, {"assistant": "I am not sure exactly if I understand what's the issue here but let me clarify how PA currently works. For each access point in the initial points generation, it tries to access that point from all directions (E,W,N,S,U). In every attempted access, it creates a new FlexGCWorker and initializes it. That gives you 5 calls to initDesign for each access point of each pin of each instance. \r\nNow I am not saying that it is optimal, but this is how it is now. If you are attempting to speedup this, I would recommend reducing the gcworkers to one per instance. However, it could backfire if you are generating access points to huge macro that would have a huge gcworker box with many objects to initialize."}, {"user": "I did notice we create a hardwired +/ 3000 box:\r\n\r\n```\r\nRect extBox(bp.x() - 3000, bp.y() - 3000, bp.x() + 3000, bp.y() + 3000);\r\n```\r\n\r\nCould we safely reduce it, or dynamically size it?"}, {"user": "A large part of the problem is https://github.com/The-OpenROAD-Project/OpenROAD/pull/3087"}, {"user": "I opened https://github.com/The-OpenROAD-Project/OpenROAD/issues/3171 to track the rest of this."}]} +{"num": 3039, "messages": [{"user": "### Describe the bug\n\nAttached is a test case from https://github.com/The-OpenROAD-Project/OpenROAD/pull/2975. Some critical nets are getting badly routed (eg `soc0._331_`).\r\n\r\nI had hoped `-critical_nets_percentage` would help, but it doesn't appear to. A few things:\r\n\r\n- We don't do anything if the worst slack is positive:\r\n\r\n```\r\n // Ensure the slack threshold is negative\r\n if (slack_th >= 0) {\r\n for (float slack : slacks) {\r\n if (slack >= 0)\r\n break;\r\n slack_th = slack;\r\n }\r\n }\r\n\r\n if (slack_th >= 0) {\r\n return;\r\n }\r\n```\r\n\r\nBut it might be only slightly positive, and bad global routing it could make it much worse, driving the design into negative slack. I think we still want to prioritise the most critical nets.\r\n\r\n- I worked around this (by removing the above code, and also setting a huge value for the default slack in `computeNetSlacks` so non critical nets get sorted last. Even with this, `-critical_nets_percentage` does a bad job of routing `soc0._331_`.\n\n### Expected Behavior\n\nBetter routing of critical nets\n\n### Environment\n\n```shell\nGit commit: f13f5828b53eb45ea040f46c2b6d9b41f2163f9b\r\nos: Fedora Linux 37 (Workstation Edition)\r\ncmake version 3.26.0-rc5\n```\n\n\n### To Reproduce\n\nTest case is at https://ozlabs.org/~anton/junk/microwatt-grt-issue.tar.gz\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "While trying to fix this, I somehow managed to make it even worse. It makes me wonder if we should have a metric or a warning when global routes deviate a lot from their original Steiner tree.\r\n\r\n![grt-long-route](https://user-images.githubusercontent.com/2029971/226206125-5e4b9089-808e-4e09-9c96-e1e0a4adeb3e.png)\r\n"}, {"assistant": "We could have an ST wire length and a grt wirelength. Some amount of deviation on a net is expected but this look pretty extreme."}, {"user": "> We could have an ST wire length and a grt wirelength. Some amount of deviation on a net is expected but this look pretty extreme.\r\n\r\nSounds good. `report_wire_length` gives us grt and drt wire length, so we could add an -st option"}, {"assistant": "I think we need to update the net slacks during routing and not only take them at the start of routing. @eder-matheus perhaps you could work with @arthurjolo on this?"}]} +{"num": 3046, "messages": [{"user": "### Description\n\nSelect a data path row, then right click and get a menu to \"Show in ...\"\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/226295495-bf15596b-c2e1-41f1-8a9e-9141fc9f5596.png)\r\n\r\nIt would be helpful to go from timing paths elements to other parts of the design(backannotation).\r\n\r\nSome ideas for features:\r\n\r\n- [ ] Show original source code Verilog/RTL code line corresponding to row\r\n- [ ] Show Yosys schematics for original Verilog/RTL as well as current .odb file\r\n- [ ] Display cone of logic or path full path of logic\r\n- [ ] Corresponding .sdc file\n\n### Suggested Solution\n\nImplement in GUI... \n\n### Additional Context\n\n_No response_"}, {"assistant": "@QuantamHD have you been able to get RTL source lines to work in yosys? I recall you were trying a while ago."}, {"assistant": "Logic cone might be relatively easy since that is already supported in the inspector. I think source line annotation would require additional support from OpenSTA to provide that information."}, {"assistant": "Showing the original RTL from the timing path seems like a doozy which would probably require a lot of hacking in yosys. OpenROAD starts from a netlist which has no idea what RTL went into it. It would require yosys to annotate the source lines into the generated netlist, and then OpenSTA would have to parse those annotations along with the netlist and then expose them through an API to the GUI.\r\n\r\nIt sounds like a wonderful feature, but I think that an easier stopgap is to look at the source and destination register names (assuming they are unmangled) and infer the source line from there."}, {"assistant": "I think the OR work to support this is tractable if we can get yosys/abc to give us the line numbers."}, {"assistant": "Yeah I have a mostly finished PR in OpenSTA which reads the src line annotations that yosys adds to the verilog. Our flow at Google usually keeps around a good amount of the src line annotations. I'm not sure why the ORFS doesn't. @maliberty has asked me to look into it, but I just haven't had the time. At minimum the DFF's should have line numbers."}, {"assistant": "The fact that we use -noattr on write_verilog in flow/scripts/synth.tcl suppresses the annotations. If I turn that off I see line numbers for the ff and some wires though not for the combinational logic."}, {"assistant": "I would be pleasantly surprised if yosys had source line annotation of combinational logic, considering it has to hand off between yosys and ABC."}, {"assistant": "There's an abc pass that's supposed to help with that, but as of yet I haven't been super successful using it."}, {"user": "This is a little bit of a non-sequitor, but one of the main motivations of LLVM CIRCT was to be able to add the ability to trace back to original source code after numerous passes have processed the RTL. Verilog is quite limited as a format for representing the RTL code as it goes through transformations: synthesis, technology mapping, etc. LLVM MLIR has richer representation available. \r\n\r\nIf I google, I can find some people who are interested in using LLVM CIRCT representation for yosys and ABC, though to my knowledge, there is no code yet.\r\n\r\nhttps://discourse.llvm.org/t/integration-with-abc-optimizer/65264\r\n\r\nLLVM CIRCT is developing quickly, at least I think so, but it still doesn't read Verilog. If LLVM CIRCT gets a really good Verilog parser and later maybe even a VHDL parser, then I can imagine that Yosys and ABC would be motivated to use LLVM CIRCT on the input end and it would only be natural that yosys and ABC would then use it on the output end too.\r\n\r\nI understand the LLVM CIRCT parsers to be mainly motivated by the desire to implement a simulator.\r\n\r\nAnyway: I don't expect LLVM CIRCT to get to the stage where it is an obvious solution for these things and more overnight, but it will be interesting to follow it's developments.\r\n\r\nFor now, I use LLVM CIRCT as an output stage for Chisel, which gives me nicer(more human readable) System Verilog output. The QoR isn't affected."}]} +{"num": 3050, "messages": [{"user": "### Description\r\n\r\nAs described in #2209 and #2349, in the design that involves multiple voltage domains, there is no routes from standard cell to another voltage domain that is generated by pdngen.\r\n\r\n\r\n### Suggested Solution\r\n\r\nWentian and I from the openfasoc team created a PR #3043 to address this issue. Currently, it is able to connect the standard cells to power rings. However, it requires additional operations after the final cdl for lvs check, because we created new nets and pins that were not specified in the verilog.\r\n\r\nProposed Command:\r\nAll of these commands should be called before global route.\r\ndbGPpins_create [dbBlock] [dbTech] [source net name] [number of connection points] [position \"default\" \"left\" \"right\" \"middle\"]\r\n\"default\": connection points are spread across the routable net\r\n\"middle\": connection points are in the middle of the net\r\n\"left\" : connection points are on the left/top of the net\r\n\"right\" : connection points are on the right/bottom of the net\r\n\r\nodb::p2proute [ord::get_db_block] [new net name] [instance name] [iterm on power ring to check the connections]\r\nCurrently this command creates custom connections before global route. We still use global route and detail route for routing.\r\nnew net name = \"pg_\" + source net name\r\n\r\n\r\nSpecial Router with 1 additional PGpin on power ring VIN\r\nodb::createPGpins [ord::get_db_block] [ord::get_db_tech] \"VIN\" 1 \"default\"\r\nodb::p2proute [ord::get_db_block] \"pg_VIN\" \"temp_analog_1.a_header_0\" \"VIN\"\r\nodb::p2proute [ord::get_db_block] \"pg_VIN\" \"temp_analog_1.a_header_1\" \"VIN\"\r\nodb::p2proute [ord::get_db_block] \"pg_VIN\" \"temp_analog_1.a_header_2\" \"VIN\"\r\n\r\n\"Screenshot\r\n\r\nSpecial Router with 4 additional PGpins on power ring VIN\r\nodb::createPGpins [ord::get_db_block] [ord::get_db_tech] \"VIN\" 4 \"default\"\r\nodb::p2proute [ord::get_db_block] \"pg_VIN\" \"temp_analog_1.a_header_0\" \"VIN\"\r\nodb::p2proute [ord::get_db_block] \"pg_VIN\" \"temp_analog_1.a_header_1\" \"VIN\"\r\nodb::p2proute [ord::get_db_block] \"pg_VIN\" \"temp_analog_1.a_header_2\" \"VIN\"\r\n\r\n\"Screenshot\r\n\r\n\r\nFuture Work:\r\n1. Update the sroute so that no additional operations are needed after a complete flow script.\r\n2. set_routing_layers [-power minLayerName maxLayerName] for power connection.\r\n3. Additional ndr rules [-multicut via]. May need further modification in detail router.\r\n\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I'm interested to understand how you got these results from that PR? The router doesn't know anything about pgpin objects today. Is there more associated code you haven't put in the PR?"}, {"user": "We'll check our repo and update PR accordingly."}, {"assistant": "> I'm interested to understand how you got these results from that PR? The router doesn't know anything about pgpin objects today. Is there more associated code you haven't put in the PR?\r\n\r\nSo the router doesn't need to see the pgpin object. The create pgpin command basically create the bterm and bpin on the power ring so that the router can use those bpins to do connection. I uploaded a test with ok file to show the pin location. "}, {"assistant": "> So the router doesn't need to see the pgpin object. The create pgpin command basically create the bterm and bpin on the power ring so that the router can use those bpins to do connection. I uploaded a test with ok file to show the pin location.\r\n\r\nWhy do we need the pgpin in that case? Why not directly create the bterm/bpin?"}, {"assistant": "> > So the router doesn't need to see the pgpin object. The create pgpin command basically create the bterm and bpin on the power ring so that the router can use those bpins to do connection. I uploaded a test with ok file to show the pin location.\r\n> \r\n> Why do we need the pgpin in that case? Why not directly create the bterm/bpin?\r\n\r\nWe don't actually create pgpin object. The reason I create a class is just to put all the command related to sroute together. Also we need to find the power ring before create the bterm/bpin. I guess it's also feasible to just put the function somewhereelse."}, {"assistant": "> We don't actually create pgpin object. The reason I create a class is just to put all the command related to sroute together. Also we need to find the power ring before create the bterm/bpin. I guess it's also feasible to just put the function somewhereelse.\r\n\r\nThis is a mistake. You shouldn't create a database class just for this purpose. Probably it is better to have this conversation in the PR."}]} +{"num": 3051, "messages": [{"user": "### Describe the bug\n\nOpenSTA Lib is missing zlib dependency for the SpefReader\n\n### Expected Behavior\n\nIt should compile\n\n### Environment\n\n```shell\nGLinux (Debian Testing)\n```\n\n\n### To Reproduce\n\n```\r\ncmake -DCMAKE_BUILD_TYPE=RelWithDebInfo -DCMAKE_C_COMPILER_LAUNCHER=ccache -DCMAKE_CXX_COMPILER_LAUNCHER=ccache -GNinja -B build .\r\ncd build\r\nninja\r\n```\n\n### Relevant log output\n\n```shell\nFAILED: src/dst/test/cpp/TestWorker \r\n: && /usr/bin/c++ -O2 -g -DNDEBUG src/dst/test/cpp/CMakeFiles/TestWorker.dir/TestWorker.cc.o src/dst/test/cpp/CMakeFiles/TestWorker.dir/stubs.cpp.o -o src/dst/test/cpp/TestWorker src/dst/dst.a /usr/lib/x86_64-linux-gnu/libtcl.so src/utl/utl.a src/utl/libutl_lib.a /usr/local/lib/libspdlog.a /usr/local/google/home/ethanmoon/OpenROAD/src/sta/app/libOpenSTA.a /usr/local/lib/libboost_serialization.a /usr/local/lib/libboost_system.a /usr/local/lib/libboost_thread.a && :\r\n/usr/bin/ld: /usr/local/google/home/ethanmoon/OpenROAD/src/sta/app/libOpenSTA.a(SpefReader.cc.o): undefined reference to symbol 'gzgets'\r\n/usr/bin/ld: /lib/x86_64-linux-gnu/libz.so.1: error adding symbols: DSO missing from command line\r\ncollect2: error: ld returned 1 exit status\r\n[2/338] Linking CXX executable src/dst/test/cpp/TestBalancer\r\nFAILED: src/dst/test/cpp/TestBalancer \r\n: && /usr/bin/c++ -O2 -g -DNDEBUG src/dst/test/cpp/CMakeFiles/TestBalancer.dir/TestBalancer.cc.o src/dst/test/cpp/CMakeFiles/TestBalancer.dir/stubs.cpp.o -o src/dst/test/cpp/TestBalancer src/dst/dst.a /usr/lib/x86_64-linux-gnu/libtcl.so src/utl/utl.a src/utl/libutl_lib.a /usr/local/lib/libspdlog.a /usr/local/google/home/ethanmoon/OpenROAD/src/sta/app/libOpenSTA.a /usr/local/lib/libboost_serialization.a /usr/local/lib/libboost_system.a /usr/local/lib/libboost_thread.a && :\r\n/usr/bin/ld: /usr/local/google/home/ethanmoon/OpenROAD/src/sta/app/libOpenSTA.a(SpefReader.cc.o): undefined reference to symbol 'gzgets'\r\n/usr/bin/ld: /lib/x86_64-linux-gnu/libz.so.1: error adding symbols: DSO missing from command line\r\ncollect2: error: ld returned 1 exit status\r\n[3/338] Linking CXX executable src/dst/test/cpp/TestDistributed\r\nFAILED: src/dst/test/cpp/TestDistributed \r\n: && /usr/bin/c++ -O2 -g -DNDEBUG src/dst/test/cpp/CMakeFiles/TestDistributed.dir/TestDistributed.cc.o src/dst/test/cpp/CMakeFiles/TestDistributed.dir/stubs.cpp.o -o src/dst/test/cpp/TestDistributed src/dst/dst.a /usr/lib/x86_64-linux-gnu/libtcl.so src/utl/utl.a src/utl/libutl_lib.a /usr/local/lib/libspdlog.a /usr/local/google/home/ethanmoon/OpenROAD/src/sta/app/libOpenSTA.a /usr/local/lib/libboost_serialization.a /usr/local/lib/libboost_system.a /usr/local/lib/libboost_thread.a && :\r\n/usr/bin/ld: /usr/local/google/home/ethanmoon/OpenROAD/src/sta/app/libOpenSTA.a(SpefReader.cc.o): undefined reference to symbol 'gzgets'\r\n/usr/bin/ld: /lib/x86_64-linux-gnu/libz.so.1: error adding symbols: DSO missing from command line\r\n```\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I pointed out the same a while ago...."}, {"user": "Well it should be an easy fix. Can I send a PR over to the STA repo?"}, {"assistant": "@openroadie is now in charge of sta updates. Harsh - how would you like to proceed?"}, {"assistant": "@QuantamHD possible to have a quick offline chat tomorrow ? I sent you an invite. "}, {"user": "Sure. I accepted."}, {"assistant": "Sent over a note."}, {"assistant": "@QuantamHD I believe this is fixed in the latest code. "}]} +{"num": 3055, "messages": [{"user": "### Describe the bug\r\n\r\nWhen I use the new -group and -order set_io_constrains feature, I get the error above.\r\n\r\n### Expected Behavior\r\n\r\nNo error\r\n\r\n### Environment\r\n\r\n```shell\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.0-67-generic\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.22.1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/905\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@eder-matheus @maliberty I was able to reproduce it, see build log for https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/905\r\n"}, {"assistant": "@oharboe Sorry for the delay. I was having trouble to reproduce it on my Mac. Something is weird with the `make issue` for this new design.\r\n\r\nAnyway, this PR should fix the issue: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3075\r\nCould you check with your internal testcase?"}, {"assistant": "There was a bug in make issue that I fixed this week. "}]} +{"num": 3061, "messages": [{"user": "### Describe the bug\n\nWhen compiling OpenROAD, it ended up with errors after installing essential dependencies and running make command. It is almost finished (97%).\r\n\r\ncollect2: error: ld returned 1 exit status\r\nmake[2]: *** [src/CMakeFiles/openroad.dir/build.make:410: src/openroad] Error 1\r\nmake[2]: Leaving directory '/home/yuanjiadong/OpenROAD/build'\r\nmake[1]: *** [CMakeFiles/Makefile2:1666: src/CMakeFiles/openroad.dir/all] Error 2\r\nmake[1]: Leaving directory '/home/yuanjiadong/OpenROAD/build'\r\nmake: *** [Makefile:149: all] Error 2\r\n\r\n\r\nHere is what was printed after I run cmake .. -DCMAKE_INSTALL_PREFIX=/home/yuanjiadong/openroad:\r\n\r\n\r\n-- OpenROAD version: v2.0-7328-g3dc4848b3\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /home/yuanjiadong/openroad\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY)\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- spdlog: 1.8.1\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\r\n-- STA version: 2.4.0\r\n-- STA git sha: 20805c83cf4817f6d2ca7867a52dac226515a21e\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /home/yuanjiadong/openroad\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/yuanjiadong/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- GUI is enabled\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\nCMake Warning at src/CMakeLists.txt:269 (add_executable):\r\n Cannot generate a safe runtime search path for target openroad because\r\n files in some directories may conflict with libraries in implicit\r\n directories:\r\n\r\n runtime library [libtcl8.6.so] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libz.so.1] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libgomp.so.1] in /usr/lib/gcc/x86_64-linux-gnu/10 may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n\r\n Some of these libraries may not be found correctly.\r\n\r\n\r\nCMake Warning at src/odb/src/swig/python/CMakeLists.txt:28 (add_executable):\r\n Cannot generate a safe runtime search path for target odb_py-bin because\r\n files in some directories may conflict with libraries in implicit\r\n directories:\r\n\r\n runtime library [libtcl8.6.so] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libz.so.1] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n\r\n Some of these libraries may not be found correctly.\r\n\r\n\r\nCMake Warning at src/drt/CMakeLists.txt:176 (add_executable):\r\n Cannot generate a safe runtime search path for target trTest because files\r\n in some directories may conflict with libraries in implicit directories:\r\n\r\n runtime library [libtcl8.6.so] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libgomp.so.1] in /usr/lib/gcc/x86_64-linux-gnu/10 may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libz.so.1] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n\r\n Some of these libraries may not be found correctly.\r\n\r\n\r\n-- Generating done\r\n-- Build files have been written to: /home/yuanjiadong/OpenROAD/build\r\n\r\n\r\n\r\n\n\n### Expected Behavior\n\nOpenROAD should be compiled and installed successfully.\n\n### Environment\n\n```shell\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.10.0-18-amd64\r\nos: Debian GNU/Linux 11 (bullseye)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 10.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7328-g3dc4848b3\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY)\r\n-- The C compiler identification is GNU 10.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /home/yuanjiadong/mambaforge/bin/python3.10 (found version \"3.10.8\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /home/yuanjiadong/mambaforge/include/python3.10 (found version \"3.10.8\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.7.5\")\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\r\n-- STA version: 2.4.0\r\n-- STA git sha: 20805c83cf4817f6d2ca7867a52dac226515a21e\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/yuanjiadong/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /home/yuanjiadong/openroad/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /home/yuanjiadong/openroad/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /home/yuanjiadong/openroad/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /home/yuanjiadong/openroad/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /home/yuanjiadong/openroad/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\")\r\n-- GUI is enabled\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- Found Boost: /home/yuanjiadong/openroad/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found compoCMake Warning at src/CMakeLists.txt:269 (add_executable):\r\n Cannot generate a safe runtime search path for target openroad because\r\n files in some directories may conflict with libraries in implicit\r\n directories:\r\n\r\n runtime library [libtcl8.6.so] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libz.so.1] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libgomp.so.1] in /usr/lib/gcc/x86_64-linux-gnu/10 may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n\r\n Some of these libraries may not be found correctly.\r\n\r\n\r\nCMake Warning at src/odb/src/swig/python/CMakeLists.txt:28 (add_executable):\r\n Cannot generate a safe runtime search path for target odb_py-bin because\r\n files in some directories may conflict with libraries in implicit\r\n directories:\r\n\r\n runtime library [libtcl8.6.so] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libz.so.1] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n\r\n Some of these libraries may not be found correctly.\r\n\r\n\r\nCMake Warning at src/drt/CMakeLists.txt:176 (add_executable):\r\n Cannot generate a safe runtime search path for target trTest because files\r\n in some directories may conflict with libraries in implicit directories:\r\n\r\n runtime library [libtcl8.6.so] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libgomp.so.1] in /usr/lib/gcc/x86_64-linux-gnu/10 may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n runtime library [libz.so.1] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /home/yuanjiadong/mambaforge/lib\r\n\r\n Some of these libraries may not be found correctly.\r\n\r\n\r\nnents: serialization system thread\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.vAkC7YP8nL\n```\n\n\n### To Reproduce\n\n[CMakeError.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/11041072/CMakeError.log)\r\n[CMakeOutput.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/11041073/CMakeOutput.log)\r\n[openroad-env.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/11041074/openroad-env.log)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@JiaDYuan looks like you are using an env manager (i.e., `mambaforge`). Could you disable it and compile it again with:\r\n```\r\n./etc/Build.sh -clean\r\n```"}]} +{"num": 3067, "messages": [{"user": "### Description\r\n\r\nThis problem is related to #[191] (https://github.com/idea-fasoc/OpenFASOC/issues/191), #[193] (https://github.com/idea-fasoc/OpenFASOC/issues/193), #[3050] (https://github.com/The-OpenROAD-Project/OpenROAD/issues/3050). When using sroute and the ndr rule below to connect the ring oscillator with the power ring. We see some weird routing wires. Extra figure are showing below to describe the issue.\r\n\r\nndr rule:\r\ncreate_ndr -name NDR_5W_5S \\\r\n -spacing { *4 } \\\r\n -width { *4 }\r\nset ndr [$block findNonDefaultRule NDR_5W_5S]\r\n$ndr setHardSpacing 1\r\n\r\nIn the figure below, you can see there is couple weird routing behaviors. During the connection from a cell to the power ring, there is a sudden change of wire width even though at the same layer. Also at some of the via cut, there is extra wire added which is way more than enough.\r\n\r\n![image(2)](https://user-images.githubusercontent.com/74345454/227067300-ac8ddd44-9151-4ffd-b211-b5823161d40c.png)\r\n\r\n\r\n### Suggested Solution\r\n\r\nMaybe the detailed router can be changed to adapt to the sroute and the ndr rule. This problem might be cause by the incorrect setting of parameters in ndr rule functions.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Please provide a test case (preferably on a public PDK)"}, {"user": "[global_route.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/11073845/global_route.txt)\r\n\r\nIn OpenRoad Flow script, I changed global_route.tcl to the same as the file above (can't attach tcl file so I attached the txt) and run the design of \"./designs/nangate45/gcd/config.mk\" (to run the make file faster, you can set the wire width/spacing smaller)\r\n\r\nThe weird connection is in the figure below(on net 36):\r\n![image](https://user-images.githubusercontent.com/74345454/227822193-be41f652-848b-4bd4-b326-e4a99fe2a9d4.png)\r\n![image](https://user-images.githubusercontent.com/74345454/227822294-2d5618e4-afd1-40cc-a192-436239ef6762.png)\r\n"}, {"assistant": "From the picture it looks like the wire is connecting to that standard cell pin. The standard cell pins are very dense and using a wide wire would block access to the other pins. So we automatically apply tapering to the wire when you approach them.\r\n\r\nI'm guessing for your sroute purposes you don't want tapering but I'm not certain."}, {"assistant": "> From the picture it looks like the wire is connecting to that standard cell pin. The standard cell pins are very dense and using a wide wire would block access to the other pins. So we automatically apply tapering to the wire when you approach them.\r\n> \r\n> I'm guessing for your sroute purposes you don't want tapering but I'm not certain.\r\n\r\nI'm looking at this issue right now, and I'm wondering how I might disable tapering for sroute (and sroute only). Is there a function somewhere in a file in OpenROAD's src directory that controls this behavior? I apologize in advance if this question doesn't make sense. @maliberty"}, {"assistant": "Currently there is just a global AUTO_TAPER_NDR_NETS. You could turning it off to see if you get what you want. If so we could make it more net specific."}]} +{"num": 3069, "messages": [{"user": "### Description\r\n\r\nI have a macro that takes \"Elapsed time: 2:47:31[h:]min:sec.\", nearly 3 hours to generate abstract. I was expecting minutes to do this. The .lib and .lef are 21 and 97 mbyte respectively.\r\n\r\nI can't share the design in question, but I will look into creating a test-case based on mock-array-big.\r\n\r\nThis is a test-case in mock-array-big. It completes quite quickly, but it may still be worth profiling, and much easier to profile, to see if it can provide some insight into why generate_mock_abstract is having trouble scaling.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/941\r\n\r\n### Suggested Solution\r\n\r\nImprove performance.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Is it the .lib of the .lef generation that is taking the time?"}, {"user": "> Is it the .lib of the .lef generation that is taking the time?\r\n\r\n170 minutes:\r\n\r\n```\r\nwrite_timing_model $::env(RESULTS_DIR)/$::env(DESIGN_NAME).lib\r\n```\r\n\r\nThis takes a second or so:\r\n\r\n```\r\nwrite_abstract_lef -bloat_occupied_layers $::env(RESULTS_DIR)/$::env(DESIGN_NAME).lef\r\n```\r\n"}, {"user": "For a 2 minute generate mock abstract, we get the following perf report:\r\n\r\n```\r\n 4,79% openroad openroad [.] odb::_dbObject::getOID \u25c6\r\n 4,55% openroad openroad [.] odb::_dbObject::getType \u2592\r\n 3,09% openroad openroad [.] sta::dbNetwork::staToDb \u2592\r\n 2,01% openroad openroad [.] odb::dbTable::getPtr \u2592\r\n 1,85% openroad openroad [.] odb::_dbObject::getOwner \u2592\r\n 1,60% openroad openroad [.] odb::dbTable::getPtr \u2592\r\n 1,17% openroad openroad [.] sta::NetworkNameAdapter::id \u2592\r\n 1,13% openroad openroad [.] sta::VisitPathEnds::visitCheckEnd \u2592\r\n 1,11% openroad openroad [.] std::_Identity::operator() \u2592\r\n 1,06% openroad openroad [.] odb::dbTable::getPtr \u2592\r\n 1,05% openroad openroad [.] odb::dbId::operator unsigned int \u2592\r\n 1,03% openroad openroad [.] odb::dbTable::getPtr \u2592\r\n 1,03% openroad openroad [.] odb::dbITerm::getMTerm \u2592\r\n 1,01% openroad openroad [.] odb::dbObject::getImpl \u2592\r\n 1,00% openroad openroad [.] sta::PinIdLess::operator() \u2592\r\n 0,99% openroad openroad [.] odb::dbTable::getPtr \u2592\r\n 0,97% openroad openroad [.] sta::dbNetwork::id \u2592\r\n 0,94% openroad openroad [.] odb::dbTable::getPtr \u2592\r\n 0,94% openroad openroad [.] odb::dbSet::size \u2592\r\n 0,93% openroad openroad [.] odb::dbId::operator unsigned int \u2592\r\n 0,84% openroad openroad [.] sta::VertexIterator::findNextPin \u2592\r\n 0,81% openroad openroad [.] std::_Rb_tree::getPtr\r\n 1,70% openroad openroad [.] odb::_dbObject::getOwner\r\n 1,46% openroad openroad [.] odb::dbTable::getPtr\r\n 1,18% openroad openroad [.] odb::dbTable::getPtr\r\n 1,18% openroad openroad [.] odb::dbTable::getPtr\r\n 1,13% openroad openroad [.] odb::dbTable::getPtr\r\n 1,12% openroad openroad [.] odb::dbId::operator unsigned int\r\n 1,11% openroad openroad [.] odb::dbITerm::getMTerm\r\n 1,09% openroad openroad [.] sta::VertexIterator::findNextPin\r\n 1,02% openroad openroad [.] odb::dbTable::getPtr\r\n 0,98% openroad openroad [.] odb::dbObject::getImpl\r\n 0,94% openroad openroad [.] sta::NetworkNameAdapter::id\r\n 0,89% openroad openroad [.] sta::dbNetwork::id\r\n 0,88% openroad openroad [.] odb::dbId::operator unsigned int\r\n 0,87% openroad openroad [.] sta::PinIdLess::operator()\r\n```\r\n"}, {"user": "From a 3hr perf run (stopped with pkill -SIGINT openroad):\r\n\r\n```\r\n 8,10% openroad openroad [.] odb::_dbObject::getOID\r\n 7,94% openroad openroad [.] odb::_dbObject::getType\r\n 5,01% openroad openroad [.] sta::dbNetwork::staToDb\r\n 2,06% openroad openroad [.] std::_Rb_tree, sta::PinIdLess, std::allocator >::_M_\r\n 1,91% openroad openroad [.] std::_Identity::operator()\r\n 1,86% openroad openroad [.] odb::dbSet::size\r\n 1,84% openroad openroad [.] sta::VisitPathEnds::visitCheckEnd\r\n 1,81% openroad openroad [.] sta::NetworkNameAdapter::id\r\n 1,74% openroad openroad [.] sta::dbNetwork::id\r\n 1,55% openroad openroad [.] sta::PinIdLess::operator()\r\n 1,54% openroad openroad [.] odb::dbObject::getImpl\r\n 1,28% openroad openroad [.] sta::VertexPathIterator::findNext\r\n 1,27% openroad openroad [.] odb::_dbObject::getOwner\r\n 1,14% openroad openroad [.] odb::dbTable::getPtr\r\n 0,98% openroad openroad [.] sta::VisitPathEnds::visitClkedPathEnds\r\n 0,94% openroad openroad [.] sta::UnorderedMap::Iterator::next\r\n 0,77% openroad openroad [.] odb::dbTable::getPtr\r\n 0,75% openroad openroad [.] __gnu_cxx::__aligned_membuf::_M_addr\r\n 0,71% openroad openroad [.] sta::Search::exceptionTo\r\n 0,70% openroad openroad [.] odb::dbTable::getPtr\r\n 0,69% openroad openroad [.] odb::dbObject::getObjectType\r\n 0,68% openroad openroad [.] odb::dbTable::getPtr\r\n 0,66% openroad openroad [.] odb::dbTable::getPtr\r\n 0,65% openroad openroad [.] sta::ObjectTable::pointer\r\n 0,64% openroad openroad [.] odb::dbITerm::getMTerm\r\n 0,63% openroad openroad [.] sta::TimingArcSet::role\r\n 0,63% openroad openroad [.] std::_Rb_tree, sta::PinIdLess, std::allocator >::_S_\r\n 0,61% openroad openroad [.] odb::dbBlock::getBTerms\r\n 0,60% openroad openroad [.] sta::PathVertex::tag\r\n 0,59% openroad openroad [.] sta::VertexInEdgeIterator::next\r\n 0,57% openroad openroad [.] sta::UnorderedMap::Iterator::hasNext\r\n 0,55% openroad openroad [.] odb::dbTable::getPtr\r\n 0,54% openroad openroad [.] odb::dbId::operator unsigned int\r\n 0,52% openroad openroad [.] sta::RiseFall::index\r\n 0,51% openroad openroad [.] sta::ObjectTable::pointer\r\n 0,50% openroad openroad [.] sta::VertexIterator::findNextPin\r\n 0,46% openroad openroad [.] odb::dbId::operator unsigned int\r\n 0,46% openroad openroad [.] std::__detail::operator!=\r\n 0,43% openroad openroad [.] std::vector*, std::allocator*> >::operator[]\r\n 0,43% openroad openroad [.] sta::GatedClk::isGatedClkEnable\r\n 0,43% openroad openroad [.] sta::VertexInEdgeIterator::hasNext\r\n 0,40% openroad openroad [.] std::__detail::_Node_iterator, false, true>::operator->\r\n 0,39% openroad openroad [.] sta::VisitPathEnds::visitDataCheckEnd\r\n 0,38% openroad openroad [.] std::__detail::_Hash_node, true>::_M_next\r\n 0,38% openroad libstdc++.so.6.0.30 [.] std::_Rb_tree_increment\r\n 0,38% openroad openroad [.] sta::VertexPathIterator::hasNext\r\n 0,37% openroad openroad [.] __gnu_cxx::__aligned_membuf::_M_ptr\r\n 0,37% openroad openroad [.] std::_Rb_tree, sta::PinIdLess, std::allocator >::end\r\n 0,36% openroad openroad [.] std::_Rb_tree, std::_Select1st, sta::PinIdLess, std::allocator >::_S_\r\n 0,34% openroad openroad [.] sta::VisitPathEnds::visitGatedClkEnd\r\n 0,34% openroad openroad [.] std::__detail::_Node_iterator, false, true>::_Node_iterator\r\n 0,34% openroad openroad [.] odb::dbTable::size\r\n 0,33% openroad openroad [.] std::__detail::_Hash_node_value_base >::_M_valptr\r\n 0,33% openroad openroad [.] sta::Edge::role\r\n 0,33% openroad openroad [.] sta::Tag::states\r\n 0,33% openroad openroad [.] odb::dbSet::dbSet\r\n 0,32% openroad openroad [.] std::_Rb_tree_const_iterator::_Rb_tree_const_iterator\r\n 0,32% openroad openroad [.] sta::TimingRole::isTimingCheck\r\n 0,32% openroad openroad [.] odb::_dbObject::getDatabase\r\n 0,32% openroad openroad [.] odb::dbObject::getId\r\n 0,31% openroad openroad [.] sta::TimingArc::fromEdge\r\n 0,31% openroad openroad [.] odb::dbId::dbId\r\n\r\n```"}, {"user": "@tspyrou @maliberty My most commonly used way to profile is to suspend and resume in the debugger.\r\n\r\nLooks like there is an O(n^2) algorithm here?\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenSTA/blob/20805c83cf4817f6d2ca7867a52dac226515a21e/search/MakeTimingModel.cc#L308\r\n\r\n\r\nWhen I suspend and resume, I mostly find the CPU doing this. Though since this runs for hours, the problem could easily be elsewhere...\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/228227765-60f9e380-6b41-4f97-aa99-dbe56227043e.png)\r\n\r\n\r\nThis is also a loop that oftentimes shows up when I suspend:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/228230613-5805d4e9-5dbd-4eb8-9804-6dd732de3a8f.png)\r\n\r\n"}, {"user": "Duplicate of https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/940"}, {"assistant": "If the testcase runs quickly it does not reproduce the problem."}]} +{"num": 3070, "messages": [{"user": "### Description\n\nModifying /usr/local and ~/.local makes it convenient to compile OpenROAD, but it can damage the system w.r.t. conflicts with e.g. Ubuntu 22.04 and 22.10 behavior and it is difficult to undo the changes to /usr/local and ~/.local\n\n### Suggested Solution\n\nModify the default behavior of etc/DependencyInstaller.sh to create a folder e.g. ~/openroad-dev and install tools there. Add a \"etc/env.sh\" script that sets up env vars to point to ~/openroad-dev\r\n\r\nInstalling .deb and .rpm packages should be fine. How to uninstall them is well understood.\r\n\r\nThis behavior is reasonably easy to use and safe.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Is there a problem with using `etc/DependencyInstaller.sh -prefix=~/openroad-dev`?"}, {"user": "> Is there a problem with using `etc/DependencyInstaller.sh -prefix=~/openroad-dev`?\r\n\r\nNot as long as \"sudo etc/DependencyInstaller.sh\" doesnt modify /usr/local and ~/.local"}, {"assistant": "It shouldn't - if it does, then that's worthy of a bug report."}, {"user": "> It shouldn't - if it does, then that's worthy of a bug report.\r\n\r\nThat would be this github issue."}, {"assistant": "Can you be more specific about which files are being modified or created in /usr/local or ~/.local?"}, {"user": "> Can you be more specific about which files are being modified or created in /usr/local or ~/.local?\r\n\r\nI was told that it does. I didnt want to run the script to find out, because it messed up my machine. I will follow up next week."}, {"user": "@rovinski Example. With latest OpenROAD, cmake system install is changed, which breaks other things in my development machine:\r\n\r\n```\r\n$ which cmake\r\n/usr/bin/cmake\r\n$ sudo etc/DependencyInstaller.sh\r\n$ which cmake\r\n/usr/local/bin/cmake\r\n```\r\n"}, {"assistant": "@oharboe the problem is that you are using `sudo` to install. This means you are installing to system directories, and this is expected behavior. If you run with `etc/DependencyInstaller.sh -prefix=DIR` then it will install to `DIR` and not override any of your PATH."}, {"user": "> @oharboe the problem is that you are using `sudo` to install. This means you are installing to system directories, and this is expected behavior. If you run with `etc/DependencyInstaller.sh -prefix=DIR` then it will install to `DIR` and not override any of your PATH.\r\n\r\nI have done both: if you run DependencyInstaller.sh wo arguments, you must prefix sudo as it installs to /usr/local.\r\n\r\nI think the solution to this usability issue is to always add sudo and then drop down to the user when installing user bits. That way the user can never do anything wrong: always add sudo."}, {"assistant": "I disagree, many users don't have sudo access on their machine. I think it's fully reasonable to do:\r\n`sudo DependencyInstaller.sh` --> Install to `/usr/local`\r\n`DependencyInstaller.sh -local` --> Install to `$HOME/.local`\r\n`DependencyInstaller.sh -prefix=DIR` --> Install to `DIR`\r\n\r\nI think `DependencyInstaller.sh -help` is reasonably clear about this. Maybe the script could error if not invoked with sudo or another option, I suppose it doesn't do that right now."}, {"user": "> I disagree, many users don't have sudo access on their machine.\r\n\r\nGood point. Though they will need help to run `sudo etc/DependencyInstaller.sh -common`. I don't see package installation procedures with sudo being avoided altogether without rather a lot of work.\r\n\r\nStill... I think that `DependencyInstaller.sh` has sharp corners that's easy to snag on."}, {"user": "moot after sudo ./setup.sh was introduced. DependencyInstaller.sh is now an implementation detail used by setup.sh and docker images and the API is not critical as users are not exposed to it."}]} +{"num": 3071, "messages": [{"user": "### Description\n\nAs stated in title, currently OpenROAD doesn't support multi-cut vias which could be a crucial functionality as shown in the figure below.(In FlewPR.cpp) So I believe it's impossible to set constraint in ndr rule for multi-cut vias since it's basically not support in the OpenROAD router.\r\n\r\n![image](https://user-images.githubusercontent.com/74345454/227268309-bceac4e4-b97d-4320-8670-736e013cab6c.png)\r\n\n\n### Suggested Solution\n\nFurther modification need to be done for the router or create a new router just for multi-cut vias.\n\n### Additional Context\n\n_No response_"}, {"assistant": "This is a known limitation to the detailed router and it has been discussed before. It would take some work to implement proper via selection, but we haven't had anyone to commit significant work on this.\r\n\r\nI think @antonblanchard may be looking at better via selection such as in #2940 and #3021 but I think it was mostly geared towards single-cut vias. PDN definitely has support for multi-cut vias, but that's completely separate code.\r\n\r\nThe main issue is that there are some parts of DRT which are hard-coded to assume single-cut vias, and gc is not set up to check for multi-cut rules. dr may need adjustments to the costing to use multi-cut vias as well.\r\n\r\nIf there is someone to someone to look at these issues, then DRT could have better support for multi-cut vias."}, {"assistant": "I had a conversation with someone on the opensilicon Slack about how to force DRT to use double-cut vias. What they ended up doing was making a single-cut via with the same footprint as the double-cut via, then after DRT ran, they replaced the single-cut via with the double-cut via and checked for DRC errors. That process seemed to work for them, but largely because their PDK had uncomplicated via spacing rules. In more advanced PDKs, this process may not work."}, {"assistant": "For this use model I expect the user will give the vias they want the router to use in the form of an NDR. It will require enhancing the router to support the rules related to such vias but via selection itself will be a user responsibility (at least for now). At least gc will have to be smarter if not dr."}, {"user": "> \r\n\r\nI think the current NDR rule doesn't really support user to specify custom vias for each layer. I couldn't find any exmaple in OpenROAD and I have tried the via name in tlef file. But it doesn't work. I'm not sure if I'm using it in the right way tho:\r\n\r\ncreate_ndr -name NDR_5W_5S \\\r\n -spacing { *3 } \\\r\n -width { *3 } \\\r\n -via { L1M1_PR_C M1M2_PR M2M3_PR M3M4_PR M3M5_PR }\r\n\r\nSo in the via input, I just put in all the vias use for connection consecutively.\r\n"}, {"assistant": "@maliberty I was wondering if `create_ndr` is documented somewhere. I could not find any mention of it in the docs. Would you please shed some light on how to use it? My goal is to be able to insert at least double cut vias during routing. Any example or pointer to documentation would be welcome. \r\n \r\n> For this use model I expect the user will give the vias they want the router to use in the form of an NDR. It will require enhancing the router to support the rules related to such vias but via selection itself will be a user responsibility (at least for now). At least gc will have to be smarter if not dr.\r\n\r\n"}, {"assistant": "We only support width and spacing ndrs currently."}, {"assistant": "I was under the impression when reading #3250, that support for custom vias was added... Is my understanding wrong?\r\n> We only support width and spacing ndrs currently.\r\n\r\n"}, {"assistant": "@eder-matheus please comment on the via support in NDRs."}, {"assistant": "@gkamendje The mentioned PR added the ability to specify custom vias in an NDR and assign it to specific nets. You can see an example of how to use it here: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/drt/test/ndr_vias2.tcl#L9-L19.\r\n\r\nHowever, as @maliberty commented, the detailed router still needs to get official support for multi-cut vias, so you may find issues when using it."}, {"assistant": "@eder-matheus thanks for the hint. I am using the ORFS. What would be the best place to create the NDRs? Should they be created in `pre_global_route.tcl` file? \r\n> @gkamendje The mentioned PR added the ability to specify custom vias in an NDR and assign it to specific nets. You can see an example of how to use it here: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/drt/test/ndr_vias2.tcl#L9-L19.\r\n> \r\n> However, as @maliberty commented, the detailed router still needs to get official support for multi-cut vias, so you may find issues when using it.\r\n\r\n"}, {"assistant": "> @eder-matheus thanks for the hint. I am using the ORFS. What would be the best place to create the NDRs? Should they be created in `pre_global_route.tcl` file?\r\n\r\n\r\n@gkamendje Adding it to the `pre_global_route.tcl` file should be fine. Just remember to make sure that the env var `PRE_GLOBAL_ROUTE` is correctly defined with your script.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/global_route.tcl#L5-L7"}, {"assistant": "Yep makes sense. One last question. I have seen the following syntax for `create_ndr` \r\n```\r\n-spacing { *3}\r\n-width { *3}\r\n```\r\nDoes this means that in this case the default width and spacing rules are multiplied by 3 for all layers? \r\n\r\n> > @eder-matheus thanks for the hint. I am using the ORFS. What would be the best place to create the NDRs? Should they be created in `pre_global_route.tcl` file?\r\n> \r\n> @gkamendje Adding it to the `pre_global_route.tcl` file should be fine. Just remember to make sure that the env var `PRE_GLOBAL_ROUTE` is correctly defined with your script.\r\n> \r\n> https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/global_route.tcl#L5-L7\r\n\r\n"}, {"assistant": "> Yep makes sense. One last question. I have seen the following syntax for `create_ndr`\r\n> \r\n> ```\r\n> -spacing { *3}\r\n> -width { *3}\r\n> ```\r\n> \r\n> Does this means that in this case the default width and spacing rules are multiplied by 3 for all layers?\r\n> \r\n\r\nYes, though I believe you don't need it to add that into your NDRs. AFAIK, you can just set the vias."}]} +{"num": 3077, "messages": [{"user": "### Describe the bug\r\n\r\nI see that the pins are not in order when I use -group and -order\r\n\r\n### Expected Behavior\r\n\r\nShould be grouped and ordered\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: e18c0d570151a95ebc389254d8baa0eb3cb57f00\r\nkernel: Linux 5.19.0-29-generic\r\nos: Ubuntu 22.10 (Kinetic Kudu)\r\ncmake version 3.24.2\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nI have seen this in a private repository, it is not reproducible here: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/905\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/227732031-2691e104-7c34-4777-a70c-212b23a41241.png)\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Trying on private project, assert...\r\n\r\n```\r\nUsing 2 tracks default min distance between IO pins.\r\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = std::vector; _Alloc = std::allocator >; std::vector<_Tp, _Alloc>::reference = std::vector&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000000000CDF647 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FBB2F1D0400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x0000000000CDF722 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# ppl::HungarianMatching::createMatrix() in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# ppl::HungarianMatching::findAssignment() in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# ppl::IOPlacer::findPinAssignment(std::vector >&) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# ppl::IOPlacer::run(bool) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# 0x0000000000E563BD in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n```"}, {"user": "The assert above made me file this suggestion: https://github.com/The-OpenROAD-Project/OpenROAD/issues/3085"}, {"assistant": "@oharboe About the groups not being ordered, how many pins do you have in this group? Are they also constrained to a specific region?"}, {"user": "> @oharboe About the groups not being ordered, how many pins do you have in this group? Are they also constrained to a specific region?\r\n\r\nThe setup is very similar to mock-array-big, but with 64 bit datapaths.\r\n\r\nThe next step is to bottom out on the assert above, requires a full debug setup locally, to see if this is actually working and this is just an error in the .tcl."}, {"user": "I tried to get some more debug information: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/discussions/927"}, {"user": "Segfault fix: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3100"}, {"user": "@eder-matheus Close?"}]} +{"num": 3082, "messages": [{"user": "### Describe the bug\n\nI meet the bug when accept ispd2015 for mpl2\r\n\r\n\"OpenROAD v2.0-7231-gff3b43866\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /home/data/ispd15/mgc_fft_b/tech.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2007): NAMESCASESENSITIVE statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/data/ispd15/mgc_fft_b/tech.lef at line 4.\r\n\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal1 :\"MINSTEP 0.100 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal1 :\"SPACING 0.11 ENDOFLINE 0.12 WITHIN 0.045 PARALLELEDGE 0.11 WITHIN 0.11 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via1 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal2 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal2 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via2 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal3 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal3 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via3 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal4 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal4 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via4 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal5 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal5 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 472.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 482.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 638.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 648.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 804.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 814.\r\n\r\n[INFO ODB-0223] Created 9 technology layers\r\n[INFO ODB-0224] Created 64 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/data/ispd15/mgc_fft_b/tech.lef\r\n[INFO ODB-0222] Reading LEF file: /home/data/ispd15/mgc_fft_b/cells.lef\r\n[INFO ODB-0225] Created 16 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/data/ispd15/mgc_fft_b/cells.lef\r\n[WARNING ORD-1011] LEF master in01f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master oa12f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master oa22f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ao12f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master no02f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ao22s01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master na03f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master na02f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master no03m01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h0 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h1 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h2 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h3 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h4 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h5 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ms00f80 has no liberty cell.\r\n[INFO ODB-0128] Design: fft\r\n[WARNING ODB-0249] skipping undefined net vss encountered in FLOORPLAN DEF\r\n[WARNING ODB-0249] skipping undefined net vdd encountered in FLOORPLAN DEF\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0103] error: undefined NONDEFAULTRULE (DWDS) referenced\r\n[WARNING ODB-0149] DEF parser returns an error!\"\n\n### Expected Behavior\n\nDEF finish\n\n### Environment\n\n```shell\nGit commit https://github.com/The-OpenROAD-Project/OpenROAD/commit/ff3b43866c9c65b9b2e0f64323f4c1f719752a3c\r\nkernel: Linux 5.4.0-122-generic\r\nos: Ubuntu 18.04.6 LTS\r\ncmake version 3.24.2\r\ngcc version 8.4.0 (Ubuntu 8.4.0-1ubuntu1~18.04)\n```\n\n\n### To Reproduce\n\n[mgc_fft_b.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11069103/mgc_fft_b.zip)\r\n[mgc_fft_b_tcl.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11069104/mgc_fft_b_tcl.zip)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n![image](https://user-images.githubusercontent.com/74290495/227708795-51386755-ba5b-4a36-b315-b1ac9ed91847.png)\r\n\r\n![image](https://user-images.githubusercontent.com/74290495/227708784-56ae7e64-ad0d-4073-b9a4-8cc01cd5322c.png)\n\n### Additional Context\n\n#"}, {"user": "Thank you very much! The bug has been fixed, but a new one has arisen. I'm not sure if it's related to the file reading issue or not. I've submitted a new issue #3101."}]} +{"num": 3085, "messages": [{"user": "### Description\n\nExceptions are more informative than asserts. I seem to recall that there's special code to give line numbers for a stacktrace of an exception in OpenROAD?\r\n\r\nConsider the example alert, not very informative, I can't look at the calling code location.\r\n\r\nAnother problem is that if you have an open file, then the partially written file is not flushed to disk, whereas exception cleanup code will close the file so the partially written file can be examined.\r\n\r\n```\r\nUsing 2 tracks default min distance between IO pins.\r\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = std::vector; _Alloc = std::allocator >; std::vector<_Tp, _Alloc>::reference = std::vector&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000000000CDF647 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FBB2F1D0400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# 0x0000000000CDF722 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# ppl::HungarianMatching::createMatrix() in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# ppl::HungarianMatching::findAssignment() in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# ppl::IOPlacer::findPinAssignment(std::vector >&) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# ppl::IOPlacer::run(bool) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# 0x0000000000E563BD in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n```\r\n\r\n\r\n\n\n### Suggested Solution\n\n```C++\r\nextern \"C\" void ThrowExceptionOnAbort(int signal_number) {\r\n throw std::runtime_error(\"abort(\" + std::to_string(signal_number) +\r\n \") invoked\");\r\n}\r\n\r\n/*Do this early in your program's initialization */\r\n\r\nint main(int argc, char **argv) {\r\n try {\r\n signal(SIGABRT, &ThrowExceptionOnAbort);\r\n...\r\n```\n\n### Additional Context\n\n_No response_"}, {"assistant": "I think line numbers are about whether you are compiled debug or not. I don't think it relates to the signal. We already have signal handlers in Main.cc"}, {"user": "> I think line numbers are about whether you are compiled debug or not. I don't think it relates to the signal. We already have signal handlers in Main.cc\r\n\r\nMakes sense.\r\n\r\nCould the docker build be updated to have debug info? \r\n\r\nIt would not increase the docker build size much, since it is already gigabytes..."}, {"assistant": "Debug with optimized will give line numbers but not always the right ones. Is that what you mean?\r\n\r\nDebug without optimization is a lot slower to run so we wouldn't do that by default. "}, {"user": "> Debug with optimized will give line numbers but not always the right ones. Is that what you mean?\r\n> \r\n> Debug without optimization is a lot slower to run so we wouldn't do that by default.\r\n\r\nYes, optimized with line numbers. These line numbers are of course a \"best guess\".\r\n\r\nThis would also open up to using \"perf\" with the docker image."}, {"assistant": "@vvbandeira would you make this change to docker. Using ```cmake -DCMAKE_BUILD_TYPE=RelWithDebInfo ...``` should do it."}, {"user": "> @vvbandeira would you make this change to docker. Using `cmake -DCMAKE_BUILD_TYPE=RelWithDebInfo ...` should do it.\r\n\r\nBelay that. RelWithDebugInfo is slower, surprisingly. Use `cmake -DCMAKE_BUILD_TYPE=RELEASE -DCMAKE_CXX_FLAGS=-g`, it doesnt affect runtime peeformance."}, {"assistant": "I found:\r\n```\r\nCMAKE_BUILD_TYPE=Release # defaults to -O3 -DNDEBUG\r\nCMAKE_BUILD_TYPE=RelWithDebInfo # defaults to -O2 -g\r\n```\r\nso there is some difference."}, {"assistant": "This idea is moot anyways as you can't throw an exception from a signal handler."}]} +{"num": 3096, "messages": [{"user": "### Describe the bug\n\nThis causes problems because the access permissions will be different and /tmp/installers will fail for mysterious reasons.\r\n\r\nThe conflict can be between two normal users or between one user and root.\n\n### Expected Behavior\n\nTwo independent users on the same machine should be able to install OpenROAD without trouble.\n\n### Environment\n\n```shell\nlatest master\n```\n\n\n### To Reproduce\n\nRun etc/DepedencyInstaller.sh and see that a folder /tmp/installers is always created\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Realistically the installer should be using `mktemp` instead of `mkdir` which guarantees that the created directory is unique."}]} +{"num": 3101, "messages": [{"user": "### Describe the bug\r\n\r\nI am running MPL2 and encountered a failure message of \"Creating the pin blockage for root cluster\". After seeing \"Traversed logical hierarchy\", all the number of instance show 0. I am unsure if the data was not loaded or if the failure is due to the absence of the lib file in ISPD 2015 which caused MPL2 to fail.\r\n\r\n```\r\nOpenROAD v2.0-7405-gcd9320ef9 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /home/data/ispd15/mgc_fft_b/tech.lef\r\n[WARNING ODB-0220] WARNING (LEFPARS-2007): NAMESCASESENSITIVE statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/data/ispd15/mgc_fft_b/tech.lef at line 4.\r\n\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal1 :\"MINSTEP 0.100 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal1 :\"SPACING 0.11 ENDOFLINE 0.12 WITHIN 0.045 PARALLELEDGE 0.11 WITHIN 0.11 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via1 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal2 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal2 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via2 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal3 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal3 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via3 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal4 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal4 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer via4 :\"SPACING 0.13 PARALLELOVERLAP ;\"\r\n[INFO ODB-0388] unsupported LEF57_MINSTEP property for layer metal5 :\"MINSTEP 0.1 MAXEDGES 1 ;\"\r\n[INFO ODB-0388] unsupported LEF57_SPACING property for layer metal5 :\"SPACING 0.12 ENDOFLINE 0.14 WITHIN 0.045 PARALLELEDGE 0.12 WITHIN 0.12 ;\"\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 472.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 482.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 638.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 648.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 804.\r\n\r\n[WARNING ODB-0220] WARNING (LEFPARS-2019): TOPOFSTACKONLY statement is obsolete in version 5.6 and later.\r\nThe LEF parser will ignore this statement.\r\nTo avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later See file /home/data/ispd15/mgc_fft_b/tech.lef at line 814.\r\n\r\n[INFO ODB-0223] Created 9 technology layers\r\n[INFO ODB-0224] Created 64 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/data/ispd15/mgc_fft_b/tech.lef\r\n[INFO ODB-0222] Reading LEF file: /home/data/ispd15/mgc_fft_b/cells.lef\r\n[INFO ODB-0225] Created 16 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/data/ispd15/mgc_fft_b/cells.lef\r\n[WARNING ORD-1011] LEF master in01f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master oa12f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master oa22f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ao12f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master no02f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ao22s01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master na03f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master na02f01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master no03m01 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h0 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h1 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h2 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h3 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h4 has no liberty cell.\r\n[WARNING ORD-1011] LEF master h5 has no liberty cell.\r\n[WARNING ORD-1011] LEF master ms00f80 has no liberty cell.\r\n[INFO ODB-0128] Design: fft\r\n[WARNING ODB-0249] skipping undefined net vss encountered in FLOORPLAN DEF\r\n[WARNING ODB-0249] skipping undefined net vdd encountered in FLOORPLAN DEF\r\n[INFO ODB-0252] Updated 3010 pins.\r\n[INFO ODB-0253] Updated 30631 components.\r\n[INFO ODB-0254] Updated 32088 nets and 97138 connections.\r\narea_weight_ = 0.1\r\noutline_weight_ = 100.0\r\nwirelength_weight_ = 100.0\r\nguidance_weight_ = 10.0\r\nfence_weight_ = 10.0\r\nboundary_weight_ = 20.0\r\nnotch_weight_ = 10.0\r\nmacro_blockage_weight_ = 10.0\r\nhalo_width_ = 0.0\r\nFloorplan Outline: (0.0, 0.0) (800.0, 800.0), Core Outline: (0, 0) (800, 800)\r\nTraversed logical hierarchy\r\n Number of std cell instances : 0\r\n Area of std cell instances : 0.00\r\n Number of macros : 0\r\n Area of macros : 0.00\r\n Total area : 0.00\r\n Design Utilization : 0.00\r\n Core Utilization: 0.00\r\n\r\nnum level: 2, max_macro: 20, min_macro: 10, max_inst:200000, min_inst:10000\r\n\r\nCreate Data Flow\r\n\r\nPerform Clustering..\r\n\r\nPrint Physical Hierachy Tree\r\n\r\nroot (0) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L0 (1) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L1 (2) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L2 (3) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T0 (4) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T1 (5) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T2 (6) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R0 (7) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R1 (8) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R2 (9) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B0 (10) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B1 (11) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B2 (12) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n\r\nBreak mixed clusters into std cell and macro clusters.\r\n\r\nPrint Physical Hierachy Tree after splitting std cell and macros in leaf clusters\r\n\r\nroot (0) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L0 (1) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L1 (2) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L2 (3) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T0 (4) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T1 (5) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T2 (6) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R0 (7) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R1 (8) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R2 (9) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B0 (10) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B1 (11) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B2 (12) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\nDetermine shaping function for clusters -- Macro Tilings.\r\n\r\nCreating the pin blockage for root cluster\r\n/usr/include/c++/8/bits/stl_vector.h:950: std::vector<_Tp, _Alloc>::const_reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) const [with _Tp = std::pair; _Alloc = std::allocator >; std::vector<_Tp, _Alloc>::const_reference = const std::pair&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\n^C\r\n```\r\n\r\n### Expected Behavior\r\n\r\n\"Creating the pin blockage for root cluster\" succeed\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit https://github.com/The-OpenROAD-Project/OpenROAD/commit/cd9320ef9076376a53e4171ccff6d11d6980c346\r\nkernel: Linux 5.4.0-122-generic\r\nos: Ubuntu 18.04.6 LTS\r\ncmake version 3.24.2\r\ngcc version 8.4.0 (Ubuntu 8.4.0-1ubuntu1~18.04)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[mgc_fft_b.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11087863/mgc_fft_b.zip)\r\n[mgc_fft_b_tcl.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11087864/mgc_fft_b_tcl.zip)\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n![image](https://user-images.githubusercontent.com/74290495/228196944-4d0b43d3-752e-4355-a9ab-440f8bd89b09.png)\r\n![image](https://user-images.githubusercontent.com/74290495/228197101-828d363c-125e-4e57-b6e3-6cde58fd9e44.png)\r\n![image](https://user-images.githubusercontent.com/74290495/228197192-82ad2500-ec61-4395-8b3b-c6df8193b49f.png)\r\n![image](https://user-images.githubusercontent.com/74290495/228197314-277256ac-b808-462c-904c-a0c46d4cd532.png)\r\n\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "![Screenshot from 2023-08-28 14-34-23](https://github.com/The-OpenROAD-Project/OpenROAD/assets/85787913/2c85e720-7dd1-4765-a5db-b36fdfb79db2)\r\n@LJK314 \r\nSeems you have pre-placed macros with `floorplan.def`. Is same macros or other macros trying to place with `rtl_macro_placer` again?"}, {"user": "Thank you so much for your response. I do intend to use the rtl_macro_placer to place all macro again.Would resetting the macro's state resolve this bug?\n\n\n| |\n\u674e\u6c5f\u8003\n|\n|\n***@***.***\n|\n\u7b7e\u540d\u7531\u7f51\u6613\u90ae\u7bb1\u5927\u5e08\u5b9a\u5236\nOn 8/28/2023 ***@***.***> wrote\uff1a\n\n\n@LJK314\nSeems you have pre-placed macros with floorplan.def. Is same macros or other macros trying to place with rtl_macro_placer again?\n\n\u2014\nReply to this email directly, view it on GitHub, or unsubscribe.\nYou are receiving this because you were mentioned.Message ID: ***@***.***>"}, {"assistant": "What is the goal here? The macros are already placed so there is nothing for macro placement to do. I'll fix the crash but this is a no-op."}]} +{"num": 3108, "messages": [{"user": "### Describe the bug\n\nWhen attempting to write_sdc, the result appears to be a gzip file:\r\n\r\n![image](https://user-images.githubusercontent.com/12652988/228668901-bd78477b-3890-4e56-88ad-09c31d9543a1.png)\r\n\r\nThe command is just `write_sdc`. There's no `-gzip` command being passed.\r\n\r\nRegardless, `read_sdc` fails on this gzipped file.\n\n### Expected Behavior\n\nThe file should be plain text, unless we're missing something?\n\n### Environment\n\n```shell\nGit commit: 5d4aeb1c605719de28366908f4fbaae439a57d05\r\nkernel: Linux 6.0.19\r\nos: NixOS 22.11 (Raccoon)\r\ncmake version 3.24.3\r\n-- The CXX compiler identification is Clang 11.1.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /nix/store/naqfglx95i283dwna1zzqfrq3zgyfg89-clang-wrapper-11.1.0/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7456-gd5e88bef0\r\n-- System name: Linux\r\n-- Compiler: Clang 11.1.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /var/empty/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is Clang 11.1.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /nix/store/naqfglx95i283dwna1zzqfrq3zgyfg89-clang-wrapper-11.1.0/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /nix/store/65cp4izx3bllnwqn7c7dhrq9h9gmjkal-python3-3.10.9/bin/python3.10 (found version \"3.10.9\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing CMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nCMake Error at /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake:141 (find_package):\r\n Found package configuration file:\r\n\r\n /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/boost_serialization-1.79.0/boost_serialization-config.cmake\r\n\r\n but it set boost_serialization_FOUND to FALSE so package\r\n \"boost_serialization\" is considered to be NOT FOUND. Reason given by\r\n package:\r\n\r\n No suitable build variant has been found.\r\n\r\n The following variants have been tried and rejected:\r\n\r\n * libboost_serialization.so.1.79.0 (shared, Boost_USE_STATIC_LIBS=ON)\r\n\r\nCall Stack (most recent call first):\r\n /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake:262 (boost_find_component)\r\n /nix/store/0257lz452871n4sp11afxfhm1g94dj4b-cmake-3.24.3/share/cmake-3.24/Modules/FindBoost.cmake:594 (find_package)\r\n src/drt/CMakeLists.txt:49 (find_package)\r\n\r\n\r\nTest C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Failed\r\n-- TCL library: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n-- TCL header: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/include/tcl.h\r\n-- TCL readline library: /nix/store/90fgbs1df2m2m7x0p40s35fviz1y3kj4-tclreadline-2.3.8/lib/libtclreadline.so\r\n-- TCL readline header: /nix/store/90fgbs1df2m2m7x0p40s35fviz1y3kj4-tclreadline-2.3.8/include\r\n-- Found SWIG: /nix/store/b31v2mp1mla6qbiwjlgixjnh5x426aw8-swig-3.0.12/bin/swig (found suitable version \"3.0.12\", minimum required is \"3.0\") \r\n-- Found Boost: /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found version \"1.79.0\") \r\n-- boost: 1.79.0\r\n-- Found Python3: /nix/store/65cp4izx3bllnwqn7c7dhrq9h9gmjkal-python3-3.10.9/include/python3.10 (found version \"3.10.9\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /nix/store/fblaj5ywkgphzpp5kx41av32kls9256y-zlib-1.2.13/lib/libz.so (found version \"1.2.13\") \r\n-- spdlog: 1.10.0\r\n-- Found BISON: /nix/store/1gl7s2jiq3hfliz3giv7hwc6gwl8w5p2-bison-3.8.2/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 20805c83cf4817f6d2ca7867a52dac226515a21e\r\n-- System name: Linux\r\n-- Compiler: Clang 11.1.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /var/empty/local\r\n-- Found FLEX: /nix/store/5lzf74h8ygk5y5r1cn8a6qc9567cwcw1-flex-2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n-- TCL header: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/donn/efabless/openroad/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found Protobuf: /nix/store/lyklcycj3jdbg6bp80n7pjivizzcfr0c-protobuf-3.21.8/lib/libprotobuf.so (found version \"3.21.8\") \r\n-- Found re2: /nix/store/7b2zq7xn1yayhxmrwgsxklfckxyzhfqv-re2-2022-06-01-dev/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found GLPK: /nix/store/ycwzcwxr4a3ldpa7b19ypp9zpcxx4gql-glpk-5.0/lib/libglpk.so \r\n-- Found Eigen3: /nix/store/gzbmbqgjgqm91syx80vn8lzj6gmljw5q-eigen-3.4.0/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\n`./run.sh`\r\n\r\n[repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11105560/repro.tar.gz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Is this really your build configuration: ```Configuring incomplete, errors occurred!```\r\n\r\nI ran with the head of OR (d5e88bef0089b8d0525f46ac1e7f74c352b16e26) and ./05-openroad-floorplan/spm.sdc is a plain text file."}, {"user": "I define `USE_SYSTEM_BOOST`, the `./etc/Env.sh` script just doesn't account for that.\r\n\r\nI'll try again with the commit you've mentioned."}, {"user": "Tested- same problem.\r\n\r\nProbably either a weird dependency version problem or an environment variable... urgh"}, {"user": "Ugh, yep, a corner case to end all corner cases.\r\n\r\nSo `write_sdc` uses `gzopen`:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenSTA/blob/882681a674e7089e5f02de4ba2050c6be32b6cd1/sdc/WriteSdc.cc#L330\r\n\r\n...which in my compiled OR binary is:\r\n\r\nhttps://github.com/The-OpenROAD-Project/abc/blob/95b3543e928640dfa25f9e882e72a090a8883a9c/src/misc/zlib/gzlib.c#L198\r\n\r\nas a shim for:\r\n\r\nhttps://github.com/The-OpenROAD-Project/abc/blob/95b3543e928640dfa25f9e882e72a090a8883a9c/src/misc/zlib/gzlib.c#L92\r\n\r\nThe OpenSTA invocation uses \"T\", a mode flag that isn't supported by this implementation which it simply silently ignores. My hypothesis currently is that if ABC is built in an environment without a system `zlib`, it builds the included zlib, which causes this break. Testing further..."}, {"user": "Yeah, it's because I compile OpenROAD abc standalone then OpenROAD. I opened a PR for `abc`."}, {"assistant": "You'll need to email Alan, the abc author, directly. He doesn't often respond to PRs unless pointed at one though email"}, {"user": "@QuantamHD This is OpenROAD's ABC fork..."}, {"assistant": "Ah you're totally right. I'm not sure we're committing patches to those forks though."}]} +{"num": 3125, "messages": [{"user": "### Describe the bug\n\nWhen using NDR rule with custom via in OpenFasoc, I found the issue that the added vias are not actually used/connected during detail routing. And it reports the error below. Basically I think the adding custom vias into NDR rule doesn't do anything. This issue is linked to [#200](https://github.com/idea-fasoc/OpenFASOC/issues/200)\r\n\n\n### Expected Behavior\n\nThe custom vias are used and connected corrected during detail routing\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 3.10.0-1160.88.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 12.1.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/local/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7480-g8a4065b09\r\n-- System name: Linux\r\n-- Compiler: GNU 12.1.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is GNU 12.1.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/local/bin/gcc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/local/bin/python3.10 (found version \"3.10.2\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL readline library: /usr/lib64/libtclreadline.so\r\n-- TCL readline header: /usr/include\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version \"1.72.0\") \r\n-- boost: 1.72.0\r\n-- Found Python3: /usr/local/include/python3.10 (found version \"3.10.2\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.7\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.0.4\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.5\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 882681a674e7089e5f02de4ba2050c6be32b6cd1\r\n-- System name: Linux\r\n-- Compiler: GNU 12.1.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.5.37\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/wentian/Desktop/OpenROAD1/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.3.9\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version \"1.72.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.JxZ6h8l13a\n```\n\n\n### To Reproduce\n\nrun this tcl file with temp sense generator in OpenFasoc\r\n[pre_global_route.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/11140709/pre_global_route.txt)\r\n\n\n### Relevant log output\n\n```shell\nERROR Output:\r\nError: pg_VIN 2 pin not visited #guides = 24\r\nError: checkConnectivity break, net pg_VIN\r\nObjs not visited:\r\nfrPathSeg: begin (96830 22950 ) end ( 96830 23290 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (96830 22780 ) end ( 96830 22950 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (96830 22780 ) end ( 97750 22780 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (97750 22780 ) end ( 99820 22780 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 0\r\nfrPathSeg: begin (82110 20740 ) end ( 84870 20740 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (84870 20740 ) end ( 86940 20740 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 0\r\nfrPathSeg: begin (82110 20740 ) end ( 82110 22950 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (72910 22950 ) end ( 82110 22950 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (91770 22100 ) end ( 91770 23290 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (86940 22100 ) end ( 91770 22100 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (86940 20740 ) end ( 86940 22100 ) layerNum 8\r\n\tbeginStyle: 0\r\n\tendStyle: 1\r\nfrPathSeg: begin (91770 23290 ) end ( 96830 23290 ) layerNum 4\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (71300 49300 ) end ( 72910 49300 ) layerNum 8\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrPathSeg: begin (72910 22950 ) end ( 72910 49300 ) layerNum 6\r\n\tbeginStyle: 1\r\n\tendStyle: 1\r\nfrVia: at ( 96830 22950 )\r\nVIA DEF:\r\nVIA L1M1_PR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nfrVia: at ( 96830 22780 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 82110 20740 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 82110 22950 )\r\nVIA DEF:\r\nVIA L1M1_PR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nfrVia: at ( 72910 22950 )\r\nVIA DEF:\r\nVIA L1M1_PR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nfrVia: at ( 91770 23290 )\r\nVIA DEF:\r\nVIA L1M1_PR DEFAULT\r\n RECT -85 -85 85 85\r\n RECT -85 -85 85 85\r\n RECT -145 -115 145 115\r\nfrVia: at ( 91770 22100 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 72910 49300 )\r\nVIA DEF:\r\nVIA M2M3_PR DEFAULT\r\n RECT -140 -185 140 185\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nfrVia: at ( 71300 49300 )\r\nVIA DEF:\r\nVIA M3M4_PR DEFAULT\r\n RECT -190 -160 190 160\r\n RECT -100 -100 100 100\r\n RECT -165 -165 165 165\r\nINSTTERM: (INST/CELL/TERM/NET) temp_analog_1.a_header_2 HEADER VIN pg_VIN\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "HI, @Wen-Tian-Pineapple. Could you attach a reproducible testcase? I wasn't able to build OpenFASOC on my machine. A `.tar` file with the necessary files and scripts would be nice."}, {"assistant": "@Wen-Tian-Pineapple Could you try the latest master branch? I've fixed the NDR vias issue in drt."}, {"user": "> @Wen-Tian-Pineapple Could you try the latest master branch? I've fixed the NDR vias issue in drt.\r\n\r\nNevermind, I still have the issue somehow, maybe we can possibly schedule a meeting so I can explain you better.\r\n"}, {"user": "How can I reopen this issue by the way?"}, {"assistant": "![image](https://user-images.githubusercontent.com/761514/235280533-a62db3db-ee67-4880-ac11-9e35d3cc8030.png)\r\n"}, {"assistant": "> > @Wen-Tian-Pineapple Could you try the latest master branch? I've fixed the NDR vias issue in drt.\r\n> \r\n> Nevermind, I still have the issue somehow, maybe we can possibly schedule a meeting so I can explain you better.\r\n\r\nDo you still have the same issue?\r\nAnd yes, we can schedule a meeting. A test case would also be nice if you can create a reproducible of this issue."}, {"user": "> > > @Wen-Tian-Pineapple Could you try the latest master branch? I've fixed the NDR vias issue in drt.\r\n> > \r\n> > \r\n> > Nevermind, I still have the issue somehow, maybe we can possibly schedule a meeting so I can explain you better.\r\n> \r\n> Do you still have the same issue? And yes, we can schedule a meeting. A test case would also be nice if you can create a reproducible of this issue.\r\n\r\nI think it will be quicker for me to show you this issue directly, do you have time tomorrow around 1pm, or you can suggest a time that's most comfortable with you. Thank you!"}, {"assistant": "> I think it will be quicker for me to show you this issue directly, do you have time tomorrow around 1pm, or you can suggest a time that's most comfortable with you. Thank you!\r\n\r\n@Wen-Tian-Pineapple Yes, we can talk today. What is your time zone? If it is 1pm PST, it's fine for me. You can call me directly on LinkedIn if you want: http://www.linkedin.com/in/eder-matheus-rodrigues-monteiro."}, {"user": "> > I think it will be quicker for me to show you this issue directly, do you have time tomorrow around 1pm, or you can suggest a time that's most comfortable with you. Thank you!\r\n> \r\n> @Wen-Tian-Pineapple Yes, we can talk today. What is your time zone? If it is 1pm PST, it's fine for me. You can call me directly on LinkedIn if you want: http://www.linkedin.com/in/eder-matheus-rodrigues-monteiro.\r\n\r\nSorry for the delay, I just added you on linkedin, I think you need to add me first before I can send you the vedio chat link? "}]} +{"num": 3126, "messages": [{"user": "### Describe the bug\n\nWhen using odb::dbTechViaLayerRule_create command, I found an error tagged as \"signal 6\". It doesn't allow me to create new dbTechViaLayerRule. Maybe this is an error.\n\n### Expected Behavior\n\ndbTechViaLayerRule got created successfully\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 3.10.0-1160.88.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 12.1.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/local/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7480-g8a4065b09\r\n-- System name: Linux\r\n-- Compiler: GNU 12.1.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is GNU 12.1.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/local/bin/gcc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/local/bin/python3.10 (found version \"3.10.2\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL readline library: /usr/lib64/libtclreadline.so\r\n-- TCL readline header: /usr/include\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version \"1.72.0\") \r\n-- boost: 1.72.0\r\n-- Found Python3: /usr/local/include/python3.10 (found version \"3.10.2\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.7\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.0.4\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.5\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 882681a674e7089e5f02de4ba2050c6be32b6cd1\r\n-- System name: Linux\r\n-- Compiler: GNU 12.1.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.5.37\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/wentian/Desktop/OpenROAD1/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.3.9\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version \"1.72.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.JxZ6h8l13a\n```\n\n\n### To Reproduce\n\nset db [ord::get_db]\r\nset tech [$db getTech]\r\nset block [ord::get_db_block]\r\nodb::dbTechViaLayerRule_create [odb::dbTechNonDefaultRule_create $block aa] [$tech findLayer mcon]\n\n### Relevant log output\n\n```shell\n/opt/rh/devtoolset-8/root/usr/include/c++/8/bits/stl_vector.h:932: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = odb::dbId; _Alloc = std::allocator >; std::vector<_Tp, _Alloc>::reference = odb::dbId&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__builtin_expect(__n < this->size(), true)' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000000000CBB787 in openroad\r\n 1# 0x00007FEB0DA7E400 in /lib64/libc.so.6\r\n 2# gsignal in /lib64/libc.so.6\r\n 3# abort in /lib64/libc.so.6\r\n 4# odb::dbTechViaLayerRule::create(odb::dbTechNonDefaultRule*, odb::dbTechLayer*) in openroad\r\n 5# 0x000000000118A0F1 in openroad\r\n 6# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n 7# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n 8# 0x00007FEB128B4647 in /lib64/libtcl8.5.so\r\n 9# TclEvalObjEx in /lib64/libtcl8.5.so\r\n10# 0x00007FEB128EF27F in /lib64/libtcl8.5.so\r\n11# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n12# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n13# 0x00007FEB128B4647 in /lib64/libtcl8.5.so\r\n14# TclEvalObjEx in /lib64/libtcl8.5.so\r\n15# 0x00007FEB1286F1D0 in /lib64/libtcl8.5.so\r\n16# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n17# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n18# 0x00007FEB128B4647 in /lib64/libtcl8.5.so\r\n19# TclEvalObjEx in /lib64/libtcl8.5.so\r\n20# 0x00007FEB12872F00 in /lib64/libtcl8.5.so\r\n21# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n22# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n23# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n24# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n25# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n26# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n27# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n28# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n29# 0x00007FEB128B4647 in /lib64/libtcl8.5.so\r\n30# TclEvalObjEx in /lib64/libtcl8.5.so\r\n31# 0x00007FEB128EF27F in /lib64/libtcl8.5.so\r\n32# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n33# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n34# 0x00007FEB128B4647 in /lib64/libtcl8.5.so\r\n35# TclEvalObjEx in /lib64/libtcl8.5.so\r\n36# 0x00007FEB1286F1D0 in /lib64/libtcl8.5.so\r\n37# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n38# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n39# 0x00007FEB128B4647 in /lib64/libtcl8.5.so\r\n40# TclEvalObjEx in /lib64/libtcl8.5.so\r\n41# 0x00007FEB12872F00 in /lib64/libtcl8.5.so\r\n42# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n43# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n44# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n45# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n46# 0x00007FEB128AC36C in /lib64/libtcl8.5.so\r\n47# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n48# 0x00007FEB12867EB2 in /lib64/libtcl8.5.so\r\n49# 0x00007FEB12868F1E in /lib64/libtcl8.5.so\r\n50# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n51# Tcl_Eval in /lib64/libtcl8.5.so\r\n52# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n53# ord::tclAppInit(Tcl_Interp*) in openroad\r\n54# Tcl_Main in /lib64/libtcl8.5.so\r\n55# main in openroad\r\n56# __libc_start_main in /lib64/libc.so.6\r\n57# 0x0000000000CB5F14 in openroad\r\nElapsed time: 0:00.49[h:]min:sec. CPU time: user 0.33 sys 0.08 (83%). Peak memory: 83408KB.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Can you provide a way to reproduce this crash?"}, {"user": "> \r\n\r\nyou can run the script below anywhere during the flow to reproduce it:\r\nset db [ord::get_db]\r\nset tech [$db getTech]\r\nset block [ord::get_db_block]\r\nodb::dbTechViaLayerRule_create [odb::dbTechNonDefaultRule_create $block aa] [$tech findLayer mcon]"}, {"assistant": "That doesn't work as dbTechViaLayerRule_create doesn't take a dbTechNonDefaultRule as an argument\r\n```\r\nError: foo.tcl, 10 Wrong number or type of arguments for overloaded function 'dbTechViaLayerRule_create'.\r\n Possible C/C++ prototypes are:\r\n odb::dbTechViaLayerRule::create(odb::dbTech *,odb::dbTechViaRule *,odb::dbTechLayer *)\r\n odb::dbTechViaLayerRule::create(odb::dbTech *,odb::dbTechViaGenerateRule *,odb::dbTechLayer *)\r\n```"}, {"user": "> That doesn't work as dbTechViaLayerRule_create doesn't take a dbTechNonDefaultRule as an argument\r\n> \r\n> ```\r\n> Error: foo.tcl, 10 Wrong number or type of arguments for overloaded function 'dbTechViaLayerRule_create'.\r\n> Possible C/C++ prototypes are:\r\n> odb::dbTechViaLayerRule::create(odb::dbTech *,odb::dbTechViaRule *,odb::dbTechLayer *)\r\n> odb::dbTechViaLayerRule::create(odb::dbTech *,odb::dbTechViaGenerateRule *,odb::dbTechLayer *)\r\n> ```\r\n\r\nI see. It works now, I will close the issue"}]} +{"num": 3131, "messages": [{"user": "### Describe the bug\r\n\r\nWide metal4 spacing violation between PDN strap and inner macro obstruction.\r\n\r\n### Expected Behavior\r\n\r\nNo spacing violation\r\n\r\n### OpenROAD Environment\r\nEdit:\r\n```\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\n```\r\nThis used to be an error. I will update and retry \r\n\r\nEdit2:\r\nIssue persists.\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 6.1.20-060120-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\nCMake Warning at CMakeLists.txt:96 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: 580bd35a8b17f0ea7ff8afafb6cf6d23b757063e\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/karim/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\") \r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPOCMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nRTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 882681a674e7089e5f02de4ba2050c6be32b6cd1\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /media/karim/ssd_gamed/work/ef/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.irLojsxz2U\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\n\u276f PDK_ROOT=/media/karim/ssd_gamed/work/ef/pdk python3 ./env.py issue-survey\r\nKernel: Linux v6.1.20-060120-generic\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.6 (OK)\r\nContainer Engine: docker v20.10.22 (OK)\r\nOpenLane Git Version: e8650c2b2b838989a3fb97172aadf0ee4aa78ee3\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\ne8650c2b 2023-04-04T16:46:03+02:00 `io_place.py` Fixes (#1715) - Kareem Farid - (HEAD -> master, origin/master, origin/HEAD)\r\ned194238 2023-03-29T15:14:23+02:00 Move Timing Checks After Report Generation (#1706) - Mohamed Gaber - (tag: 2023.03.30)\r\nc2944188 2023-03-29T14:34:48+02:00 Revert \"#1703\" (#1705) - Mohamed Gaber - ()\r\n---\r\nGit Remotes\r\n\r\ndonn\tgit@github.com:donn/openlane.git (fetch)\r\ndonn\tgit@github.com:donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\nhosni\tgit@github.com:mo-hosni/OpenLane.git (fetch)\r\nhosni\tgit@github.com:mo-hosni/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\r\nvvbandeira\tgit@github.com:vvbandeira/OpenLane.git (fetch)\r\nvvbandeira\tgit@github.com:vvbandeira/OpenLane.git (push)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11159003/issue_reproducible.tar.gz)\r\n\r\n```\r\nbash run.sh\r\n```\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n![2023-04-05_15-04](https://user-images.githubusercontent.com/12303699/230088985-8fb81ee2-95dc-4353-847f-63843e2f3e36.png)\r\n\r\n\r\n### Additional Context\r\n\r\nIf relevant, this issue doesn't happen with the current OpenROAD version in OpenLane - `6840b7481d49c83870f79646cf979e66f22f6833` "}, {"assistant": "@gadfort do you have time for this?"}, {"assistant": "@maliberty I'll take a look this week"}]} +{"num": 3139, "messages": [{"user": "### Describe the bug\n\nIt looks like some part of via extension/routing that is added to fix minimum area rule is not saved to `def` nor to `odb`.\r\n\n\n### Expected Behavior\n\nShould save via extension/routing.\n\n### Environment\n\n```shell\nOpenROAD v2.0-6566-g970f89da6 \r\nProprietary\n```\n\n\n### To Reproduce\n\nI cannot share the files but maybe you would have already some ideas. \r\nI can try to reproduce this on open PDK.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\nThis is how it looks like after `detailed_route`:\r\n![org](https://user-images.githubusercontent.com/4158544/230335975-bbb12121-9659-4858-a691-fbd85715271c.png)\r\n\r\nThis is how it looks like if I reopen saved design/odb:\r\n![reopen](https://user-images.githubusercontent.com/4158544/230336123-d5d771df-3762-4da3-9d4c-4afc0d1de717.png)\n\n### Additional Context\n\n_No response_"}, {"assistant": "I have no guess as the source of the problem so please try to generate a test case you can share. \r\n\r\nAre the screen shots from OR? We don't have stippling on by default."}, {"user": "The pictures are from OpenROAD GUI. Same design saved and re-opened.\r\n\r\nYou see VIA and Metal Layer."}, {"user": "It seems I can reproducer this with nangate45.\r\n\r\n1. Add minimum area rules to the `metal2` in the `LEF` file (`test/Nangate45/Nangate45_tech.lef`): add ` AREA\t0.021 ;` under `LAYER metal2` [Nangate45_tech.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11177919/Nangate45_tech.zip)\r\n2. In `test` folder run `openroad -gui gcd_nangate45.tcl`\r\n In the GUI see the small `metal2` extenuation on small `via2` (see picture).\r\n3. Open saved design `openroad -gui` and `read_db results/gcd_nangate45_route-tcl.db`\r\n Check same via the extenuation is missing (see picture).\r\n\r\nInteresting fact is that it seems if you open design after global routing, do detail routing save and open everything is fine.\r\n\r\n**Before:**\r\n![nand_flow](https://user-images.githubusercontent.com/4158544/230588533-2276bece-db20-4c80-9df3-15ceff0258c3.PNG)\r\n\r\n**Reopen**\r\n![nand_flow_reopen](https://user-images.githubusercontent.com/4158544/230588541-ec186c56-ee90-4c7d-8e77-f22e4b339831.PNG)\r\n\r\nCan try to help to write a test for this."}, {"user": "@maliberty Can try to make a PR with a test case if this would help."}, {"assistant": "This appears to be a GUI bug in that it is drawing a shape that no longer exists. That's why closing and reopening makes it go away. I'll track that down but I don't think there is any data loss here."}, {"user": "@maliberty Thank you for looking into this. Can be I do something wrong.\r\n\r\nHere is is modified library and simple script that opens the design and does detail route. \r\n[mOpenROAD_missing_test.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11193904/mOpenROAD_missing_test.zip)\r\n\r\nTo reproduce in `test` :\r\n - use attached `Nangate45/Nangate45_tech.lef`\r\n - run `openroad gcd_nangate45.tcl`\r\n - run `openroad gcd_nangate45-route.tcl` (attached)\r\n\r\nThe via on metal2 in `results/gcd_nangate45_route-reopen.db` and `results/gcd_nangate45_route-tcl.db` seems different to me."}, {"assistant": "I think there are two issues here. One is the GUI isn't updating correctly for which I have a fix. Second I don't think the shape should have been removed in the first place. That one will take a while longer to sort out."}, {"user": "No idea if this helps but I see the difference if I load `def` files too. \r\n\r\nI can try to help here but in this case no idea where to start ;-(.\r\n"}, {"assistant": "The GUI part of the problem is now fixed in master. The other part is in some terribly tricky code so I wouldn't suggest it as a first project."}, {"assistant": "I see that the current flow avoids this issue by writing the def or odb before calling extract_parasitics which has the side effect of removing the patch metal. You could use that as a workaround while I look at the issue."}, {"user": "Not sure if this helps.\r\n\r\nSome commands that seem to cause metal deletion:\r\n- `extract_parasitics`\r\n- `check_antennas`\r\n- `estimate_parasitics`\r\n\r\nSome commands do **not** cause deletion:\r\n- `filler_placement`\r\n- `write_db`\r\n- `write_def`\r\n- `add_global_connection`\r\n- `global_connect`\r\n- `write_verilog`\r\n- `write_sdc`\r\n- `report_checks`\r\n- `estimate_parasitics`"}, {"assistant": "The common code is in order_wires which is called from extract_parasitics & check_antennas. I'm not sure why estimate_parasitics is on the list though."}, {"user": "Update. Sorry. I checked one more time ` estimate_parasitics` does **NOT** cause deletion"}, {"assistant": "Does the workaround of saving the db before calling extract_parasitics work for you?"}, {"user": ">Does the workaround of saving the db before calling extract_parasitics work for you?\r\n\r\nYes\r\n\r\nI also do something like :\r\n```tcl\r\nexec openroad extract.tcl\r\nread_sdf ...\r\n```"}]} +{"num": 3140, "messages": [{"user": "### Describe the bug\n\nThe head of OR/ORFS is leaving one drc in asap7/mock-array-big.\n\n### Expected Behavior\n\n0 drc\n\n### Environment\n\n```shell\nhead of OR & ORFS\n```\n\n\n### To Reproduce\n\nRun it yourself or use https://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/2346/artifact/flow/final_report_mock-array-big_asap7_base_2023-04-06_13-17.tar.gz\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@oharboe FYI"}, {"assistant": "@maliberty this is a result to issue reported in https://github.com/The-OpenROAD-Project/OpenROAD/issues/2827\r\nThe solution is to remove this wrong check in lef58_cutspctbl, but It would increase calibre violations for the intel16 designs. The calibre violations are due to unsupported rule by openroad which is lef58_keepoutzone. I have a pr that removes the wrong check and adds the support for the keepout zone rule but it is pending on an update from louii regarding the unreasonable calibre drcs."}, {"assistant": "Does this happen with https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/955? I'm not seeing it (but I could just be missing it)"}, {"user": "#2827 has been merged so please try again."}]} +{"num": 3149, "messages": [{"user": "### Describe the bug\r\n\r\n### I've tried to run a design with very dense IO pins, and 2_2_floorplan_io failed with error \"Number of pins 2114 exceeds max possible 2084\".\r\n\r\nFirst, when I set the DIE_AREA to`0 0 51 51`, it runs **OK** with `gui_final` below.\r\n\r\n![p2](https://user-images.githubusercontent.com/57244187/230916149-9da24fea-17bb-491b-91ef-371f61c36d21.png)\r\n\r\nThen, I try to constrait the IO pins to a smaller interval, leaving the DIE_AREA unchanged. It runs **OK** too. You can see below there's some free space at the boundaries.\r\n\r\n![p1](https://user-images.githubusercontent.com/57244187/230916644-f68decd2-c28a-4265-9810-26cc7db7b703.png)\r\n\r\nIn this case, it should be OK if I just set DIE_AREA smaller. So I run again with DIE_AREA set to `0 0 50 50`. It **fails** at the 2_2_floorplan_io stage with error \"Number of pins 2114 exceeds max possible 2084\".\r\n\r\n![p3](https://user-images.githubusercontent.com/57244187/230917359-db2744f5-4730-44c9-9d05-c73f247209a9.png)\r\n\r\nI don't understand. If I can constraint pins into a apparently smaller interval and it runs OK, why I get limited when I set DIE_AREA just 1um smaller?\r\n\r\n### Expected Behavior\r\n\r\nI expect when I set DIE_AREA a little smaller, it should still runs OK, instead of limiting. \r\n\r\n**Maybe the pin number limitation should be much loose? Or there is some way for me to change the limitaion?**\r\n\r\n### Environment\r\n\r\n```shell\r\ncaitianhao@c111:/OpenROAD-flow-scripts/tools/OpenROAD$ ./etc/Env.sh \r\ntee: build/openroad-env.log: Permission denied\r\nUnknown git commit, this is not a git repository.\r\n\r\nPlease make sure that you have the latest code changes and add the commit\r\nhash in the description.\r\n\r\nkernel: Linux 5.4.0-146-generic\r\nos: Ubuntu 20.04.5 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\nCMake Warning at CMakeLists.txt:96 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\nCMake Error: Could not open file for write in copy operation /OpenROAD-flow-scripts/tools/OpenROAD/include/ord/Version.hh.tmp\r\nCMake Error: : System Error: Inappropriate ioctl for device\r\nCMake Error at CMakeLists.txt:133 (configure_file):\r\n configure_file Problem configuring file\r\n\r\n\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: HEAD-HASH-NOTFOUND\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /usr/lib/x86_64-linux-gnu/libgtest.a \r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS_CMake Error: Could not open file for write in copy operation /OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include/sta/StaConfig.hh.tmp\r\nCMake Error: : System Error: Inappropriate ioctl for device\r\nCMake Error at src/sta/CMakeLists.txt:465 (configure_file):\r\n configure_file Problem configuring file\r\n\r\n\r\n_-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.10\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: \r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring incomplete, errors occurred!\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nhttps://drive.google.com/file/d/1b1ZJ-tue9nnEg246WAT4PlvKqhZVN7-z/view?usp=sharing\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@DrSpace Could you try the branch from this PR: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3156?\r\n\r\nWith the configuration you're using in this design, the number of pins is greater than the number of available positions to place the pins. However, your script manually places pins in pre-determined positions, using multiple layers for vertical and horizontal directions. The previous check wasn't accounting for the pre-placed pins.\r\n\r\nBut notice that you may have trouble if you don't use the pre-placed pins. You can increase the number of available positions by defining multiple layers for horizontal and vertical pins (in the `place_pins` command, options `-hor_layers` and `-ver_layers`), or reducing the min distance between the pins with the option `-min_distance`.\r\n\r\nMore details about these options are described here: https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/ppl#place-all-pins"}, {"assistant": "It seem that the ORFS use an older version of OpenRoad, Would it be better to update the submodule of ORFS?"}]} +{"num": 3155, "messages": [{"user": "### Describe the bug\n\nOpenROAD crashes somewhere when attempting the resizer post-GRT.\n\n### Expected Behavior\n\nOpenROAD should not crash.\n\n### Environment\n\n```shell\nGit commit: 76dc134307d935d6516eb6679dff72c6cfb13915\r\nkernel: Linux 6.0.19\r\nos: NixOS 22.11 (Raccoon)\r\ncmake version 3.24.3\r\n-- The CXX compiler identification is Clang 11.1.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /nix/store/naqfglx95i283dwna1zzqfrq3zgyfg89-clang-wrapper-11.1.0/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7643-g76dc13430\r\n-- System name: Linux\r\n-- Compiler: Clang 11.1.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /var/empty/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /nix/store/4lmdm9a44cwa14jmwqi2wffxkjgl388a-gtest-1.12.1-dev/lib/cmake/GTest/GTestConfig.cmake (found version \"1.12.1\") \r\n-- The C compiler identification is Clang 11.1.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /nix/store/naqfglx95i283dwna1zzqfrq3zgyfg89-clang-wrapper-11.1.0/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-CMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nCMake Error at /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake:141 (find_package):\r\n Found package configuration file:\r\n\r\n /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/boost_serialization-1.79.0/boost_serialization-config.cmake\r\n\r\n but it set boost_serialization_FOUND to FALSE so package\r\n \"boost_serialization\" is considered to be NOT FOUND. Reason given by\r\n package:\r\n\r\n No suitable build variant has been found.\r\n\r\n The following variants have been tried and rejected:\r\n\r\n * libboost_serialization.so.1.79.0 (shared, Boost_USE_STATIC_LIBS=ON)\r\n\r\nCall Stack (most recent call first):\r\n /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake:262 (boost_find_component)\r\n /nix/store/0257lz452871n4sp11afxfhm1g94dj4b-cmake-3.24.3/share/cmake-3.24/Modules/FindBoost.cmake:594 (find_package)\r\n src/drt/CMakeLists.txt:49 (find_package)\r\n\r\n\r\nformat\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Failed\r\n-- TCL library: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n-- TCL header: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/include/tcl.h\r\n-- TCL readline library: /nix/store/90fgbs1df2m2m7x0p40s35fviz1y3kj4-tclreadline-2.3.8/lib/libtclreadline.so\r\n-- TCL readline header: /nix/store/90fgbs1df2m2m7x0p40s35fviz1y3kj4-tclreadline-2.3.8/include\r\n-- Found SWIG: /nix/store/b31v2mp1mla6qbiwjlgixjnh5x426aw8-swig-3.0.12/bin/swig (found suitable version \"3.0.12\", minimum required is \"3.0\") \r\n-- Found Boost: /nix/store/bjnxp0pm2xdgsmv4m8bb2y60bjd1irc2-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found version \"1.79.0\") \r\n-- boost: 1.79.0\r\n-- Found Python3: /nix/store/65cp4izx3bllnwqn7c7dhrq9h9gmjkal-python3-3.10.9/include/python3.10 (found version \"3.10.9\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /nix/store/fblaj5ywkgphzpp5kx41av32kls9256y-zlib-1.2.13/lib/libz.so (found version \"1.2.13\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.10.0\r\n-- Found BISON: /nix/store/1gl7s2jiq3hfliz3giv7hwc6gwl8w5p2-bison-3.8.2/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 882681a674e7089e5f02de4ba2050c6be32b6cd1\r\n-- System name: Linux\r\n-- Compiler: Clang 11.1.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /var/empty/local\r\n-- Found FLEX: /nix/store/5lzf74h8ygk5y5r1cn8a6qc9567cwcw1-flex-2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n-- TCL header: /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/donn/efabless/openroad/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found Protobuf: /nix/store/lyklcycj3jdbg6bp80n7pjivizzcfr0c-protobuf-3.21.8/lib/libprotobuf.so (found version \"3.21.8\") \r\n-- Found re2: /nix/store/7b2zq7xn1yayhxmrwgsxklfckxyzhfqv-re2-2022-06-01-dev/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found GLPK: /nix/store/ycwzcwxr4a3ldpa7b19ypp9zpcxx4gql-glpk-5.0/lib/libglpk.so \r\n-- Found Eigen3: /nix/store/gzbmbqgjgqm91syx80vn8lzj6gmljw5q-eigen-3.4.0/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\n```\n\n\n### To Reproduce\n\n[repro.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11199632/repro.tar.gz)\r\n\n\n### Relevant log output\n\n```shell\nOpenROAD 76dc134307d935d6516eb6679dff72c6cfb13915 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO] Setting RC values\u2026\r\n/nix/store/s652h8znmysdbxcmwlzzcwrj6bb1xyln-gcc-11.3.0/include/c++/11.3.0/bits/stl_vector.h:1045: std::vector::reference std::vector>::operator[](std::vector::size_type) [_Tp = grt::StTree, _Alloc = std::allocator]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000000000C00BFE in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n 1# 0x00007F3F49C3DBF0 in /nix/store/9xfad3b5z4y00mzmk2wnn4900q0qmxns-glibc-2.35-224/lib/libc.so.6\r\n 2# 0x00007F3F49C8ABC7 in /nix/store/9xfad3b5z4y00mzmk2wnn4900q0qmxns-glibc-2.35-224/lib/libc.so.6\r\n 3# raise in /nix/store/9xfad3b5z4y00mzmk2wnn4900q0qmxns-glibc-2.35-224/lib/libc.so.6\r\n 4# abort in /nix/store/9xfad3b5z4y00mzmk2wnn4900q0qmxns-glibc-2.35-224/lib/libc.so.6\r\n 5# 0x0000000000BEF54F in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n 6# grt::FastRouteCore::releaseNetResources(int) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n 7# grt::FastRouteCore::clearNetRoute(int) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n 8# grt::FastRouteCore::addNet(odb::dbNet*, bool, int, int, int, int, float, std::vector >*) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n 9# grt::GlobalRouter::makeFastrouteNet(grt::Net*) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n10# grt::GlobalRouter::initNets(std::vector >&) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n11# grt::GlobalRouter::updateDirtyRoutes() in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n12# rsz::Resizer::updateParasitics() in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n13# rsz::RepairSetup::repairSetup(float, double, int) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n14# 0x0000000000D6BA5A in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n15# TclNRRunCallbacks in /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n16# 0x00007F3F4CF6EBC6 in /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n17# Tcl_EvalEx in /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n18# Tcl_Eval in /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n19# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n20# ord::tclAppInit(Tcl_Interp*) in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n21# Tcl_MainEx in /nix/store/378rxqdx6lh4swhw49ifbilc6hbk7h2r-tcl-8.6.11/lib/libtcl.so\r\n22# main in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n23# 0x00007F3F49C2924E in /nix/store/9xfad3b5z4y00mzmk2wnn4900q0qmxns-glibc-2.35-224/lib/libc.so.6\r\n24# __libc_start_main in /nix/store/9xfad3b5z4y00mzmk2wnn4900q0qmxns-glibc-2.35-224/lib/libc.so.6\r\n25# _start in /nix/store/a61av2sd6wqzqdkf5x2ifbcvjql14wmc-openroad/bin/openroad\r\n./run.sh: line 67: 1263189 Aborted (core dumped) openroad -exit -metrics or_metrics_out.json $PACKAGED_SCRIPT_0\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty This looks like an issue in the router and not the resizer code. "}, {"assistant": "I found the problem, this happens because GRT is not executed before. The GRT information is read from the db file but some data is not being updated. \r\nI'm going to update that."}, {"assistant": "@donn repair_timing is going to need incremental global routing which is not available when reloading from a db today. When I look at scripts/openroad/resizer_routing_timing.tcl I see you are calling them both in one run. Is there a need for it to work on reload?\r\n\r\nI'm inclined to make this an error unless there is a strong case for it."}, {"user": "The thing is this works for sky130, it has only been when I tried this specific design for GF180 that this issue happened. Do you have more specifics as to when this is needed?\r\n\r\nI'm not opposed to just re-running GRT."}, {"assistant": "I don't see why it would be pdk dependent. I would have thought it is always needed.\r\n\r\nI don't see where in OL this actually required though."}]} +{"num": 3165, "messages": [{"user": "### Description\n\nThe text against black for these pins is illegible.\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/231435663-42365724-0288-45d3-b624-807f2edb4d8f.png)\r\n\n\n### Suggested Solution\n\nHave a default color scheme that's better adapted to be readable against black background.\n\n### Additional Context\n\nOf course it is possible to change the colors in the GUI, but this feature request is about readability in the default case without needing to configure things."}, {"assistant": "I've also noticed that the lowest layer color (dark blue / RGB(0, 0, 254)) is hard to see, eg the preferred/non preferred tracks. Perhaps the color palette used is more suited to a white background.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/9f3dc03de34aacd843716e10988c80e56abc448d/src/gui/src/displayControls.cpp#L1726"}, {"assistant": "We could turn off the alpha for the text which would make it a bit clearer. The color of the text matches the layer color which is useful if you have pins on multiple layers. \r\n![image](https://user-images.githubusercontent.com/761514/231661630-2c4a3d73-29d7-4cd2-aa9f-e005eef1e0f9.png)\r\n vs \r\n![image](https://user-images.githubusercontent.com/761514/231661672-1ab64924-1fbb-4954-a2d0-11ab1dccf556.png)\r\nWe could just draw it in white as instead.\r\n\r\n@gadfort @rovinski any preferences?"}, {"assistant": "I see that http://vrl.cs.brown.edu/color has changed some since I generated those colors (more knobs). I don't get the same set anymore fwiw. I'm open to suggestions. The first three layers have traditional values and I wouldn't change them too far."}, {"assistant": "@maliberty I would prefer to preserve the color of the text to match that of the layer, so removing the alpha might be a good solution"}, {"assistant": "Indifferent"}, {"assistant": "@antonblanchard you might try setting the alpha=255 for m1 and see if that helps with tracks."}]} +{"num": 3172, "messages": [{"user": "### Describe the bug\r\n\r\nThis only happens with release build, if I try with debug build, it doesn't happen.\r\n\r\nI can't share the data with which this is happening.\r\n\r\nI tried to make an make global_place_issue, but with the \"run*.sh\" file it doesn't happen.\r\n\r\n### Expected Behavior\r\n\r\nShould not crash.\r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.19.0-38-generic\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n\r\nNot sure why I get the error message above, OpenROAD version:\r\n\r\ncommit efaa7177e326624adf94d8c549f47e4247275b83 (HEAD, origin/master, origin/HEAD)\r\nMerge: 9f3dc03de 294b8b43b\r\nAuthor: Matt Liberty \r\nDate: Wed Apr 12 21:33:17 2023 -0700\r\n\r\n Merge pull request #2913 from antonblanchard/ta-gf14-cleanup\r\n \r\n drt/ta: Remove GF14 check in pin cost\r\n\r\n\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nrun global place with unfortunate input\r\n\r\n### Relevant log output\r\n\r\n```\r\n[repeats until SEGGFAULT]\r\nrsz::RepairDesign::repairNet(std::shared_ptr, int, int&, sta::Vector&) (@rsz::RepairDesign::repairNet(std::shared_ptr, int, int&, sta::Vector&):82)\r\nrsz::RepairDesign::repairNetWire(std::shared_ptr, int, int&, sta::Vector&) (@rsz::RepairDesign::repairNetWire(std::shared_ptr, int, int&, sta::Vector&):114)\r\nrsz::RepairDesign::repairNet(std::shared_ptr, int, int&, sta::Vector&) (@rsz::RepairDesign::repairNet(std::shared_ptr, int, int&, sta::Vector&):31)\r\nrsz::RepairDesign::repairNet(std::shared_ptr, sta::Pin const*, float, int, sta::Corner const*) (@rsz::RepairDesign::repairNet(std::shared_ptr, sta::Pin const*, float, int, sta::Corner const*):29)\r\nrsz::RepairDesign::repairNet(sta::Net*, sta::Pin const*, sta::Vertex*, bool, bool, bool, int, bool, int&, int&, int&, int&, int&) (@rsz::RepairDesign::repairNet(sta::Net*, sta::Pin const*, sta::Vertex*, bool, bool, bool, int, bool, int&, int&, int&, int&, int&):356)\r\nrsz::RepairDesign::repairDesign(double, double, double, int&, int&, int&, int&, int&) (@rsz::RepairDesign::repairDesign(double, double, double, int&, int&, int&, int&, int&):195)\r\nrsz::Resizer::findResizeSlacks() (@rsz::Resizer::findResizeSlacks():24)\r\ngpl::TimingBase::updateGNetWeights(float) (@gpl::TimingBase::updateGNetWeights(float):18)\r\ngpl::NesterovPlace::doNesterovPlace(int) (@gpl::NesterovPlace::doNesterovPlace(int):1262)\r\n_wrap_replace_nesterov_place_cmd (@_wrap_replace_nesterov_place_cmd:20)\r\nTclNRRunCallbacks (@TclNRRunCallbacks:37)\r\n___lldb_unnamed_symbol1504 (@___lldb_unnamed_symbol1504:315)\r\nTcl_EvalEx (@Tcl_EvalEx:9)\r\nTcl_Eval (@Tcl_Eval:11)\r\nsta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) (@sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*):31)\r\nord::tclAppInit(Tcl_Interp*) (@ord::tclAppInit(Tcl_Interp*):128)\r\nTcl_MainEx (@Tcl_MainEx:111)\r\nmain (@main:742)\r\n__libc_start_call_main (@__libc_start_call_main:29)\r\n__libc_start_main_impl (@__libc_start_main@@GLIBC_2.34:43)\r\n_start (@_start:15)\r\n```\r\n\r\n```\r\n[INFO GPL-0027] TotalBinArea: 124216992720\r\n[INFO GPL-0028] BinCnt: 512 128\r\n[INFO GPL-0029] BinSize: 1379 1376\r\n[INFO GPL-0030] NumBins: 65536\r\n[NesterovSolve] Iter: 1 overflow: 0.680488 HPWL: 4925858\r\nCommand terminated by signal 11\r\nElapsed time: 5:31.46[h:]min:sec. CPU time: user 2.25 sys 0.09 (0%). Peak memory: 346008KB.\r\n```\r\n\r\n### Screenshots\r\n\r\nSome screenshots from the debugger on the recursion loop\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/231661622-f04cadf1-90ca-44e5-9a4f-fe162cc40d4d.png)\r\n![image](https://user-images.githubusercontent.com/2798822/231661665-de16247d-41a0-47bf-aece-77a1e6b13b36.png)\r\n![image](https://user-images.githubusercontent.com/2798822/231661716-68b0616a-707b-493a-ad29-a289a1b985c7.png)\r\n\r\n\r\n### Additional Context\r\n\r\nIn debug, the output is identical, then continues:\r\n\r\n```\r\n[INFO GPL-0100] worst slack 1e+30\r\n[WARNING GPL-0102] No slacks found. Timing-driven mode disabled.\r\n[NesterovSolve] Iter: 10 overflow: 0.679718 HPWL: 4307270\r\n[NesterovSolve] Iter: 20 overflow: 0.679842 HPWL: 4319154\r\n[NesterovSolve] Iter: 30 overflow: 0.678977 HPWL: 4311965\r\n[NesterovSolve] Iter: 40 overflow: 0.67877 HPWL: 4337574\r\n[NesterovSolve] Iter: 50 overflow: 0.679721 HPWL: 4291399\r\n[deleted]\r\n```"}, {"user": "Tried valgrind:\r\n\r\n```\r\n==392841== Stack overflow in thread #1: can't grow stack to 0x1ffe801000\r\n==392841== Can't extend stack to 0x1ffe800c88 during signal delivery for thread 1:\r\n==392841== no stack segment\r\n==392841== \r\n==392841== Process terminating with default action of signal 11 (SIGSEGV)\r\n==392841== Access not within mapped region at address 0x1FFE800C88\r\n==392841== Stack overflow in thread #1: can't grow stack to 0x1ffe801000\r\n==392841== at 0xD160DB: rsz::RepairDesign::repairNetLoad(std::shared_ptr, int, int&, sta::Vector&) (RepairDesign.cc:931)\r\n==392841== If you believe this happened as a result of a stack\r\n==392841== overflow in your program's main thread (unlikely but\r\n==392841== possible), you can try to increase the size of the\r\n==392841== main thread stack using the --main-stacksize= flag.\r\n==392841== The main thread stack size used in this run was 8388608.\r\n==392841== \r\n==392841== HEAP SUMMARY:\r\n==392841== in use at exit: 261,241,250 bytes in 2,487,907 blocks\r\n==392841== total heap usage: 8,329,656 allocs, 5,841,749 frees, 773,890,807 bytes allocated\r\n==392841== \r\n==392841== LEAK SUMMARY:\r\n==392841== definitely lost: 488,728 bytes in 4,232 blocks\r\n==392841== indirectly lost: 4,886,401 bytes in 33,780 blocks\r\n==392841== possibly lost: 6,508,551 bytes in 6,031 blocks\r\n==392841== still reachable: 249,357,570 bytes in 2,443,864 blocks\r\n==392841== of which reachable via heuristic:\r\n==392841== multipleinheritance: 354,480 bytes in 8,747 blocks\r\n==392841== suppressed: 0 bytes in 0 blocks\r\n==392841== Rerun with --leak-check=full to see details of leaked memory\r\n==392841== \r\n==392841== For lists of detected and suppressed errors, rerun with: -s\r\n==392841== ERROR SUMMARY: 0 errors from 0 contexts (suppressed: 0 from 0)\r\nCommand terminated by signal 11\r\n```"}, {"assistant": "Looks like you're blowing the stack. I'm assuming you're on Linux. If you are can run `ulimit -s unlimited` and see if it still fails?"}, {"assistant": "If that does work we need to change this routine from recursion based to an explicit stack"}, {"user": "> Looks like you're blowing the stack. I'm assuming you're on Linux. If you are can run `ulimit -s unlimited` and see if it still fails?\r\n\r\nYes, it still crashes.\r\n\r\nHowever, why would it not run out of stack with a debug build?\r\n\r\nAlso, it does not crash inside Docker. It only crashes from Ubuntu 20.04 when running as host. It doesn't crash with the `run-me*.s` file from `make global_place_issue`, or I could have shared the crash with @maliberty \r\n"}, {"assistant": "```\r\n[INFO GPL-0100] worst slack 1e+30\r\n```\r\n\r\nThat slack seems unlikely, and since there is a difference between building with debug on and off, I wonder if there is some undefined behaviour going on. Building with `-fsanitize=undefined` might show something."}, {"user": "I will file a new issue when it is more actionable."}]} +{"num": 3183, "messages": [{"user": "### Describe the bug\n\nFor the DFT Module, I am trying to add a scan_out port (module level) to an existing scan cell:\r\n\r\nOriginal:\r\n\r\n```\r\nscan cell -> scan_out_pin -> net -> output1\r\n```\r\n\r\nExpected:\r\n\r\n```\r\nscan cell -> scan_out_pin -> net -> (output1 + scan_out)\r\n```\r\n\r\nBut the verilog at the end only shows the original connection: net -> output1.\r\n\r\nAlso, my new port scan_out is being show in the verilog as \"input\" instead of \"output\"\n\n### Expected Behavior\n\n1) I expect the verilog to contain a connection from cells's scan_out to my new created scan_out port in the verilog module.\r\n2) I expect that my new created port in the module, scan_out, will have type output instead of input, as I am setting the IO type with:\r\n\r\n```\r\nscan_out->setIoType(odb::dbIoType::OUTPUT);\r\n```\n\n### Environment\n\n```shell\nUnknown git commit, this is not a git repository.\r\n\r\nPlease make sure that you have the latest code changes and add the commit\r\nhash in the description.\r\n\r\nkernel: Linux 6.1.15-1rodete3-amd64\r\nos: Debian GNU/Linux 11 (bullseye)\r\ncmake version 3.24.2\r\nCMake Warning at CMakeLists.txt:96 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 10.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: 39688105d56aec37e654938ed8df618a6f718f50\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is GNU 10.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.9 (found version \"3.9.2\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.9 (found version \"3.9.2\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.7.5\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /openroad/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.dBFDzgsXJ8\n```\n\n\n### To Reproduce\n\nApply this patch to a master branch: https://gist.github.com/fgaray/02fa48a47f2291efe754f2328cdcc364\r\n\r\nWith:\r\n\r\n```\r\ngit apply PATCH\r\n```\r\n\r\nAnd run:\r\n\r\n```\r\ncd src/dft/test\r\nopenroad one_cell_sky130.tcl\r\n```\r\n\r\nI expect the file in results/one_cell_sky130-tcl.v to have Q connected to output1 and scan_out. Also, looks like scan_out is configured as input, but I am setting it as output with setIoType.\r\n\r\nI am printing the BTerms too and I see scan_out and output1 there but not in the verilog.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\nUnexpected result:\r\n\r\n![image](https://user-images.githubusercontent.com/1619948/232122918-eee39e5d-6f25-48b7-a4b0-6d812655c22d.png)\r\n\n\n### Additional Context\n\nThis is for the DFT module, where I need to connect the output of scan_cells's to scan_out port or next's cells scan_in while preserving the functional data paths of the design."}, {"assistant": "I'll take a look at this. It will have to be represented by a Verilog assign statement.\r\n\r\nI am curious why you are adding an extra output port though. What is the use model for this? "}, {"assistant": "Fix coming - I now get:\r\n![image](https://user-images.githubusercontent.com/761514/232551674-1f077f1e-59a6-4228-987c-54985a463126.png)\r\n"}, {"assistant": "> I am curious why you are adding an extra output port though. What is the use model for this?\r\n\r\nI think that if you are working at a block level and you want to add a scan chain, the scan in / scan out should usually be ports that are added."}, {"assistant": "It has physical routing implications to split inside the block versus at the next higher level. Is this desirable?"}, {"assistant": "Not sure I follow the question - I'm assuming these are physically hardened blocks, i.e. IP. If signals are added, there has to be physically placed pins for the BTerms that are added.\r\n\r\nI don't know exactly how @fgaray has the DFT flow set up, but I would imagine that the scan chains are added before floorplanning so that the user can assign the scan in / scan out pin locations."}, {"assistant": "@rovinski something like\r\n![image](https://user-images.githubusercontent.com/761514/232583802-b5a0d1f7-02a6-4220-b140-5189b6c9b1c7.png)\r\n\r\nvs\r\n![image](https://user-images.githubusercontent.com/761514/232584093-5c6548e1-26cd-472e-99d3-27c2221b0e86.png)"}, {"assistant": "Punching the extra port forces the top view where the bottom view might be better. You could construct a counter example where it is better to add the extra port. That's the source of my question - what is the use model?"}, {"assistant": "But every block needs both a scan in and scan out. Each block is basically a chain that can be connected to other chains\r\n![image](https://user-images.githubusercontent.com/3936698/232629275-e28b66fe-aaef-4ed8-a76f-7e6dcc186b02.png)\r\n"}, {"assistant": "@rovinski these are two dbBTerms on the same dbNet - your diagram shows two different nets."}, {"assistant": "No, there's logic that I abstracted out of the drawing. The squiggles are arbitrarily long chains of scan flops connected from one to another, so they would be different nets. Each scan flop's output is another one's input."}, {"assistant": "That's not what is happening in the code here. The bug presented was two bterms on one net. See \r\n![image](https://user-images.githubusercontent.com/761514/232922531-20176496-d664-4f17-979f-1bcc9a7c1604.png)\r\n"}, {"assistant": "Ok, I think I may have misinterpreted the original question of \"why would you want to add an output port\" for \"why would you want to add an output port _in this case_\" \ud83d\ude43 my bad"}, {"user": "Thank you very much @maliberty for the fix. I rebased my branch and now seems to be working as expected.\r\n\r\nSo, to talk a little bit about the discussion here.\r\n\r\n> I am curious why you are adding an extra output port though. What is the use model for this?\r\n\r\nSo, this is really a small case, but I see what you mean. I will try to optimize this code so if there is already a BTerm in the net in the top level block, then I will just reuse it for scan out.\r\n\r\nThanks for that and sorry if this bug was confusing.\r\n\r\nThe case where I need to punch an additional port is only when the net has only ITerms (that is, functional logic) before scan insertion."}]} +{"num": 3184, "messages": [{"user": "### Describe the bug\r\n\r\n[INFO][FLOW] Using platform directory ./platforms/nangate45\r\nmake: *** No rule to make target 'gui_6_final.odb', needed by 'gui_final'. Stop.\r\n\r\nHow can i update OpenRoad ?\r\n\r\n### Expected Behavior\r\n\r\nit should open gui \r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.19.0-38-generic\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\nCMake Error: The source directory \"/home/embedded/Documents/OpenROAD-flow-scripts\" does not appear to contain CMakeLists.txt.\r\nSpecify --help for usage, or press the help button on the CMake GUI.\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nmake DESIGN_CONFIG=./designs/sky130hd/ibex/config.mk\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Does results/sky130hd/ibex/6_final.odb exist? The gui target only loads an existing file. "}, {"user": "![image](https://user-images.githubusercontent.com/8544850/232283370-cb13eeca-d3a9-47d4-aac3-cbc3a2aa22c6.png)\r\n"}, {"user": "I found the issue i was using \"make gui_final\" but to open the design I need to do `make gui_final DESIGN_CONFIG=./designs/sky130hd/ibex/config.m` "}]} +{"num": 3186, "messages": [{"user": "### Describe the bug\n\n\u276f ./openroad -gui\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000560C4F630D5B in ./openroad\r\n 1# 0x00007EFE5B960090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# 0x0000560C4F828D26 in ./openroad\r\n 3# std::_Function_handler, std::allocator > const (), std::function, std::allocator > ()> >::_M_invoke(std::_Any_data const&) in ./openroad\r\n 4# gui::HeatMapDataSource::setSettings(std::map, std::allocator >, std::variant, std::allocator > >, std::less, std::allocator > >, std::allocator, std::allocator > const, std::variant, std::allocator > > > > > const&) in ./openroad\r\n 5# gui::HeatMapRenderer::setSettings(std::map, std::allocator >, std::variant, std::allocator > >, std::less, std::allocator > >, std::allocator, std::allocator > const, std::variant, std::allocator > > > > > const&) in ./openroad\r\n 6# gui::DisplayControls::registerRenderer(gui::Renderer*) in ./openroad\r\n 7# gui::Gui::registerRenderer(gui::Renderer*) in ./openroad\r\n 8# gui::Gui::registerHeatMap(gui::HeatMapDataSource*) in ./openroad\r\n 9# sta::PowerDensityDataSource::PowerDensityDataSource(sta::dbSta*, utl::Logger*) in ./openroad\r\n10# ord::initDbSta(ord::OpenRoad*) in ./openroad\r\n11# ord::OpenRoad::init(Tcl_Interp*) in ./openroad\r\n12# ord::tclAppInit(Tcl_Interp*) in ./openroad\r\n13# gui::TclCmdInputWidget::setTclInterp(Tcl_Interp*, bool, std::function const&) in ./openroad\r\n14# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in ./openroad\r\n15# ord::tclAppInit(Tcl_Interp*) in ./openroad\r\n16# Tcl_MainEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# main in ./openroad\r\n18# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n19# _start in ./openroad\r\n[1] 8186 segmentation fault (core dumped) ./openroad -gui\r\n\n\n### Expected Behavior\n\nnull\n\n### Environment\n\n```shell\nnull\n```\n\n\n### To Reproduce\n\nnull\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I just found the same and fixed in https://github.com/The-OpenROAD-Project/OpenROAD/pull/3185"}]} +{"num": 3188, "messages": [{"user": "### Description\n\n![image](https://user-images.githubusercontent.com/2798822/232333820-ea6cf9b4-6bbc-48d5-8dcc-02cf948dafb9.png)\r\n\n\n### Suggested Solution\n\nBetter default color scheme without need for configuration.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe Would it be possible to get a larger print screen of the problem?"}, {"user": "@AcKoucher I wonder if the color scheme changed. \r\n\r\nHere is a screenshot from https://github.com/The-OpenROAD-Project/OpenROAD/issues/3484\r\n\r\nQuite readable out of the box...\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/3f2bd189-7337-4b05-a281-9c3ad34b03df)\r\n"}, {"assistant": "I don't think anything has changed. It might depend on what layers you have showing as your first image was against a more gray background."}, {"user": "I have forgotten how I got the grey background and I don't have that design any longer(it was confidential, so I couldn't share it). I don't have a reproduction case from mock-array-big.\r\n\r\nClose?"}]} +{"num": 3192, "messages": [{"user": "### Description\n\nLarge .odb files, 10s of gigabytes, are slow to load into the OpenROAD GUI.\r\n\n\n### Suggested Solution\n\nWhen large files are being loaded in the GUI(>500mByte?), pop up a requester to filter out numerous, but not particularly interesting bits. \r\n\r\nFill cells?\n\n### Additional Context\n\n_No response_"}, {"user": "Some initial profiling:\r\n\r\n```\r\n./build_openroad.sh --local --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=-g\"\r\n```\r\n\r\nThen build a large design that is has reasonable turnaround times. Probably best to optimize a bit on this array size before trying anything larger(faster turnaround times, which still seeing scaling issues).\r\n\r\nNote! Requires sbt installed as Chisel/Scala will run to generate verilog files: https://www.scala-lang.org/download/\r\n\r\nThis creates a 16x16 element 64 bit mock-array-big:\r\n\r\n```\r\nMOCK_ARRAY_WIDTH=16 MOCK_ARRAY_HEIGHT=16 MOCK_ARRAY_DATAWIDTH=64 MOCK_ARRAY_PITCH_SCALE=20 designs/asap7/mock-array-big/configure.sh\r\n```\r\n\r\nNow run the flow:\r\n\r\n```\r\nMOCK_ARRAY_WIDTH=16 MOCK_ARRAY_HEIGHT=16 MOCK_ARRAY_DATAWIDTH=64 MOCK_ARRAY_PITCH_SCALE=20 make DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nMOCK_ARRAY_PITCH_SCALE is a multiple to scale the size of the Element macros. If you increase the datawidth, you'll need to adjust this. Run a floorplan to see that it looks OK before proceeding.\r\n"}, {"user": "16x16 8 bit is not big enough to cause slow loading in GUI.\r\n\r\n```\r\nMOCK_ARRAY_WIDTH=16 MOCK_ARRAY_HEIGHT=16 MOCK_ARRAY_DATAWIDTH=8 MOCK_ARRAY_PITCH_SCALE=2 designs/asap7/mock-array-big/configure.sh\r\n```\r\n\r\n```\r\nMOCK_ARRAY_WIDTH=16 MOCK_ARRAY_HEIGHT=16 MOCK_ARRAY_DATAWIDTH=8 MOCK_ARRAY_PITCH_SCALE=2 make DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```"}, {"assistant": "You can just use -DCMAKE_BUILD_TYPE=RelWithDebInfo"}, {"assistant": "> MOCK_ARRAY_PITCH_SCALE is a multiple to scale the size of the Element macros. If you increase the datawidth, you'll need to adjust this. Run a floorplan to see that it looks OK before proceeding\r\n\r\nI could make your smaller example almost work (fails in drt because of a pin access issue), but the bigger one (16x16x64) seems to fail regardless of what I set for `MOCK_ARRAY_PITCH_SCALE`:\r\n\r\n```\r\nMOCK_ARRAY_WIDTH=16 MOCK_ARRAY_HEIGHT=16 MOCK_ARRAY_DATAWIDTH=64 MOCK_ARRAY_PITCH_SCALE=20 make DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n\r\n[ERROR PPL-0089] Could not create matrix for groups. Not available slots inside section.\r\n```"}, {"user": "@maliberty If the error message above can be reproduced, then I suppose this problem is actionable? https://github.com/The-OpenROAD-Project/OpenROAD/issues/3192#issuecomment-1519208449"}]} +{"num": 3197, "messages": [{"user": "### Description\n\nThe router doesn't quite route in straight wires.\r\n\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/232730625-6711d137-110e-461c-bb5a-1064980d877b.png)\r\n\r\nTest-case:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/994\n\n### Suggested Solution\n\nRoute in straight wires when possible.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe I'm having this weird error when running sky130hs/mock-array-big:\r\n`Error: macro-placement.tcl, 2 Unable to decode the command from the command line:\r\nUnicodeEncodeError: 'utf-8' codec can't encode characters in position 49-50: surrogates not allowed`\r\n\r\nCould you attach the odb file with the final routing? "}, {"assistant": "@eder-matheus I wonder if this is related to the problem @luis201420 saw with locales (mentioned in today's mtg notes)"}, {"assistant": "> @eder-matheus I wonder if this is related to the problem @luis201420 saw with locales (mentioned in today's mtg notes)\r\n\r\nI think it's slightly different. I've found some odd characters in the \"designs/sky130hs/mock-array-big/macro-placement.tcl\" file. Interestingly, it isn't present in the GitHub PR, but the file had these characters when I checked out this branch."}, {"assistant": "I've removed the characters from the script, and now the design fails in gpl:\r\n`[ERROR GPL-0305] RePlAce diverged at newStepLength.`"}, {"assistant": "The underscore in \"{config.cols}_{config.pitch_and_margin}\" appears to be unusual. If you delete it and replace it with a normal underscore the problem goes away (though a new one appears later)."}, {"assistant": "Actually I think it is supposed to be a space not an underscore. Once I fix that it gets through this step."}, {"assistant": "> The underscore in \"{config.cols}_{config.pitch_and_margin}\" appears to be unusual. If you delete it and replace it with a normal underscore the problem goes away (though a new one appears later).\r\n\r\nYes, this is exactly the place where I see the odd characters:\r\n\"image\"\r\n\r\nI was able to pass through this step removing it, but it fails in gpl now."}, {"assistant": "Same replace failure for me as well."}, {"assistant": "Things look odd - there are macros stacked on each other in the corner:\r\n![image](https://user-images.githubusercontent.com/761514/232922170-12009eb1-5688-4bed-9d29-126d544a9d57.png)\r\n"}, {"assistant": "@oharboe I think you need to sort this out before we go further."}, {"user": "@maliberty @eder-matheus force pushed fix. \r\n\r\nIt fails *after* the 6_final.odb is made, but that failure isn't what is of interest here.\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/sky130hs/mock-array-big/config.mk\r\n[deleted]\r\n[WARNING PSM-0038] Unconnected PDN node on net VDD at location (1711.940um, 753.860um), layer: 5.\r\n[WARNING PSM-0039] Unconnected instance ces_2_6 at location (1711.940um, 753.860um) layer: 5.\r\n[WARNING PSM-0038] Unconnected PDN node on net VDD at location (1711.940um, 753.860um), layer: 6.\r\n[WARNING PSM-0039] Unconnected instance ces_2_6 at location (1711.940um, 753.860um) layer: 6.\r\n[WARNING PSM-0038] message limit reached, this message will no longer print\r\n[WARNING PSM-0039] message limit reached, this message will no longer print\r\n[ERROR PSM-0078] IR drop setup failed. Analysis can't proceed.\r\nError: final_report.tcl, 58 PSM-0078\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:14.40[h:]min:sec. CPU time: user 14.18 sys 0.21 (99%). Peak memory: 621644KB.\r\nmake: *** [Makefile:676: logs/sky130hs/mock-array-big/base/6_report.log] Error 1\r\n```"}, {"assistant": "That doesn't match the title or the description. Are you reporting a new issue?"}, {"user": "> That doesn't match the title or the description. Are you reporting a new issue?\r\n\r\nNo.\r\n\r\nThe build now runs far enough that the 6_final.odb is being produced and that the problem of the title can be seen.\r\n\r\nThe flow fails *later*, but that is not a related problem to the title."}, {"assistant": "@oharboe Can you check the `make DESIGN_CONFIG=designs/sky130hs/mock-array-big/config.mk gui_fianl` is macro placed properly and also check `2_6_pdn.log` is macros power grid generated or not?"}, {"user": "> @oharboe Can you check the `make DESIGN_CONFIG=designs/sky130hs/mock-array-big/config.mk gui_fianl` is macro placed properly and also check `2_6_pdn.log` is macros power grid generated or not?\r\n\r\nEverything is placed fine:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/232979479-e507fd53-6437-40a0-9c4c-78874ec7521f.png)\r\n\r\nPower is not the concern in this PR, this PR is only to study routing...\r\n\r\nThere needs to be a powerstrategy for this PR, which is still being worked out for mock-array-big in asap7. Once a power strategy is sorted out for asap7, then perhaps a similar solution can be transplated into the sky130hs case.\r\n\r\nFrom `2_6_pdn.log`:\r\n\r\n```\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - ces_7_4\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - ces_7_5\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - ces_7_6\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - ces_7_7\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (716.2800, 476.0100) - (716.4000, 477.6100) on VDD\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (716.2800, 1917.6100) - (716.4000, 1917.7000) on VDD\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (1083.6000, 244.8100) - (1084.2700, 246.4100) on VSS\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (1083.6000, 272.0100) - (1084.2700, 273.6100) on VSS\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (1083.6000, 299.2100) - (1084.2700, 300.8100) on VSS\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (1083.6000, 326.4100) - (1084.2700, 328.0100) on VSS\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (1083.6000, 353.6100) - (1084.2700, 355.2100) on VSS\r\n```"}, {"assistant": "> @maliberty @eder-matheus force pushed fix.\r\n> \r\n> It fails _after_ the 6_final.odb is made, but that failure isn't what is of interest here.\r\n> \r\n> ```\r\n> make DESIGN_CONFIG=designs/sky130hs/mock-array-big/config.mk\r\n> [deleted]\r\n> [WARNING PSM-0038] Unconnected PDN node on net VDD at location (1711.940um, 753.860um), layer: 5.\r\n> [WARNING PSM-0039] Unconnected instance ces_2_6 at location (1711.940um, 753.860um) layer: 5.\r\n> [WARNING PSM-0038] Unconnected PDN node on net VDD at location (1711.940um, 753.860um), layer: 6.\r\n> [WARNING PSM-0039] Unconnected instance ces_2_6 at location (1711.940um, 753.860um) layer: 6.\r\n> [WARNING PSM-0038] message limit reached, this message will no longer print\r\n> [WARNING PSM-0039] message limit reached, this message will no longer print\r\n> [ERROR PSM-0078] IR drop setup failed. Analysis can't proceed.\r\n> Error: final_report.tcl, 58 PSM-0078\r\n> Command exited with non-zero status 1\r\n> Elapsed time: 0:14.40[h:]min:sec. CPU time: user 14.18 sys 0.21 (99%). Peak memory: 621644KB.\r\n> make: *** [Makefile:676: logs/sky130hs/mock-array-big/base/6_report.log] Error 1\r\n> ```\r\n\r\nIf this issue focus on routing and to avoid above failure add the following in `config.mk`\r\n```\r\nexport PWR_NETS_VOLTAGES = \"\"\r\nexport GND_NETS_VOLTAGES = \"\"\r\n```\r\nAbove configuration skip IR drop report check."}, {"user": "Fixed by adding the above, so now this runs to the end:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/sky130hs/mock-array-big/config.mk\r\n```"}, {"assistant": "@oharboe The wires are not straight because the design has P/G routing in these areas. The P/G routing has vias from met1 up to met5, and the detailed router avoids the met3 via shapes with the detours. Check the figure below:\r\n\"image\"\r\n\r\nThe same happens in the asap7 version of this design."}]} +{"num": 3201, "messages": [{"user": "### Description\n\nWe are going to support double height cells (#3099 ) which means we will have double height rows as well as single height rows overlapping each other. The current GUI control \r\n![image](https://user-images.githubusercontent.com/761514/232908205-1611252a-e5f2-43b1-bd48-56efed2ffa9b.png)\r\n isn't fine grained enough.\r\n\r\nFor example:\r\n![image](https://user-images.githubusercontent.com/761514/232908320-e725c751-5971-4d51-9595-ab0b3d573081.png)\r\n\n\n### Suggested Solution\n\nWe should have the ability to turn on/off rows by SITE. The rows item could become a twist-open like Layers.\n\n### Additional Context\n\n@gadfort do you have time for this?"}, {"assistant": "@maliberty yeah, when I was working on the pad ring thing, that would have been helpful as well.\r\nAny thoughts about color? keep them the same for all rows?"}, {"user": "I think I'll only have one on at a time most likely since they are highly overlapping. One color should suffice."}]} +{"num": 3202, "messages": [{"user": "### Describe the bug\n\nI'm looking at an asap7 test where we get stuck quite a while because of EOL violations between M2 tracks connecting to two pins in a standard cell. Only M1 and M2 are visible here, and you can see the yellow box showing the DRC issue between the two pins. M2 has an `EOLKEEPOUT` rule:\r\n\r\n```\r\n PROPERTY LEF58_EOLKEEPOUT \"EOLKEEPOUT 0.025 EXTENSION 0.0 0.0125 0.031 CORNERONLY ; \" ;\r\n```\r\n\r\n![possible-pin-access-issue-3](https://user-images.githubusercontent.com/2029971/232903776-8f36ee7c-d83f-4345-86a3-3fa4b20921fd.png)\r\n\r\nI notice a number of things:\r\n\r\n- Pin access could do a better job of picking the initial APs:\r\n\r\n![possible-pin-access-issue-2](https://user-images.githubusercontent.com/2029971/232904658-91c137ef-01b3-459d-ab0e-fd3bd80bba22.png)\r\n\r\nThere is quite a lot of space, but we've clustered them all together, almost guaranteeing an EOL violation. I know we turn off some of the rules during pin access, eg `gcWorker.setIgnoreLongSideEOL();`, and maybe this is contributing to it.\r\n\r\n- gc seems to consider the complete keepout area:\r\n\r\n```\r\n frCoord forward = constraint->getForwardExt();\r\n frCoord backward = constraint->getBackwardExt();\r\n frCoord side = constraint->getSideExt();\r\n```\r\n\r\nBut rp (and so fixed cost/routing costs) only consider forward and side:\r\n\r\n```\r\n eolSpace = std::max(eolSpace, con->getForwardExt());\r\n eolWithin = std::max(eolWithin, con->getSideExt());\r\n```\r\n\r\nNot an issue here, but might be nice to fix.\r\n\r\n- Is there a better way to represent EOL `CORNERONLY` rules in fixed/routing costs? We place a planar cost, but since it's a `CORNERONLY` rule, then only a wire terminating on that grid point should be penalized (assuming I understand the rule correctly). A wire passing through should not have the cost applied:\r\n\r\n![possible-pin-access-issue_annotated](https://user-images.githubusercontent.com/2029971/232906108-2d123ff3-f84b-4360-b977-a95cd19b85b7.png)\r\n\n\n### Expected Behavior\n\nWe don't get stuck for multiple iterations creating the same DRC issue.\n\n### Environment\n\n```shell\nos: Fedora Linux 37 (Workstation Edition)\r\ncmake version 3.26.2\r\n-- The CXX compiler identification is GNU 12.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/lib64/ccache/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7708-g4b1ea0d24\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is GNU 12.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/lib64/ccache/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.11 (found version \"3.11.2\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n--CMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") \r\n-- boost: 1.78.0\r\n-- Found Python3: /usr/include/python3.11 (found version \"3.11.2\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.12\") \r\n-- spdlog: 1.10.0\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.5\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 8de844d9d85b1eaa1bdf78d9b0e1dc8008e5183c\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/anton_unencrypted/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found Protobuf: /usr/lib64/libprotobuf.so (found version \"3.19.6\") \r\n-- Found re2: /usr/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /usr/local/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /usr/local/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/cmake/eigen3/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /usr/local/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found suitable version \"1.78.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") found components: serialization system thread \r\n-- Found Boost: /usr/lib64/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") \r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done (4.0s)\r\n-- Generating done (0.3s)\r\n-- Build files have been written to: /tmp/tmp.6uWzk0lL6V\n```\n\n\n### To Reproduce\n\nTest case is at https://ozlabs.org/~anton/junk/astar-eol-drc.tar.gz\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "This is the eventual solution:\r\n\r\n![possible-pin-access-2](https://user-images.githubusercontent.com/2029971/232913289-df6f2868-721e-4b48-a870-7c7c25b4826c.png)\r\n"}]} +{"num": 3205, "messages": [{"user": "### Describe the bug\n\nRun a flow from Docker to create a .odb file, load with local OpenROAD GUI in Ubuntu 22, crash:\r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055F5B1B2BAD5 in openroad\r\n 1# 0x00007FF80DA3BCF0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# 0x00007FF80DB71DB7 in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# 0x00007FF80DA86DAE in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# fread in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x000055F5B3D643EA in openroad\r\n 6# odb::operator>>(odb::dbIStream&, odb::_dbTechLayer&) in openroad\r\n 7# odb::operator>>(odb::dbIStream&, odb::_dbTech&) in openroad\r\n 8# odb::operator>>(odb::dbIStream&, odb::_dbDatabase&) in openroad\r\n 9# odb::dbDatabase::read(_IO_FILE*) in openroad\r\n10# ord::OpenRoad::readDb(char const*) in openroad\r\n11# gui::MainWindow::openDesign() in openroad\r\n12# 0x00007FF80EAF372C in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n13# QAction::triggered(bool) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n14# QAction::activate(QAction::ActionEvent) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n15# 0x00007FF80F8F0FE2 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n16# 0x00007FF80F8F8EEC in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n17# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n18# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n19# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n20# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n21# QApplicationPrivate::sendMouseEvent(QWidget*, QMouseEvent*, QWidget*, QWidget*, QWidget**, QPointer&, bool, bool) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n22# 0x00007FF80F7C8535 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n23# 0x00007FF80F7CB4A0 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n24# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n25# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n26# QGuiApplicationPrivate::processMouseEvent(QWindowSystemInterfacePrivate::MouseEvent*) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n27# QWindowSystemInterface::sendWindowSystemEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n28# 0x00007FF80A70278E in /lib/x86_64-linux-gnu/libQt5XcbQpa.so.5\r\n29# g_main_context_dispatch in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n30# 0x00007FF80D5713C8 in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n31# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n32# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n33# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n34# QCoreApplication::exec() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n35# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n36# ord::tclAppInit(Tcl_Interp*) in openroad\r\n37# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n38# main in openroad\r\n39# 0x00007FF80DA23510 in /lib/x86_64-linux-gnu/libc.so.6\r\n40# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n41# _start in openroad\r\nSegmentation fault (core dumped)\r\n\r\n```\n\n### Expected Behavior\n\nThis used to work. \n\n### Environment\n\n```shell\nmaster\n```\n\n\n### To Reproduce\n\nAs above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@maliberty Strange. After this fix, which I wouldn't expect to fix the error in parsing the file, I no longer get an error, nor a crash: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3206"}, {"user": "@maliberty Do .odb files have version numbers? I rebased this fix on master and then tried against the .odb file from the docker image. So if .odb files are not compatible, but do not have a version number check, that would explain the segfault."}, {"user": "Added basic version check to avoid some unecessary confusion: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3207"}, {"assistant": "Coverity just flagged an issue related to dbIStream this morning that is likely to be the cause:\r\n```\r\n*** CID 1508956: Memory - illegal accesses (STRING_NULL)\r\n/src/odb/src/db/dbProperty.cpp: 187 in odb::operator >>(odb::dbIStream &, odb::_dbProperty &)()\r\n181 }\r\n182 case DB_STRING_PROP: {\r\n183 char* char_string;\r\n184 stream >> char_string;\r\n185 prop._value = \"\";\r\n186 if (char_string != nullptr) {\r\n>>> CID 1508956: Memory - illegal accesses (STRING_NULL)\r\n>>> Passing unterminated string \"char_string\" to \"basic_string\", which expects a null-terminated string. [Note: The source code implementation of the function has been overridden by a builtin model.]\r\n187 prop._value = std::string(char_string);\r\n188 free(char_string);\r\n189 }\r\n190 break;\r\n191 }\r\n192 case DB_DOUBLE_PROP: {\r\n```\r\n\r\nI've asked @QuantamHD to fix it as it comes from his recent change."}, {"assistant": "Looks like this isn't related to the coverity as all the strings are read and serialized with a null termination. "}, {"assistant": "@oharboe can you provide a specific set of steps to reproduce this issue."}, {"user": "> @oharboe can you provide a specific set of steps to reproduce this issue.\r\n\r\n@maliberty @QuantamHD I provided a confidential example, not being able to reproduce with public information. Hopefully that will be enough to move this forward."}, {"user": "@maliberty I'll reopen a github issue if this problem persists after the sanatizer cleanups."}]} +{"num": 3211, "messages": [{"user": "### Describe the bug\n\nWhen running OpenROAD in a flow where hierarchy is preserved during synthesis (i.e. no flatten command during yosys execution), but OpenROAD flattens the circuit during place and route, some portions of the resulting netlist exhibit gate delays reported as zero. This can be observed in timing reports but is most easily inspected by writing an SDF file so that each gate path delay can be inspected manually.\r\n\r\nThe symptom is that various paths in various logic gates have IOPATH specifications in the SDF file of zero. For example:\r\n\r\n (CELL\r\n (CELLTYPE \"sky130_fd_sc_hd__or2_4\")\r\n (INSTANCE soc\\/uart\\/u_reg\\/u_wdata\\/wr_en_data_arb\\/_10_)\r\n (DELAY\r\n (ABSOLUTE\r\n (IOPATH A X (0.621::0.623) (1.282::1.295))\r\n (IOPATH B X (0.000::0.000))\r\n )\r\n )\r\n )\r\n\r\nNote that not all paths on a given gate are necessarily affected.\n\n### Expected Behavior\n\nThe expected behavior would be that all IOPATH entries in the SDF file are nonzero.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.14.0-1059-oem\r\nos: Ubuntu 20.04.5 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7537-g47991be87\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.9 (found version \"3.9.5\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.1\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.9 (found version \"3.9.5\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.10.0\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.17\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 882681a674e7089e5f02de4ba2050c6be32b6cd1\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.06kfY8NZvJ\n```\n\n\n### To Reproduce\n\nDownload the following:\r\n\r\n[test case with hierarchy preserved by yosys](https://drive.google.com/file/d/1aguWG_oJnqVYF23ikackS4KX41xcyPKh/view?usp=sharing)\r\n[test case with flattening by yosys](https://drive.google.com/file/d/1UyRHQVbNjWU8TiGFMPC8RoAdnqXZBT8t/view?usp=sharing)\r\n\r\nuntar both bundles to directory of your choosing; contents include an open-source design OpenROAD database and related data files to enable post-route timing analysis.\r\n\r\nRun the test case with flattening\r\n`cd testcase_works`\r\n`openroad analyze_timing_core.tcl`\r\n\r\nRun the test case with yosys hierarchy preserved\r\n`cd testcase`\r\n`openroad analyze_timing_core.tcl`\r\n\r\nFor the case with hierarchy preserved, see line 61639 of asic_core.sdf for example zero delay annotation, or search on the string \"B X (0.000\" in your favorite text editor.\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Did you notice the thousands of warning messages in your non-working case?\r\n```\r\n...\r\n[WARNING STA-0172] ./asic_core.maximum.spef line 298936, instance soc/u_rv_core_ibex/u_core/genblk1.genblk1.gen_regfile_latch.register_file_i/wdata_a_q_$_DFFE_PN0P__Q_12 not found.\r\n[WARNING STA-0172] message limit reached, this message will no longer print\r\n```\r\n\r\nThe spef files are not equivalent either:\r\n```\r\n*D_NET *14 0.0776701\r\n*CONN\r\n*P ea_dout[0] O\r\n```\r\nvs\r\n```\r\n*D_NET *10652 0.0739977\r\n*CONN\r\n*P ea_dout[0] O\r\n```\r\nGiven these differences there is no reason to expect the SDFs to match. You should start by looking at RCX and figuring out what is going on. If you have issues there please file an issue with reproducers for that."}, {"assistant": "The zero delays are almost certainly because you have no annotated parasitics."}, {"user": "For a bit of clarification, I did not expect the SDFs to match since the synthesis solution was intentionally different between the two implementations. That said, if the right way to chase the issue is to look into the extraction issues (I did notice these, wasn't sure whether they were a symptom of something else or the root cause) I'm happy to put a bit of effort into that."}]} +{"num": 3218, "messages": [{"user": "### Describe the bug\n\nFor the special case where synthesis netlists preserve hierarchy and OpenROAD flattens them, the resulting naming convention in the netlist and that of the SPEF file do not appear to align. This results in SPEF parasitic annotation failures.\r\n\r\nAs an example, we use a particular hierarchical name from an open source design implemented with hierarchy preserved during synthesis. The netlist name for a particular instance is:\r\n\r\n`sky130_fd_sc_hd__xor2_2 \\soc/gpio/gen_filter[14].filter/_14_ (.A(ea_din[0]),`\r\n\r\nThe corresponding instance name mapping in the SPEF file is:\r\n\r\n`*27610 soc/gpio/gen_filter\\[14\\]\\.filter/_14_`\r\n\r\nFor the example above, the expected behavior is that the slight differences in name syntax used between the two files are resolved internally to OpenROAD and that annotation succeeds without causing warnings. Instead, the following warning is generated:\r\n\r\n`[WARNING STA-0172] ./asic_core.minimum.spef line 263475, instance soc/gpio/gen_filter\\[14\\].filter/_14_ not found.`\r\n\r\nNote that thousands of similar examples are present in the open source design used, and that they result in delay modeling errors posted in Issue #3211. \r\n\r\nTo investigate, the above example was modified in the netlist AND SPEF file with matching names that lack special characters that are treated as corner cases by many EDA software products when managing ASIC netlist names (notably '\\', '[', ']', and '.'). The diff of the netlists is as follows:\r\n\r\n`28564c28564`\r\n`< sky130_fd_sc_hd__xor2_2 \\soc/gpio/gen_filter[14].filter/_14_ (.A(ea_din[0]),`\r\n`---`\r\n`> sky130_fd_sc_hd__xor2_2 soc_gpio_gen_filter_14_filter_14_ (.A(ea_din[0]),`\r\n\r\nSimilarly, the SPEF file is modified to produce a matching name:\r\n\r\n`< *27610 soc_gpio_gen_filter_14_filter_14_`\r\n`---`\r\n`> *27610 soc/gpio/gen_filter\\[14\\]\\.filter/_14_`\r\n\n\n### Expected Behavior\n\nThe expected outcome of this experiment is elimination of the warning noted above. The intended outcome is obtained, along with some additional side effects, yielding the following overall diff in warning messages from readback of the netlist and SPEF:\r\n\r\n```\r\n36a37\r\n> [WARNING STA-0172] ./asic_core.minimum.spef line 263475, instance soc/gpio/gen_filter\\[14\\].filter/_14_ not found.\r\n39a41\r\n> [WARNING STA-0174] ./asic_core.minimum.spef line 263482, net soc/gpio/gen_filter\\[14\\].filter/_14_ not found.\r\n59a62\r\n> [WARNING STA-0174] ./asic_core.minimum.spef line 263537, net soc/gpio/gen_filter\\[14\\].filter/_14_ not found.\r\n1142,1143d1144\r\n< [WARNING STA-0174] ./asic_core.minimum.spef line 266209, net soc/gpio/u_reg/direct_out_we not found.\r\n< [WARNING STA-0174] ./asic_core.minimum.spef line 266210, net soc/gpio/u_reg/_014_ not found.\r\n2037d2037\r\n< [WARNING STA-0172] ./asic_core.minimum.spef line 298393, instance soc/u_rv_core_ibex/u_core/genblk1.genblk1.gen_regfile_latch.register_file_i/wdata_a_q_$_DFFE_PN0P__Q_23 not found.\r\n```\r\nRight facing diffs (\">\") indicate warnings present in the unmodified netlist and not in the modified netlist. Left facing diffs (\"<\") indicate warnings in the modified netlist that didn't line up with warnings found in the unmodified netlist. Inspection via tkdiff suggests that these new warnings in the modified netlist arise because the warning count limit is being reached, and eliminating the three warnings via modification allows previously unprinted warnings to appear in the log file.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.14.0-1059-oem\r\nos: Ubuntu 20.04.5 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7537-g47991be87\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.9 (found version \"3.9.5\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.1\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.9 (found version \"3.9.5\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.10.0\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.17\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 882681a674e7089e5f02de4ba2050c6be32b6cd1\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.qQqyGyCxIs\n```\n\n\n### To Reproduce\n\nDownload this tarball:\r\n\r\n[Example netlist modification to eliminate SPEF annotation warnings](https://drive.google.com/file/d/1Q2YiMuDU42-SjliEIA1wpaqTlmOUKswu/view?usp=share_link)\r\n\r\n1. untar to directory of your choosing.\r\n2. `cd testcase`\r\n3. `./run.sh`\r\n\r\nExecution of run.sh executes readback of the design database and loading of the verilog netlist and SPEF file to generate above warnings and redirect them to a log file. A provided perl script eliminates filename differences in the warning messages to simplify the analysis of the logfile diff. The diff is then executed and printed to STDOUT. When run successfully the resulting diff should match that posted to this issue thread.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I think this is more of an spef generation issue. If you have:\r\n```\r\n*27610 soc\\/gpio\\/gen_filter\\[14\\]\\.filter\\/_14_\r\n```\r\nthen it annotates fine. Please package your setup to extract the spef."}]} +{"num": 3219, "messages": [{"user": "### Describe the bug\n\nSince #3204 the rows are always on when you start the OR gui. I believe we don't handle the settings now that it is per site.\n\n### Expected Behavior\n\nI think we should store the overall row settings (on/off) even if we don't store the per site values which would be PDK dependent.\n\n### Environment\n\n```shell\nhead of master\n```\n\n\n### To Reproduce\n\nany design\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty if there are two sites and only one is on, should that count as both on when the GUI restarts or as both off?"}, {"user": "Its a bit arbitrary but I would count it as on since they are at least interested in something to do with rows."}, {"user": "We might change the default to Unchecked as well."}]} +{"num": 3225, "messages": [{"user": "### Describe the bug\r\n\r\nDo a pass of the source code to hunt down 32 bit int problems with file pointers.\r\n\r\nWith .odb files, this can reach the gigabyte size and overflow the 32 bit size of int.\r\n\r\nExample of a problem, I found 47 uses of ftell() and several of them used int (32 bit on x86 Ubuntu):\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/0b74722ee863e76ed6a648c33927b91cf1d9e6a9/src/odb/include/odb/dbStream.h#L235\r\n\r\n\r\n### Expected Behavior\r\n\r\nHandle >2gByte files\r\n\r\n### Environment\r\n\r\n```shell\r\nmaster\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nsearch as above to find the spots to be fixed\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "That example is dead code. The problem description itself is too vague to be actionable. I haven't observed any issues with files > 2gb (including those from you)."}, {"user": "> That example is dead code. The problem description itself is too vague to be actionable. I haven't observed any issues with files > 2gb (including those from you).\r\n\r\n@maliberty Search for tellg(), you will find lots of cases which store the result in an \"int\", which is 4 bytes.\r\n\r\nExample, this will overflow with >2gByte files:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/233929310-d66d3984-c16e-4ccf-b0f8-d6d5737e1307.png)\r\n\r\nCertainly .odb files can be > 2gByte, I don't know about which file types that can realistically be >2gByte.\r\n"}, {"assistant": "abc is third party code that we don't intend to rewrite. I don't see such examples in OR proper."}]} +{"num": 3228, "messages": [{"user": "### Describe the bug\r\n\r\nWhile I try to compile OpenROAD binary with spdlog library version 1.11.0, I get a wall of static asser errors about invalid formats. You can see a small pat of this errors on \"Log\" section.\r\n\r\n### Expected Behavior\r\n\r\nNormal build, without errors.\r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Gentoo\r\ncmake version 3.25.3\r\nCMake Warning at CMakeLists.txt:96 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 12.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: 82fa75d549bf017ff819496d799cabbd39403dd3\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /usr/lib64/cmake/GTest/GTestConfig.cmake (found version \"1.11.0\")\r\n-- The C compiler identification is GNU 12.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-foCMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=yes\r\n\r\n\r\nrmat - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.1\", minimum required is \"3.0\")\r\n-- Using SWIG >= 4.1.1 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/lib64/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\")\r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.11 (found version \"3.11.2\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.13\")\r\n-- Found Threads: TRUE\r\n-- spdlog: 1.11.0\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/andreil/ASIC/OpenROAD_clean/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found Protobuf: /usr/lib64/libprotobuf.so (found version \"3.21.9\")\r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- GUI is enabled\r\n-- Found Boost: /usr/lib64/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/lib64/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\")\r\n-- Found Boost: /usr/lib64/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread\r\n-- Found Boost: /usr/lib64/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\")\r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.X39KwhqdXv\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n`../etc/Build.sh -cmake='-DUSE_SYSTEM_BOOST=true' -clean`\r\n\r\n### Relevant log output\r\n\r\n```shell\r\ncd /home/andreil/ASIC/OpenROAD_clean/build/src/odb/test/cpp && /usr/bin/g++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/home/andreil/ASIC/OpenROAD_clean/src/odb/include -I/home/andreil/ASIC/OpenROAD_clean/src/utl/include -I/home/andreil/ASIC/OpenROAD_clean/src/utl/src -I/home/andreil/ASIC/OpenROAD_clean/src/odb/include/odb -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/def/def -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/def/defzlib -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/lef/lef -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/lef/lefzlib -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/test/cpp/CMakeFiles/TestAccessPoint.dir/TestAccessPoint.cpp.o -MF CMakeFiles/TestAccessPoint.dir/TestAccessPoint.cpp.o.d -o CMakeFiles/TestAccessPoint.dir/TestAccessPoint.cpp.o -c /home/andreil/ASIC/OpenROAD_clean/src/odb/test/cpp/TestAccessPoint.cpp\r\nIn file included from /usr/include/spdlog/fmt/fmt.h:31,\r\n from /home/andreil/ASIC/OpenROAD_clean/src/utl/include/utl/Logger.h:52,\r\n from /home/andreil/ASIC/OpenROAD_clean/src/dst/src/LoadBalancer.cc:34:\r\n/usr/include/fmt/core.h: In instantiation of \u2018constexpr fmt::v9::detail::value fmt::v9::detail::make_value(T&&) [with Context = fmt::v9::basic_format_context; T = const boost::asio::ip::address&]\u2019:\r\n/usr/include/fmt/core.h:1777:29: required from \u2018constexpr fmt::v9::detail::value fmt::v9::detail::make_arg(T&&) [with bool IS_PACKED = true; Context = fmt::v9::basic_format_context; type = fmt::v9::detail::type::custom_type; T = const boost::asio::ip::address&; typename std::enable_if::type = 0]\u2019\r\n/usr/include/fmt/core.h:1901:77: required from \u2018constexpr fmt::v9::format_arg_store::format_arg_store(T&& ...) [with T = {const boost::asio::ip::address&, const short unsigned int&, const short unsigned int&}; Context = fmt::v9::basic_format_context; Args = {boost::asio::ip::address, short unsigned int, short unsigned int}]\u2019\r\n/usr/include/fmt/core.h:1918:31: required from \u2018constexpr fmt::v9::format_arg_store::type>::type ...> fmt::v9::make_format_args(Args&& ...) [with Context = basic_format_context; Args = {const boost::asio::ip::address&, const short unsigned int&, const short unsigned int&}]\u2019\r\n/usr/include/spdlog/logger.h:374:75: required from \u2018void spdlog::logger::log_(spdlog::source_loc, spdlog::level::level_enum, spdlog::string_view_t, Args&& ...) [with Args = {const boost::asio::ip::address&, const short unsigned int&, const short unsigned int&}; spdlog::string_view_t = fmt::v9::basic_string_view]\u2019\r\n/usr/include/spdlog/logger.h:90:13: required from \u2018void spdlog::logger::log(spdlog::source_loc, spdlog::level::level_enum, fmt::v9::format_string, Args&& ...) [with Args = {const boost::asio::ip::address&, const short unsigned int&, const short unsigned int&}; fmt::v9::format_string = fmt::v9::basic_format_string]\u2019\r\n/usr/include/spdlog/logger.h:96:12: required from \u2018void spdlog::logger::log(spdlog::level::level_enum, fmt::v9::format_string, Args&& ...) [with Args = {const boost::asio::ip::address&, const short unsigned int&, const short unsigned int&}; fmt::v9::format_string = fmt::v9::basic_format_string]\u2019\r\n/home/andreil/ASIC/OpenROAD_clean/src/utl/include/utl/Logger.h:121:17: required from \u2018void utl::Logger::report(const std::string&, const Args& ...) [with Args = {boost::asio::ip::address, short unsigned int, short unsigned int}; std::string = std::__cxx11::basic_string]\u2019\r\n/home/andreil/ASIC/OpenROAD_clean/src/dst/src/LoadBalancer.cc:47:22: required from here\r\n/usr/include/fmt/core.h:1757:7: error: static assertion failed: Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt\r\n 1757 | formattable,\r\n | ^~~~~~~~~~~\r\n/usr/include/fmt/core.h:1757:7: note: \u2018formattable\u2019 evaluates to false\r\ngmake[1]: *** [src/dst/CMakeFiles/dst.dir/build.make:145: src/dst/CMakeFiles/dst.dir/src/LoadBalancer.cc.o] Error 1\r\n\r\ncd /home/andreil/ASIC/OpenROAD_clean/build/src/odb/test/cpp && /usr/bin/g++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/home/andreil/ASIC/OpenROAD_clean/src/odb/include -I/home/andreil/ASIC/OpenROAD_clean/src/utl/include -I/home/andreil/ASIC/OpenROAD_clean/src/utl/src -I/home/andreil/ASIC/OpenROAD_clean/src/odb/include/odb -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/def/def -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/def/defzlib -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/lef/lef -I/home/andreil/ASIC/OpenROAD_clean/src/odb/src/lef/lefzlib -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/test/cpp/CMakeFiles/TestGuide.dir/TestGuide.cpp.o -MF CMakeFiles/TestGuide.dir/TestGuide.cpp.o.d -o CMakeFiles/TestGuide.dir/TestGuide.cpp.o -c /home/andreil/ASIC/OpenROAD_clean/src/odb/test/cpp/TestGuide.cpp\r\nIn file included from /usr/include/spdlog/fmt/fmt.h:31,\r\n from /home/andreil/ASIC/OpenROAD_clean/src/utl/include/utl/Logger.h:52,\r\n from /home/andreil/ASIC/OpenROAD_clean/src/dst/src/BalancerConnection.cc:45:\r\n/usr/include/fmt/core.h: In instantiation of \u2018constexpr fmt::v9::detail::value fmt::v9::detail::make_value(T&&) [with Context = fmt::v9::basic_format_context; T = const boost::asio::ip::address&]\u2019:\r\n/usr/include/fmt/core.h:1777:29: required from \u2018constexpr fmt::v9::detail::value fmt::v9::detail::make_arg(T&&) [with bool IS_PACKED = true; Context = fmt::v9::basic_format_context; type = fmt::v9::detail::type::custom_type; T = const boost::asio::ip::address&; typename std::enable_if::type = 0]\u2019\r\n/usr/include/fmt/core.h:1901:77: required from \u2018constexpr fmt::v9::format_arg_store::format_arg_store(T&& ...) [with T = {const char* const&, const char* const&, int&, const char* const&, const boost::asio::ip::address&, const short unsigned int&}; Context = fmt::v9::basic_format_context; Args = {const char*, const char*, int, const char*, boost::asio::ip::address, short unsigned int}]\u2019\r\n/usr/include/fmt/core.h:1918:31: required from \u2018constexpr fmt::v9::format_arg_store::type>::type ...> fmt::v9::make_format_args(Args&& ...) [with Context = basic_format_context; Args = {const char* const&, const char* const&, int&, const char* const&, const boost::asio::ip::address&, const short unsigned int&}]\u2019\r\n/usr/include/spdlog/logger.h:374:75: required from \u2018void spdlog::logger::log_(spdlog::source_loc, spdlog::level::level_enum, spdlog::string_view_t, Args&& ...) [with Args = {const char* const&, const char* const&, int&, const char* const&, const boost::asio::ip::address&, const short unsigned int&}; spdlog::string_view_t = fmt::v9::basic_string_view]\u2019\r\n/usr/include/spdlog/logger.h:90:13: required from \u2018void spdlog::logger::log(spdlog::source_loc, spdlog::level::level_enum, fmt::v9::format_string, Args&& ...) [with Args = {const char* const&, const char* const&, int&, const char* const&, const boost::asio::ip::address&, const short unsigned int&}; fmt::v9::format_string = fmt::v9::basic_format_string]\u2019\r\n/usr/include/spdlog/logger.h:96:12: required from \u2018void spdlog::logger::log(spdlog::level::level_enum, fmt::v9::format_string, Args&& ...) [with Args = {const char* const&, const char* const&, int&, const char* const&, const boost::asio::ip::address&, const short unsigned int&}; fmt::v9::format_string = fmt::v9::basic_format_string]\u2019\r\n/home/andreil/ASIC/OpenROAD_clean/src/utl/include/utl/Logger.h:252:19: required from \u2018void utl::Logger::log(utl::ToolId, spdlog::level::level_enum, int, const std::string&, const Args& ...) [with Args = {const char*, boost::asio::ip::address, short unsigned int}; std::string = std::__cxx11::basic_string]\u2019\r\n/home/andreil/ASIC/OpenROAD_clean/src/utl/include/utl/Logger.h:157:8: required from \u2018void utl::Logger::warn(utl::ToolId, int, const std::string&, const Args& ...) [with Args = {const char*, boost::asio::ip::address, short unsigned int}; std::string = std::__cxx11::basic_string]\u2019\r\n/home/andreil/ASIC/OpenROAD_clean/src/dst/src/BalancerConnection.cc:136:30: required from here\r\n/usr/include/fmt/core.h:1757:7: error: static assertion failed: Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt\r\n 1757 | formattable,\r\n | ^~~~~~~~~~~\r\n/usr/include/fmt/core.h:1757:7: note: \u2018formattable\u2019 evaluates to false\r\ngmake[1]: *** [src/dst/CMakeFiles/dst.dir/build.make:173: src/dst/CMakeFiles/dst.dir/src/BalancerConnection.cc.o] Error 1\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "OpenROAD isn't compatible with fmt v9 due to a change in default behavior around ostreams. You will have to downgrade to fmt v8."}, {"assistant": "No idea if it works on the very latest versions, but I've been working around it with `-DCMAKE_CXX_FLAGS=\"-DFMT_DEPRECATED_OSTREAM=1\"`"}, {"user": "> No idea if it works on the very latest versions, but I've been working around it with `-DCMAKE_CXX_FLAGS=\"-DFMT_DEPRECATED_OSTREAM=1\"`\r\n\r\nOh, thanks, this parameter are fixed build."}, {"assistant": "FMT_DEPRECATED_OSTREAM is my suggestion for working with later versions. If you want to test it out and report back we can incorporate that into OR."}, {"assistant": "> FMT_DEPRECATED_OSTREAM is my suggestion for working with later versions. If you want to test it out and report back we can incorporate that into OR.\r\n\r\nI created https://github.com/The-OpenROAD-Project/OpenROAD/pull/3238"}]} +{"num": 3230, "messages": [{"user": "### Describe the bug\n\nI used the make command set in the makefile to build my design from RTL to GDS, but the flow stops during routing stage - after the warning signal 11 received.\n\n### Expected Behavior\n\nI expected the routing to take place and final GDS to be generated for my design.\n\n### Environment\n\n```shell\nrahul@rahul-VirtualBox:~/OpenROAD-flow-scripts/tools/OpenROAD$ ./etc/Env.sh\r\ntee: build/openroad-env.log: Permission denied\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.0-69-generic\r\nos: Ubuntu 20.04.6 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\nCMake Error at CMakeLists.txt:142 (configure_file):\r\n Operation not permitted\r\n\r\n\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-6895-g5c85b36f8\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing TesCMake Error at src/sta/CMakeLists.txt:462 (configure_file):\r\n Operation not permitted\r\n\r\n\r\nt C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.10\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.3.3\r\n-- STA git sha: 2a2b5cd59f5d1b596f20cbd39609c52b33299e06\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/rahul/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nnot clear what is required\n\n### Relevant log output\n\n```shell\nunable to drag build_openroad.log\n```\n\n\n### Screenshots\n\n![Screenshot from 2023-04-24 00-50-57](https://user-images.githubusercontent.com/50217106/233860584-c00497ca-341f-42f9-8ad8-d6f133ffd856.png)\r\n\n\n### Additional Context\n\n_No response_"}, {"assistant": "> To Reproduce \r\n> not clear what is required\r\n\r\nIt looks like you are using ORFS, and the failure is at global routing. If so `make global_route_issue` should create a tarball that you can upload."}, {"user": "Thanks, here's the tarball file generated from ```make gobal_route_issue``` in flow directory\r\n[global_route_imc_sky130hd__2023-04-24_14-17.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11308480/global_route_imc_sky130hd__2023-04-24_14-17.tar.gz)\r\n"}, {"assistant": "I was unable to reproduce, although I did have to make a change because `blocks/sky130hd/VSS_connection.txt` and `blocks/sky130hd/VDD_connection.txt` are not in the tarball.\r\n\r\nYour version of OpenROAD is very old, could you retest with the latest upstream?"}, {"assistant": "@rahulearn2019 \r\nHave you updated the ORFS and tried?"}]} +{"num": 3236, "messages": [{"user": "### Description\n\nCurrent set_wire_rc takes a single -layer argument (or -res/cap). When we use Steiner tree based estimates we don't know layer but we do know the H&V components of each edge. We should have separate layers H&V. \r\n\r\nIn sky130hd this is particularly important. Metal2 & metal3 have different thickness and therefore R&C values.\n\n### Suggested Solution\n\nHave separate layers (or res/cap) values per direction.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Wouldn't it be better to change RSZ to hold the resistance per square and cap per um2 or something like that so they can be used with the widths of the lines in those directions instead of adding additional parameters which ultimately are just a scalar of eachother?"}, {"assistant": "@gadfort Looks like \"RESISTANCE RPERSQ\" values are different for M2 and M3 (at least in sky130hd)\r\n\r\nM2: RESISTANCE RPERSQ 0.125 ;\r\nM3: RESISTANCE RPERSQ 0.047 ;\r\n\r\nI suspect the thickness of deposited metal is different and that what @maliberty is referring to (not the width of the wire).\r\n"}, {"assistant": "Ahh, I see, I think I misread the prompt, and thought it referred to the `set_layer_rc`."}, {"user": "For example, in sky130hd we have:\r\n```\r\nset_wire_rc -signal -layer met2\r\n```\r\n\r\nWhich means we assume met2 for both H&V. We need the specify whether to assume met1/met2 or met2/met3 as our assumption. Admittedly there is no right answer but I think picking two plausible layers might be better.\r\n\r\n(met3 is thicker https://antmicro-skywater-pdk-docs.readthedocs.io/en/test-submodules-in-rtd/rules/assumptions.html#process-stack-diagram)"}, {"assistant": "@maliberty / @gadfort Should we stick to the convention that the first layer is \"X\" and the second layer is \"Y\"? The command can then change from:\r\n\r\n`set_wire_rc -signal -layer met2`\r\n\r\nto:\r\n\r\n`set_wire_rc -signal -layer [met2, met3]\r\n`\r\nOr do we want to do:\r\n\r\n`set_wire_rc -signal -x_layer met2 -y_layer met3\r\n`\r\nWe can keep the current -layer option (which would control both x and y like it does now). \r\n\r\nUpdate (after chatting with @maliberty )\r\n\r\n- We should be able to supply a list of layers\r\n- Use the preferred routing direction to figure out whether a layer is \"X\" or \"Y\"\r\n- In case we have multiple X or Y layers... use the average value for R and C from all the specified layers for a particular direction. "}, {"assistant": "```\r\nset_layer_rc [-layer layer]\r\n [-via via_layer]\r\n [-capacitance cap]\r\n [-resistance res]\r\n [-corner corner]\r\n```\r\n\r\nWe might want to specify resistance/capacitance values separately in the X and Y directions, so it would be good to handle that too."}, {"assistant": "@antonblanchard so this is what I didn't want to do for `set_layer_rc`. We could change `set_layer_rc` to take in a single value (for resistance ohms/sq) which could be used to generate the X and Y resistances if the layer has different min-widths. This would also let the estimations better honor metals of non-min-width.\r\n\r\nIt doesn't make physical sense to have resistances/capacitances that are directionally dependent.\r\n\r\n`set_wire_rc` is a special case since it's just announcing which layers we want to be using for a given estimate"}, {"assistant": "@openroadie something like `set_wire_rc -signal -layer [met2, met3]` would be better I think.\r\nIf we can figure out the weights you could use a weighted average for the resistances and capacitances. That could always be done later since it might require some study of metal usage for a large number of designs"}, {"assistant": "> It doesn't make physical sense to have resistances/capacitances that are directionally dependent.\r\n\r\nBut aren't we doing that indirectly when specifying two layers?\r\n\r\nIn ORFS, correlateRC.py uses linear regression to take the results of a design and produce better layer resistance/capacitance estimates, but also better estimates for placement STA (`set_wire_rc -resistance X -capacitance Y`). I'm just wondering if we are giving something up with those estimates (since they can't replicate -layer [met2, met3])"}, {"user": "I don't think we need to touch set_layer_rc as those values are for a specific layer. I'm only propose set_wire_rc which is about layer assumptions for unrouted nets."}, {"assistant": "> I don't think we need to touch set_layer_rc as those values are for a specific layer. I'm only propose set_wire_rc which is about layer assumptions for unrouted nets.\r\n\r\nThe discussion was not about the layer estimates I confused things by mentioning it), but whether `set_wire_rc -capacitance nnn -resistance nnn` should be able to take separate values for the X and Y directions."}]} +{"num": 3243, "messages": [{"user": "### Description\r\n\r\nI made this modification because I want to check if there's some non-determinism going on:\r\n```\r\n./build_openroad.sh --local --clean-force --openroad-args -DCMAKE_CXX_FLAGS=-fsanitize=address\r\n```\r\n\r\nI get false positives(compared to what I'm looking for):\r\n\r\n```\r\n=================================================================\r\n==422676==ERROR: LeakSanitizer: detected memory leaks\r\n\r\nDirect leak of 15040 byte(s) in 188 object(s) allocated from:\r\n #0 0x7f05206b4867 in __interceptor_malloc ../../../../src/libsanitizer/asan/asan_malloc_linux.cpp:145\r\n #1 0x55a9d62eceaf in LefDefParser::lefMalloc(unsigned long) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1352\r\n #2 0x55a9d63df88c in LefDefParser::lefyyparse() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lef.y:5873\r\n #3 0x55a9d630e0a4 in LefDefParser::lefrRead(_IO_FILE*, char const*, void*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef/lefrReader.cpp:297\r\n #4 0x55a9d567dc9f in odb::lefin_parse(odb::lefin*, utl::Logger*, char const*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lefin/reader.cpp:560\r\n #5 0x55a9d5665ff5 in odb::lefin::readLef(char const*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lefin/lefin.cpp:2106\r\n #6 0x55a9d566947e in odb::lefin::createLib(char const*, char const*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lefin/lefin.cpp:2242\r\n #7 0x55a9c8df3d72 in ord::OpenRoad::readLef(char const*, char const*, bool, bool) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/OpenRoad.cc:285\r\n #8 0x55a9c90a9fef in read_lef_cmd(char const*, char const*, bool, bool) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx:2001\r\n #9 0x55a9c90ac5fa in _wrap_read_lef_cmd /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx:2465\r\n #10 0x7f052048cd31 in TclNRRunCallbacks (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3cd31)\r\n```\r\n\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@antonblanchard FYI, tried your tip... Trying again without memory sanatizer..."}, {"user": "@maliberty More actionable, command line given to build with address sanatizer."}, {"assistant": "We can fix it but a memory leak won't cause non-determinism."}, {"assistant": "is this on mock-array?"}, {"user": "> is this on mock-array?\r\n\r\nI think so. I was juggling a lot today...."}, {"assistant": "I don't see any ERROR statements in the logs (still finishing top level drt but I guess this should have happened long ago)."}, {"assistant": "> I don't see any ERROR statements in the logs (still finishing top level drt but I guess this should have happened long ago).\r\n\r\nThe memory leak errors likely wont appear until the process exits. There are still quite a few small memory leaks (eg in LEF parsing) that I haven't had time to investigate."}, {"assistant": "OR exits after every step"}, {"assistant": "```\r\nmkdir build\r\ncd build\r\n\r\nASAN_CFLAGS=\"-fsanitize=address -fno-omit-frame-pointer -g -O2\"\r\nASAN_LDFLAGS=\"\"\r\n\r\ncmake -GNinja ../ \\\r\n\t-DALLOW_WARNINGS=ON \\\r\n\t-DCMAKE_C_COMPILER=clang \\\r\n\t-DCMAKE_CXX_COMPILER=clang++ \\\r\n\t-DCMAKE_C_FLAGS=\"$ASAN_CFLAGS\" \\\r\n\t-DCMAKE_CXX_FLAGS=\"$ASAN_CFLAGS\" \\\r\n\t-DCMAKE_EXE_LINKER_FLAGS=\"$ASAN_LDFLAGS\" \\\r\n\r\nninja\r\n```\r\n\r\n```\r\ncd ../src/odb/test/ && ../../../build/src/openroad db_read_write.tcl\r\n\r\nDirect leak of 1920 byte(s) in 24 object(s) allocated from:\r\n #0 0xcda9d7 in malloc (OpenROAD/build-dist/src/openroad+0xcda9d7) (BuildId: 91eaf3aa8981d4b458bd98e8835a293d749c48ce)\r\n #1 0x62a2538 in LefDefParser::lefMalloc(unsigned long) OpenROAD/src/odb/src/lef/lef/lef_keywords.cpp:1352:25\r\n #2 0x63062d6 in LefDefParser::lefyyparse() OpenROAD/src/odb/src/lef/lef/lef.y:5873:55\r\n #3 0x607e7fc in odb::lefin_parse(odb::lefin*, utl::Logger*, char const*) OpenROAD/src/odb/src/lefin/reader.cpp:560:11\r\n #4 0x607593a in odb::lefin::readLef(char const*) OpenROAD/src/odb/src/lefin/lefin.cpp:2109:12\r\n #5 0x6078515 in odb::lefin::createTechAndLib(char const*, char const*) OpenROAD/src/odb/src/lefin/lefin.cpp:2283:8\r\n #6 0xd60f33 in ord::OpenRoad::readLef(char const*, char const*, bool, bool) OpenROAD/src/OpenRoad.cc:280:22\r\n #7 0xe25ac1 in read_lef_cmd(char const*, char const*, bool, bool) OpenROAD/build-dist/src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx:1947:8\r\n #8 0xe25ac1 in _wrap_read_lef_cmd(void*, Tcl_Interp*, int, Tcl_Obj* const*) OpenROAD/build-dist/src/CMakeFiles/openroad_swig.dir/OpenRoadTCL_wrap.cxx:2411:7\r\n #9 0x7fa60554572f in TclNRRunCallbacks (/lib64/libtcl8.6.so+0x3b72f) (BuildId: 7bba4bd4283da69c8741ef3654eb4d3062f6d906)\r\n...\r\n```\r\n"}, {"assistant": "At least one issue maps back to memory leaked from `addProp`. Lots of logic here, shouldn't we just be using vectors and strings?\r\n\r\n```\r\nvoid lefiLayer::addProp(const char* name, const char* value, const char type)\r\n{ \r\n int len = strlen(name) + 1;\r\n // char* tvalue;\r\n // int vlen, i;\r\n if (numProps_ == propsAllocated_) {\r\n int i;\r\n int max;\r\n int lim = numProps_;\r\n char** nn;\r\n char** nv;\r\n double* nd;\r\n char* nt;\r\n\r\n if (propsAllocated_ == 0)\r\n max = propsAllocated_ = 2;\r\n else\r\n max = propsAllocated_ *= 2;\r\n nn = (char**) lefMalloc(sizeof(char*) * max);\r\n nv = (char**) lefMalloc(sizeof(char*) * max);\r\n nd = (double*) lefMalloc(sizeof(double) * max);\r\n nt = (char*) lefMalloc(sizeof(char) * max);\r\n for (i = 0; i < lim; i++) {\r\n nn[i] = names_[i];\r\n nv[i] = values_[i];\r\n nd[i] = dvalues_[i];\r\n nt[i] = types_[i];\r\n }\r\n lefFree((char*) (names_));\r\n lefFree((char*) (values_));\r\n lefFree((char*) (dvalues_));\r\n lefFree((char*) (types_));\r\n names_ = nn;\r\n values_ = nv; \r\n dvalues_ = nd;\r\n types_ = nt;\r\n }\r\n names_[numProps_] = (char*) lefMalloc(sizeof(char) * len);\r\n strcpy(names_[numProps_], name);\r\n len = strlen(value) + 1;\r\n values_[numProps_] = (char*) lefMalloc(sizeof(char) * len);\r\n strcpy(values_[numProps_], value);\r\n dvalues_[numProps_] = 0;\r\n```"}, {"assistant": "Fixed a number in #3257 but some still are tbd"}, {"assistant": "@antonblanchard I don't want to rewrite the Si2 lef/def parsers too much as it makes taking updates more difficult. "}, {"assistant": "As soon as we update to the latest version we were going to fork and then rewrite."}]} +{"num": 3244, "messages": [{"user": "### Describe the bug\r\n\r\n@eder-matheus @maliberty \r\n\r\nSeems like `-fsanatize=undefined` found some uninitialized data... https://clang.llvm.org/docs/UndefinedBehaviorSanitizer.html\r\n\r\n```\r\ntools/OpenROAD/src/ppl/src/munkres/src/Hungarian.cpp:227:13: runtime error: signed integer overflow: 2147483647 + 2147483647 cannot be represented in type 'int'\r\n```\r\n\r\nTwo uninitialized integers are added together:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/d5b908a31e00957ed6c695144c76859863d29a4c/src/ppl/src/munkres/src/Hungarian.cpp#L227\r\n\r\n\r\nSee below, this message:\r\n\r\n```\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src/Hungarian.cpp:227:13: runtime error: signed integer overflow: 2147483647 + 2147483647 cannot be represented in type 'int'\r\n```\r\n\r\nWithout -fsanatize=undefined\r\n\r\n```\r\n./build_openroad.sh --local --clean-force\r\n```\r\n\r\n```\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init /home/oyvind/OpenROAD-flow-scripts/flow//scripts/io_placement.tcl -metrics ./logs/asap7/ce-array_ALUCE/base/3_2_place_iop.json) 2>&1 | tee ./logs/asap7/FooBar/base/3_2_place_iop.log\r\nOpenROAD v2.0-7907-gd5b908a31 \r\n[deleted]\r\n[INFO PPL-0044] Pin group: [ceEnableOut]\r\n[INFO PPL-0080] Mirroring pins ceEnableOut and ce_enable.\r\nFound 0 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 256 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 384 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0078] Not enough available positions (53) in section (120.0, 58.572)-(120.0, 77.676) at edge RIGHT to place the pin group of size 59.\r\n[ERROR PPL-0089] Could not create matrix for groups. Not available slots inside section.\r\nError: io_placement.tcl, 13 PPL-0089\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:01.17[h:]min:sec. CPU time: user 1.12 sys 0.04 (99%). Peak memory: 211064KB.\r\nmake: *** [/home/oyvind/OpenROAD-flow-scripts/flow/Makefile:504: results/asap7/FooBar/base/3_2_place_iop.odb] Error 1\r\n```\r\n\r\n\r\nWith -fsanatize=undefined\r\n\r\n\r\n```\r\n./build_openroad.sh --local --clean-force --openroad-args -DCMAKE_CXX_FLAGS=-fsanitize=undefined\r\n```\r\n\r\n```\r\nElapsed time: 0:10.56[h:]min:sec. CPU time: user 10.40 sys 0.15 (99%). Peak memory: 719284KB.\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init /home/oyvind/OpenROAD-flow-scripts/flow//scripts/io_placement.tcl -metrics ./logs/asap7/ce-array_ALUCE/base/3_2_place_iop.json) 2>&1 | tee ./logs/asap7/FooBar/base/3_2_place_iop.log\r\nOpenROAD v2.0-7907-gd5b908a31 \r\n[deleted]\r\nFound 0 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src/Hungarian.cpp:227:13: runtime error: signed integer overflow: 2147483647 + 2147483647 cannot be represented in type 'int'\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 256 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 384 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0078] Not enough available positions (53) in section (120.0, 58.572)-(120.0, 77.676) at edge RIGHT to place the pin group of size 59.\r\n[ERROR PPL-0089] Could not create matrix for groups. Not available slots inside section.\r\nError: io_placement.tcl, 13 PPL-0089\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:02.01[h:]min:sec. CPU time: user 1.88 sys 0.12 (99%). Peak memory: 702680KB.\r\nmake: *** [/home/oyvind/OpenROAD-flow-scripts/flow/Makefile:504: results/asap7/FooBar/base/3_2_place_iop.odb] Error 1\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo difference with and without -fsanatizer=undefined\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: d5b908a31e00957ed6c695144c76859863d29a4c\r\nkernel: Linux 5.19.0-40-generic\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.22.1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nChecking for a public test-case, but the above clue will hopefully help.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "FYI, if you see this one, it is a false positive:\r\n\r\n```\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:344:36: runtime error: signed integer overflow: -2147483648 - 2147483647 cannot be represented in type 'int'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:344:50: runtime error: signed integer overflow: -2147483648 - 2147483647 cannot be represented in type 'int'\r\n[NesterovSolve] Iter: 1 overflow: 0.995667 HPWL: 7577714\r\n[NesterovSolve] Iter: 10 overflow: 0.995671 HPWL: 2144047\r\n```\r\n\r\nThe code *could* be rewritten not to trigger a false positive, if that was valuable.\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234357267-8515674f-de6c-4f65-ad65-6f4fbf13a948.png)\r\n"}, {"user": "@eder-matheus \r\n\r\nhttps://stackoverflow.com/questions/2204176/how-to-initialise-memory-with-new-operator-in-c\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234294490-06829081-d0ab-4247-b2b9-15ce9984553e.png)\r\n\r\nThen clearing the array:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234294638-2c515e9d-1932-4393-908b-dff460968714.png)\r\n"}, {"user": "@antonblanchard Your tip with `-fsanatize=undefined` paned out, it would seem. :-)"}]} +{"num": 3247, "messages": [{"user": "### Description\r\n\r\nSome of these look interesting w.r.t. that they *could* be real problems or produce rare non-determinism.\r\n\r\nBuild with:\r\n\r\n```\r\n./build_openroad.sh --local --clean-force --openroad-args -DCMAKE_CXX_FLAGS=-fsanitize=undefined\r\n```\r\n\r\nThen run:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nHits:\r\n\r\n```\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:344:36: runtime error: signed integer overflow: -2147483648 - 2147483647 cannot be represented in type 'int'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:344:50: runtime error: signed integer overflow: -2147483648 - 2147483647 cannot be represented in type 'int'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/grt/src/fastroute/include/DataType.h:175:8: runtime error: load of value 85, which is not a valid value for type 'bool'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/drt/src/gc/FlexGC_init.cpp:88:20: runtime error: downcast of address 0x7f0258010f90 which does not point to an object of type 'drShape'\r\n0x7f0258010f90: note: object is of type 'fr::drVia'\r\n 00 00 00 00 d0 10 33 51 a2 55 00 00 ff ff ff ff 3d 0e 00 00 25 26 00 00 02 7f 00 00 80 a7 5e 61\r\n ^~~~~~~~~~~~~~~~~~~~~~~\r\n vptr for 'fr::drVia'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/src/gui.cpp:255:29: runtime error: member call on address 0x565503bbd800 which does not point to an object of type 'MainWindow'\r\n0x565503bbd800: note: object is of type 'QWidget'\r\n 00 00 00 00 48 31 e9 ef 6b 7f 00 00 20 ec b0 03 55 56 00 00 f8 32 e9 ef 6b 7f 00 00 00 00 00 00\r\n ^~~~~~~~~~~~~~~~~~~~~~~\r\n vptr for 'QWidget'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/src/mainWindow.h:94:49: runtime error: member access within address 0x565503bbd800 which does not point to an object of type 'MainWindow'\r\n0x565503bbd800: note: object is of type 'QWidget'\r\n 00 00 00 00 48 31 e9 ef 6b 7f 00 00 20 ec b0 03 55 56 00 00 f8 32 e9 ef 6b 7f 00 00 00 00 00 00\r\n ^~~~~~~~~~~~~~~~~~~~~~~\r\n vptr for 'QWidget'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/src/gui.cpp:267:22: runtime error: member call on address 0x565503bbd800 which does not point to an object of type 'MainWindow'\r\n0x565503bbd800: note: object is of type 'QWidget'\r\n 00 00 00 00 48 31 e9 ef 6b 7f 00 00 20 ec b0 03 55 56 00 00 f8 32 e9 ef 6b 7f 00 00 00 00 00 00\r\n ^~~~~~~~~~~~~~~~~~~~~~~\r\n vptr for 'QWidget'\r\n[etc]\r\n```\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@vvbandeira please setup a sanitizer build pipeline"}, {"assistant": "@gadfort would you look at the gui issues and @eder-matheus can look at the others."}, {"user": "> @vvbandeira please setup a sanitizer build pipeline\r\n\r\nI think there is a lot to be said for automatic failure of PRs upon sanatizer failures, but that does mean that false positives have to be dealt with."}, {"user": "FYI, if you see this one, it is a false positive:\r\n\r\n```\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:344:36: runtime error: signed integer overflow: -2147483648 - 2147483647 cannot be represented in type 'int'\r\n/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:344:50: runtime error: signed integer overflow: -2147483648 - 2147483647 cannot be represented in type 'int'\r\n[NesterovSolve] Iter: 1 overflow: 0.995667 HPWL: 7577714\r\n[NesterovSolve] Iter: 10 overflow: 0.995671 HPWL: 2144047\r\n```\r\n\r\nThe code can easily be rewritten not to trigger a false positive by using INT_MAX - 1 and INT_MIN + 1:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234357267-8515674f-de6c-4f65-ad65-6f4fbf13a948.png)\r\n"}, {"user": "See also https://github.com/The-OpenROAD-Project/OpenROAD/issues/3244"}, {"assistant": "@maliberty I'm not sure what I'm looking for here. I'm happy to fix anything, but I'm not 100% sure that the issue is"}, {"assistant": "To be honest I don't see the problem either now that I look at it. I wonder if it is confused by multiple inheritance."}, {"assistant": "A possible for fix the gui error: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3251"}]} +{"num": 3253, "messages": [{"user": "### Description\n\nIf I have two macros, not of the same Verilog module, and I want to place them close together, then I need the pins to match up at least in the same order.\r\n\r\nIdeally the pins should match up so that vertical and horizontal wires can be used, but it would improve the current situation enormously if the pins at least was in the same order for the two macros.\r\n\r\nIn the image below, there should only be straight vertical wires and a very narrow gap:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234539699-2895b655-3b27-404b-9cec-dac3444033c3.png)\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234539953-96a301d2-207b-4c65-9a41-cce7991cc2c1.png)\r\n\r\n\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@eder-matheus I tried with your fix https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1009\r\n\r\nRepoen this issue?\r\n\r\n```\r\nElapsed time: 0:04.11[h:]min:sec. CPU time: user 4.04 sys 0.06 (99%). Peak memory: 210556KB.\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/2/tools/install/OpenROAD/bin/openroad -exit -no_init ./scripts/io_placement_random.tcl -metrics ./logs/asap7/mock-array-big/base/2_2_floorplan_io.json) 2>&1 | tee ./logs/asap7/mock-array-big/base/2_2_floorplan_io.log\r\nOpenROAD v2.0-7480-g8a4065b09 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13178, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13211, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13244, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13277, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13310, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13343, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13376, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14772, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14805, timing group from output port.\r\n[WARNING STA-0164] ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14838, timing group from output port.\r\n[ERROR PPL-0086] Both -region and -group constraints not allowed.\r\nError: io.tcl, 49 PPL-0086\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:03.48[h:]min:sec. CPU time: user 3.40 sys 0.06 (99%). Peak memory: 204336KB.\r\nmake: *** [Makefile:441: results/asap7/mock-array-big/base/2_2_floorplan_io.odb] Error 1\r\n```\r\n"}, {"assistant": "@oharboe Could you double-check the branch you're using? From the commit ID in the log you attached (8a4065b09), this is a very old commit."}, {"user": "> @oharboe Could you double-check the branch you're using? From the commit ID in the log you attached ([8a4065b](https://github.com/The-OpenROAD-Project/OpenROAD/commit/8a4065b09f5caf8998af059660c63201792a7ea5)), this is a very old commit.\r\n\r\n1. I created https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1009\r\n2. ran: `./build_openroad.sh --local`\r\n\r\nCould there be a problem with `./build_openroad.sh --local` not rebuilding everything it needs to rebuild?\r\n\r\nIf `./build_openroad.sh --local` can not be trusted to rebuild, then that would be nice to get fixed, because it could otherwise lead to a ton of confusion.\r\n\r\nTrying again with: `./build_openroad.sh --local --clean-force`"}, {"assistant": "> > @oharboe Could you double-check the branch you're using? From the commit ID in the log you attached ([8a4065b](https://github.com/The-OpenROAD-Project/OpenROAD/commit/8a4065b09f5caf8998af059660c63201792a7ea5)), this is a very old commit.\r\n> \r\n> 1. I created [Mock array big group order\u00a0OpenROAD-flow-scripts#1009](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1009)\r\n> 2. ran: `./build_openroad.sh --local`\r\n> \r\n> Could there be a problem with `./build_openroad.sh --local` not rebuilding everything it needs to rebuild?\r\n> \r\n> If `./build_openroad.sh --local` can not be trusted to rebuild, then that would be nice to get fixed, because it could otherwise lead to a ton of confusion.\r\n> \r\n> Trying again with: `./build_openroad.sh --local --clean-force`\r\n\r\nTo get the latest updates from master branch, you can use:\r\n`./build_openroad.sh --local --latest`"}, {"user": "> To get the latest updates from master branch, you can use: `./build_openroad.sh --local --latest`\r\n\r\nThanks!\r\n\r\nI needed to create the pull request though, so I had to add the commit.\r\n\r\nCheers,\r\n"}, {"user": "@eder-matheus Never mind. mea culpa, pebkac. Continuing testing now with OpenROAD v2.0-7935-g59e34f303."}]} +{"num": 3256, "messages": [{"user": "### Describe the bug\n\n```\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init /home/oyvind/OpenROAD-flow-scripts/flow//scripts/io_placement.tcl -metrics ./logs/asap7/FooBar/base/3_2_place_iop.json) 2>&1 | tee ./logs/asap7/FooBar/base/3_2_place_iop.log\r\nOpenROAD v2.0-7935-g59e34f303 \r\n```\r\n\r\n```\r\nUsing 2 tracks default min distance between IO pins.\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 256 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 384 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0078] Not enough available positions (53) in section (120.0, 58.572)-(120.0, 77.676) at edge RIGHT to place the pin group of size 59.\r\n[ERROR PPL-0089] Could not create matrix for groups. Not available slots inside section.\r\nError: io_placement.tcl, 13 PPL-0089\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:02.26[h:]min:sec. CPU time: user 2.15 sys 0.06 (98%). Peak memory: 210884KB.\r\nmake: *** [/home/oyvind/OpenROAD-flow-scripts/flow/Makefile:504: results/asap7/FooBar/base/3_2_place_iop.odb] Error 1\r\n```\n\n### Expected Behavior\n\nShould allocate pins.\r\n\r\nThis design has plenty of space for the pins:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234677216-c2aa538a-7a39-44d2-a530-4a71b1882be4.png)\r\n\r\n\n\n### Environment\n\n```shell\nOpenROAD v2.0-7935-g59e34f303\n```\n\n\n### To Reproduce\n\nI will see tomorrow about sharing the test-case with Matt.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@eder-matheus FYI"}, {"user": "@eder-matheus FYI, I have provied a confidential test-case to @maliberty "}, {"assistant": "@oharboe Could you try this branch: https://github.com/eder-matheus/OpenROAD/tree/ppl_fix? I think it should fix this error you're facing."}, {"user": "> @oharboe Could you try this branch: https://github.com/eder-matheus/OpenROAD/tree/ppl_fix? I think it should fix this error you're facing.\r\n\r\nI'm getting:\r\n\r\n```\r\nOpenROAD v2.0-7950-g0c0b0bb7b\r\n[deleted]\r\nFound 0 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 256 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0042] Unsuccessfully assigned I/O groups.\r\n[WARNING PPL-0092] Pin group of size 384 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0078] Not enough available positions (53) in section (120.0, 58.572)-(120.0, 77.676) at edge RIGHT to place the pin group of size 59.\r\n[ERROR PPL-0089] Could not create matrix for groups. Not available slots inside section.\r\nError: io_placement.tcl, 13 PPL-0089\r\nCommand exited with non-zero status 1\r\n```\r\n\r\nChecking that your fix is in...\r\n\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/235209774-92b87d4c-ce39-417f-8986-0861f7b79611.png)\r\n"}, {"user": "@eder-matheus FYI, @maliberty has a testcase that still fails with your fix."}]} +{"num": 3260, "messages": [{"user": "### Description\n\nThis problem appears to originate inside STA, but I have very little to go on to track down the error. I think it is a problem in my design, but I'm not able to find out where to set a breakpoint in OpenROAD to study it in more detail.\r\n\r\n```\r\n==========================================================================\r\ndetailed place report_check_types -max_slew -max_cap -max_fanout -violators\r\n--------------------------------------------------------------------------\r\nError: detail_place.tcl, 31 domain error: argument not in valid range\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:12.08[h:]min:sec. CPU time: user 11.57 sys 0.50 (99%). Peak memory: 1832188KB.\r\nmake: *** [/home/oyvind/OpenROAD-flow-scripts/flow/Makefile:526: results/asap7/FooBar/base/3_5_place_dp.odb] Error 1\r\n```\n\n### Suggested Solution\n\nSome more clues in the error message so that it will be easier to set a breakpoint in the debugger to find out what is going on.\n\n### Additional Context\n\n_No response_"}, {"assistant": "I'm confused as I don't see anything in OR/ORFS that generates such a message. Assuming it is throwing an exception you can do 'catch throw' in gdb to stop when an exception is thrown."}, {"assistant": "The error comes from TCL. \r\n\r\n```tcl\r\ntest expr-22.5 {non-numeric floats} {\r\n list [catch {expr NaN} msg] $msg\r\n} {1 {domain error: argument not in valid range}}\r\n```\r\n\r\n```c\r\nvoid\r\nTclExprFloatError(\r\n Tcl_Interp *interp,\t\t/* Where to store error message. */\r\n double value)\t\t/* Value returned after error; used to\r\n\t\t\t\t * distinguish underflows from overflows. */\r\n{\r\n const char *s;\r\n\r\n if ((errno == EDOM) || TclIsNaN(value)) {\r\n\ts = \"domain error: argument not in valid range\";\r\n\tTcl_SetObjResult(interp, Tcl_NewStringObj(s, -1));\r\n\tTcl_SetErrorCode(interp, \"ARITH\", \"DOMAIN\", s, NULL);\r\n } else if ((errno == ERANGE) || TclIsInfinite(value)) {\r\n```\r\n\r\nIt's related to various tcl number parsing issues, or out of domain functions.\r\n\r\nNot that familiar with ORFS, but if this is the TCL code in your script, it looks in correct.\r\n\r\n```tcl\r\ndetailed place report_check_types -max_slew -max_cap -max_fanout -violators\r\n```\r\n\r\nShould be \r\n\r\n```tcl\r\ndetailed_placement\r\nreport_check_types -max_slew -max_cap -max_fanout -violators\r\n```"}, {"user": "@QuantamHD \r\n![image](https://user-images.githubusercontent.com/2798822/234935972-5ba68f82-17d5-4e27-863c-bdf742087c4e.png)\r\n\r\nThe failure occurs in line 108 below:\r\n\r\n![image](https://user-images.githubusercontent.com/2798822/234936167-d4083f29-ec9c-4f76-95b1-0d655ecc693d.png)\r\n\r\n```\r\n==========================================================================\r\ndetailed place report_check_types -max_slew -max_cap -max_fanout -violators\r\n--------------------------------------------------------------------------\r\nxxx 1\r\nxxx 2\r\nttt 1b\r\nError: detail_place.tcl, 31 domain error: argument not in valid range\r\nCommand exited with non-zero status 1\r\n```\r\n"}, {"assistant": "I guess the question is if check_max_slew_limit is NaN"}, {"assistant": "@oharboe \r\nIs `make detail_place_issue` creating reproducible test case?"}, {"user": "> @oharboe Is `make detail_place_issue` creating reproducible test case?\r\n\r\nYes, but not one that I can share. Moreover deltaDebug.py doesnt whittle down the lib and lef files for macros, which makes it trickier."}, {"user": "@maliberty Shared a testcase privately with you."}]} +{"num": 3263, "messages": [{"user": "To reproduce:\r\n\r\n1. Check out master\r\n2. ./build_openroad.sh --local --clean-force\r\n3. Run: `make DESIGN_CONFIG=designs/asap7/gcd/config.mk`\r\n4. `openroad -gui` and load 6_final.odb of the design, exit immediately. Crash below.\r\n\r\n\r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000562A15A686FB in openroad\r\n 1# 0x00007FED40A42520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# std::_Rb_tree_increment(std::_Rb_tree_node_base const*) in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 3# std::_Rb_tree_const_iterator::operator++() in openroad\r\n 4# gui::MainWindow::~MainWindow() in openroad\r\n 5# gui::MainWindow::~MainWindow() in openroad\r\n 6# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n 7# 0x0000562A15A69007 in openroad\r\n 8# ord::tclAppInit(Tcl_Interp*) in openroad\r\n 9# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# main in openroad\r\n11# 0x00007FED40A29D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n12# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n13# _start in openroad\r\nSegmentation fault (core dumped)\r\n```\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/issues/3258#issuecomment-1527198864_\r\n "}, {"user": "@antonblanchard FYI, reverting https://github.com/The-OpenROAD-Project/OpenROAD/pull/3251 fixes the crash above."}]} +{"num": 3272, "messages": [{"user": "### Describe the bug\n\nWhen I was trying to open an odb file in OpenROAD GUI on macOS, the GUI crash.\n\n### Expected Behavior\n\nGUI should be running without crushing.\n\n### Environment\n\n```shell\nkernel: Darwin 22.4.0\r\nos: \t\tmacOS \t\t13.3.1\r\ncmake version 3.26.1\r\nCMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n-- The CXX compiler identification is AppleClang 14.0.3.14030022\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /Library/Developer/CommandLineTools/usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7424-gcf7ecdf0c\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 14.0.3.14030022\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is AppleClang 14.0.3.14030022\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /Library/Developer/CommandLineTools/usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/local/Frameworks/Python.framework/Versions/3.11/bin/python3.11 (found version \"3.11.2\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/local/lib/libtcl8.6.dylib\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- TCL readline library: /opt/local/lib/libtclreadline.dylib\r\n-- TCL readline header: /opt/local/include\r\n-- Found SWIG: /opt/local/bin/swig (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/local/opt/python@3.11/Frameworks/Python.framework/Versions/3.11/include/python3.11 (found version \"3.11.2\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/local/opt/or-tools/lib/libz.a (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/local/opt/bison/bin/bison (found version \"3.8.2\") \r\n-- Found Doxygen: /usr/local/bin/doxygen (found version \"1.9.1\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 20805c83cf4817f6d2ca7867a52dac226515a21e\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 14.0.3.14030022\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/local/opt/flex/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/local/lib/libtcl8.6.dylib\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /Users/jiadyuan/Desktop/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /usr/local/opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /usr/local/opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /usr/local/opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/opt/or-tools/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /usr/local/opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -Xclang -fopenmp (found version \"5.0\") \r\n-- Found OpenMP: TRUE (found version \"5.0\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- Removing MPL2 and PAR to avoid run time fatal error.\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Removing PAR_PY to avoid run time fatal error.\r\n-- Configuring done (17.1s)\r\n-- Generating done (1.1s)\r\n-- Build files have been written to: /var/folders/_n/26qjtdy14zd1zs4j1wb83v300000gn/T/tmp.er8n852V\n```\n\n\n### To Reproduce\n\n[logs.txt](https://github.com/The-OpenROAD-Project/OpenROAD/files/11366811/logs.txt)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "We don't officially support mac os though a number of people do use it successfully. Is this x86, m1, or m2? Can you include the .odb?"}, {"user": "> We don't officially support mac os though a number of people do use it successfully. Is this x86, m1, or m2? Can you include the .odb?\r\n\r\nyeah, it's x86. (Intel core i7)"}, {"user": "> We don't officially support mac os though a number of people do use it successfully. Is this x86, m1, or m2? Can you include the .odb?\r\n\r\nAnd yes, I use it successfully when I was opening a odb file of ibex core. But when it comes to a larger design, the GUI is crushing."}, {"assistant": "A test case is necessary to do anything about it."}, {"assistant": "Closing due to inactivity. Feel free to reopen it you can provide an example"}]} +{"num": 3278, "messages": [{"user": "### Description\n\nIf zooming is slow and I use the mouse wheel to zoom in/out, then all the zoom steps are rendered, which makes the GUI sluggish.\n\n### Suggested Solution\n\nSkip interveening zoom levels that have queued up while zooming.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort maybe a small timer on the mouse wheel event?"}, {"assistant": "A timer might work, but when looking at a small design the wheel zoom works great and I wonder if the time would result in more jumpy zooming there.\r\nWe could try it and see how it handles. "}, {"assistant": "Something small like 10ms might be a good tradeoff for continuous scrolling where events should be quite close."}, {"user": "> Something small like 10ms might be a good tradeoff for continuous scrolling where events should be quite close.\r\n\r\nWhy is that needed? the rendering is much slower than 10ms, so by the time rendering finishes, there are lots of events queued up.\r\n\r\nIf the GUI sets a flag to rerender(dirty) when processing the quueue and then clears the dirty flag and render every time there are no events in the queue and the dirty flag is set, I think the problem would be solved?"}, {"assistant": "Qt already does event compression. When we get the first event we will start drawing at that scale and when we finish that render we will have to start another at where ever you are now zoomed to. It is better to delay any rendering until things are static."}, {"user": "> Qt already does event compression. When we get the first event we will start drawing at that scale and when we finish that render we will have to start another at where ever you are now zoomed to. It is better to delay any rendering until things are static.\r\n\r\nI see. I guess what I am observing is that event compression is not working then."}, {"user": "I think what is needed is a general strategy and implementaiton to collapse events as Qt doesn't do this out of box in every case. For instance, mouse wheel events are not collapsed.\r\n\r\nAlso, ref #3279 would be largely addressed if events that change the heatmap configuration was collapsed.\r\n\r\nA strawman strategy: push out rendering by 4x the rendering time until no new events(keyboard, mouse, etc.) come in, if rendering time is >200ms would work."}, {"user": "@maliberty An idea to make the GUI more interactive. Add a bitmap cache and scale images from the cache before a proper version of can be rendered. Eventually the cache might be populated in the background while the user is staring at the screen pondering the design."}, {"user": "@maliberty Interesting: the docker image doesn't queue up zooming like the local install does. So the local install is in fact not behaving as well as the docker version, since the local version will render all intermediate steps, whereas docker version doesn't."}, {"assistant": "By \"all intermediate steps\" how many zoom events are queued up? If you scroll X times while the first zoom is rendering, does it finish rendering the first zoom, then render each of the X zooms? So the more you zoom the more behind it gets, or do some of the zoom events get collapsed?"}, {"user": "> By \"all intermediate steps\" how many zoom events are queued up?\r\n\r\nEasily 10s of steps.\r\n\r\n> If you scroll X times while the first zoom is rendering, does it finish rendering the first zoom, then render each of the X zooms?\r\n\r\nYes.\r\n\r\n> So the more you zoom the more behind it gets,\r\n\r\nYes\r\n\r\n> or do some of the zoom events get collapsed?\r\n\r\nApparently not.\r\n\r\n\r\n\r\n"}]} +{"num": 3279, "messages": [{"user": "### Description\r\n\r\nWhen I click to enable heatmap, the GUI becomes unresponsive for a long time.\r\n\r\nI need to click on heatmap to configure it and enable it. This means changing, say, 4 parameters(min, max, legend, enable). Now I have to wait 4 * 20 seconds.\r\n\r\n### Suggested Solution\r\n\r\nDo heavy calculations in the background and leave GUI responsive.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "The database is not thread safe and collisions could happen (say you started the global router while the congestion map was being calculated). It would require some special limited operation mode and it would be tricky to get right. It has been discussed before but hasn't risen to the top because of the effort involved relative to the gain."}, {"user": "> The database is not thread safe and collisions could happen (say you started the global router while the congestion map was being calculated). It would require some special limited operation mode and it would be tricky to get right. It has been discussed before but hasn't risen to the top because of the effort involved relative to the gain.\r\n\r\nI see.\r\n\r\n\r\nAs a first step, it would be nice to push out rendering so that it isnt done 4x when changing heatmap settings."}, {"assistant": "@gadfort thoughts?"}, {"assistant": "Once the heatmap is computed it's just drawing it thats the issue (which shoudn't take too long).\r\nIt's not until the heatmap is needed that it is populated to avoid slowing down actually useful work in OpenROAD. There might be other inefficiencies that could be addressed, but as long as the database doesn't allow for threaded the options are somewhat limited.\r\n\r\n@oharboe where does this 4x come from?"}, {"assistant": "@gadfort what makes the computation of the heatmap slow?"}, {"assistant": "There are some r-tree lookups (which are not that slow) and some add and multiply, but thats really it.\r\nWhen it comes to the congestion map, the real issue it probably when zoomed out on a big design there can be a lot of gcells to draw. If the bins for congestion maps were larger it would be faster."}, {"user": "> Once the heatmap is computed it's just drawing it thats the issue (which shoudn't take too long). It's not until the heatmap is needed that it is populated to avoid slowing down actually useful work in OpenROAD. There might be other inefficiencies that could be addressed, but as long as the database doesn't allow for threaded the options are somewhat limited.\r\n> \r\n> @oharboe where does this 4x come from?\r\n\r\nAny time you click in the heatmap GUI, the screen is rerendered; I need 4 clicks. Every time I change the min max number by a single digit, e.g. from 0 to 80, the screen is rerendered."}, {"assistant": "I could see 2X\r\n- Click to turn off one heat map\r\n- Click to turn on another heat map\r\n\r\nWhere do the other two clicks go?"}, {"user": "> I could see 2X\r\n> \r\n> * Click to turn off one heat map\r\n> * Click to turn on another heat map\r\n> \r\n> Where do the other two clicks go?\r\n\r\nConfigure, double click, change min max, enable legend, enable heat map."}, {"user": "A generalized solution to #3278 would be a good first step to make working with bulky .odb files tolerable."}]} +{"num": 3280, "messages": [{"user": "### Describe the bug\n\nUsing OpenROAD v2.0-7983-g019cad01c, when I click on wires, they are no longer highlighted in yellow.\n\n### Expected Behavior\n\nThe wires should be highlighted-\n\n### Environment\n\n```shell\nOpenROAD v2.0-7983-g019cad01c\n```\n\n\n### To Reproduce\n\nClick on a wire: it isn't highlighted in yellow.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "It works fine for me. I'm guessing you turned off Misc/Highlight selected\r\n![image](https://user-images.githubusercontent.com/761514/235720233-bb3dddec-2d70-4e18-b35d-0027cbcf3ab9.png)\r\n"}, {"user": "Will reopen with more info if still a problem."}]} +{"num": 3290, "messages": [{"user": "### Description\r\n\r\nFrom a selected instance if you right click and use Select/All nets you may only get up to the first set of buffers, eg:\r\n![image](https://user-images.githubusercontent.com/761514/236072397-7bedd6a8-00f2-4b14-93de-fd3a5f342956.png)\r\n\r\n\r\n\r\n### Suggested Solution\r\n\r\nIt would be helpful if there were a similar Select/All buffer trees. Likewise for the other options as well as the Highlight/*.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I will be happy to work on that "}]} +{"num": 3321, "messages": [{"user": "### Describe the bug\n\nWhen I try to run make DESIGN_CONFIG=./designs/sky130hd/coyote_tc/config.mk, I am getting an error. What steps can I take to resolve this issue? \r\n\r\n\r\n[WARNING ORD-1011] LEF master sky130_ef_io__gpiov2_pad_wrapped has no liberty cell.\r\n[WARNING STA-0337] port \u2018en_i\u2019 not found.\r\n[WARNING STA-0337] port \u2018en_i\u2019 not found.\r\n[WARNING STA-0337] port \u2018en_i\u2019 not found.\r\n==========================================================================\r\nFloorplan check_setup\r\n--------------------------------------------------------------------------\r\nWarning: There is 1 input port missing set_input_delay.\r\nWarning: There are 5 unclocked register/latch pins.\r\nWarning: There are 255 unconstrained endpoints.\r\nnumber instances in verilog is 167002\r\nLoading library IO cell meta-data\r\nLoaded library IO cell data\r\n[WARNING IFP-0028] Core area lower left (210.000, 210.000) snapped to (210.220, 212.160).\r\n[INFO IFP-0001] Added 1535 rows of 10390 site unithd with height 1.\r\n[WARNING PDN-9035] No track information found for layer li1.\r\n[WARNING PDN-9035] No track information found for layer met1.\r\n[WARNING PDN-9035] No track information found for layer met2.\r\n[WARNING PDN-9035] No track information found for layer met3.\r\n[WARNING PDN-9035] No track information found for layer met4.\r\n[WARNING PDN-9035] No track information found for layer met5.\r\n[WARNING PAD-9050] Multiple nets found on AMUXBUS_A in padring.\r\n[WARNING PAD-9050] Multiple nets found on AMUXBUS_B in padring.\r\n[INFO PAD-9053] Creating padring nets: VSSIO, VSSA, VDDIO_Q, VCCD, VSSD, VSSIO_Q, AMUXBUS_A, AMUXBUS_B, VDDIO, VDDA, VCCHIB, VSWITCH.\r\n[WARNING PAD-9254] Unfilled gaps in the padring on right side\r\n[WARNING PAD-9255] 4395.0 -> 4395.14\r\n[WARNING PAD-9254] Unfilled gaps in the padring on left side\r\n[WARNING PAD-9255] 4395.0 -> 4395.14\r\n[ERROR PAD-9256] Padcell ring cannot be filled\r\nError: floorplan.tcl, 54 PAD-9256\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:12.13[h:]min:sec. CPU time: user 11.80 sys 0.32 (99%). Peak memory: 1027056KB.\r\nmake: *** [Makefile:437: results/sky130hd/coyote_tc/base/2_1_floorplan.odb] Error 1\r\n\r\n\n\n### Expected Behavior\n\nI'm expecting a clean run RTL to GDS using the flow script \n\n### Environment\n\n```shell\nn/a\n```\n\n\n### To Reproduce\n\nn/a\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mbautista-lab \r\nAre you using latest OpenROAD commit?"}, {"assistant": "Issue reproduced with latest commit as well."}, {"assistant": "This test case has a checkered history. It was started to demonstrate coyote in a pad ring (tc==test chip). The person who started it has moved on and it never got to be fully working and isn't in the CI. We should either finish it or remove it.\r\n\r\nIs there a particular reason you need to run this design?"}, {"assistant": "@vijayank88 do you want to look at this further? It needs to be converted from the old ICeWall style to the new pad style for a start (you could look at the pad unit tests for examples)."}, {"user": "Thanks for looking into the issue I submitted. \r\nYes, I believe I have installed the latest commit for OpenRoad. Currently, I am familiarizing myself with the design flow by running example circuits provided in the makefile through the tutorial. However, I have encountered an error and would appreciate your thoughts on what might have caused it and possible solutions to fix it.\r\n\r\n\r\n\r\n"}, {"assistant": "I suggest you stick to designs in the CI which can be found at https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/jenkins/public_nightly.Jenkinsfile#L54"}, {"user": "I see, ok, thanks for your reply. I'll check out the link you just give me. "}, {"assistant": "@maliberty \r\nIf I use `filler_overlap_allowed 1` it is passing pad placement stage. Is that right?\r\nI've discussed same [here](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/89).\r\n"}, {"assistant": "@mbautista-lab \r\nBased on the platform choose `ibex/aes` for flat design (i.e, without macros).\r\nRefer `chameleon/microwatt` for macro based designs.\r\nImplement similar method for your own design for GDSII generation.\r\nIf you've any issues feel free to open a new issue.\r\n\r\ncoyote_tc issue can be tracked here: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/89"}, {"assistant": "You would \r\n\r\n> @maliberty If I use `filler_overlap_allowed 1` it is passing pad placement stage. Is that right? I've discussed same [here](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/89).\r\n\r\nYou would have to look at the gds of the cells involved to see if it is legal or not. My guess is that the configuration is just wrong and this allows it to go through but will result in drc/lvs errors."}, {"assistant": "With overlap_allowed got struck at global routing with congestion issue. Let me explore further to fix it."}]} +{"num": 3322, "messages": [{"user": "### Describe the bug\n\nWhen I try to run make DESIGN_CONFIG=./designs/sky130hd/chameleon_hier/config.mk, I am getting an error. \r\n\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[WARNING GRT-0230] Congestion iterations cannot increase overflow, reached the maximum number of times the total overflow can be increased.\r\n[INFO GRT-0197] Via related to pin nodes: 14773\r\n[INFO GRT-0198] Via related Steiner nodes: 555\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 22592\r\n[INFO GRT-0112] Final usage 3D: 321877\r\n[ERROR GRT-0119] Routing congestion too high. Check the congestion heatmap in the GUI and load ./reports/sky130hd/chameleon_hier/base/congestion.rpt in the DRC viewer.\r\nError: global_route.tcl, 21 GRT-0119\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:33.32[h:]min:sec. CPU time: user 32.20 sys 1.10 (99%). Peak memory: 2623396KB.\r\nmake: *** [Makefile:598: results/sky130hd/chameleon_hier/base/5_1_grt.odb] Error 1\n\n### Expected Behavior\n\nA clean RTL-to-GDS flow\n\n### Environment\n\n```shell\nn/a\n```\n\n\n### To Reproduce\n\nn/a\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Have you tried \"Check the congestion heatmap in the GUI and load ./reports/sky130hd/chameleon_hier/base/congestion.rpt in the DRC viewer.\"?"}, {"assistant": "Similarly to https://github.com/The-OpenROAD-Project/OpenROAD/issues/3321 not a CI'ed design"}, {"assistant": "@vijayank88 do you want to pursue this?"}]} +{"num": 3326, "messages": [{"user": "### Describe the bug\n\nasap7/swerv_wrapper now ends with one drc:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/c4fa502b-9779-45ce-aa26-06aeee16e3d4)\r\n\r\n\r\nIt looks like the case of a net too close to a macro to get away. Probably a problem in track assignment.\n\n### Expected Behavior\n\nRoutes clean.\n\n### Environment\n\n```shell\nHead of master. Jenkins build https://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/2489/ shows it.\n```\n\n\n### To Reproduce\n\nYou can get the CI artifact from https://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/2489/artifact/flow/final_report_swerv_wrapper_nangate45_base_2023-05-11_20-15.tar.gz or run it yourself.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Once this is fixed you can reset the metrics for this design (loosened in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1061)"}]} +{"num": 3328, "messages": [{"user": "### Describe the bug\r\n\r\nThe attached odb produces a verilog with the following nets:\r\n```\r\n wire [4:0] \\clknet_11111111;\r\n```\r\nThis net doesn't exist in the design. In addition, it has a verilog syntax error.\r\n\r\nSometimes this is generated:\r\n```\r\n wire [4:0] \\WF\u0089\u00a0\u008bU ;\r\n```\r\nAlso other cases reported are here https://github.com/The-OpenROAD-Project/OpenLane/pull/1774#issuecomment-1545691791. \r\n\r\n### Expected Behavior\r\n\r\nNo such nets.\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: aa1f8060d856733b82678802809c57bb260b3aac\r\nkernel: Linux 6.1.20-060120-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8114-gaa1f8060d\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/karim/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\") \r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - SCMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nuccess\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.XKWMA8f2EV\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11465455/reproducible.tar.gz)\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\nThe odb was generated in OpenLane flow using the latest OpenROAD version. In the flow, the generated bad wire is `clknet_11111111`. Off the flow, each time a random bunch of characters are generated. "}, {"assistant": "My attempt at a **bisect**:\r\n\r\n```\r\n test8-871faff35-good f99158b7 test8-871faff35\r\n test9-cd03c5cf8-bad 9584a78e test9-cd03c5cf8\r\n test10-84ae3cb8-good c6f21ab6 test10-84ae3cb8\r\n test11-59cdc1a5e-good 6a920389 test11-59cdc1a5e\r\n test12-8a4065b09-bad bdfe278d test12-8a4065b09\r\n test13-5d4aeb1c6-good 8f3499e1 test13-5d4aeb1c6\r\n test14-298fbe4af-bad 8a23d0a6 test14-298fbe4af\r\n test15-5c1e261be-good 2a4f9a57 test15-5c1e261be\r\n test16-d5e88bef0-bad 303dae3d test16-d5e88bef0\r\n test17-e905416f4-buildfailure bcfa433c test17-e905416f4\r\n test18-b9f9168b5-buildfailure 57eb213b test18-b9f9168b5\r\n* test19-450801082-bad c8d6b3bd test19-450801082\r\n\r\n```\r\n\r\nThe commit ID after the testXY- is a n OpenROAD commit ID\r\n\r\n```\r\ntest12-8a4065b09-bad\r\n\r\ntest14-298fbe4af-bad 298fbe4af Thu Mar 30 14:51:53 2023 -0700 Merge pull request #3114 from Pinata-Consulting/deltadebug-fix-false-negatives\r\n 7ae04fcb3 Thu Mar 30 22:24:51 2023 +0200 deltaDebug: add --exit_early_on_error option to speed up bisection\r\n ed2d04e36 Wed Mar 29 23:33:36 2023 -0700 Merge pull request #3111 from The-OpenROAD-Project-staging/tidy\r\n 1f5007a6f Wed Mar 29 22:00:26 2023 -0700 ord: clang-tidy\r\n 71a707440 Wed Mar 29 15:32:41 2023 -0700 Merge pull request #3107 from donn/allow_disabling_tests\r\n d534d3fcb Wed Mar 29 22:58:03 2023 +0200 Allow Disabling Tests\r\ntest16-d5e88bef0-bad d5e88bef0 Wed Mar 29 13:56:37 2023 -0700 Merge pull request #3078 from openroadie/master\r\n 948ee813e Wed Mar 29 10:36:18 2023 -0700 fix: Fix power unit suffix nw -> nW\r\n 6698a5592 Wed Mar 29 10:33:15 2023 -0700 fix: Fix power unit suffix nw -> nW\r\ntest19-450801082-bad 450801082 Wed Mar 29 09:58:46 2023 -0700 fix: Updated OpenSTA to fix test crashes in the last update\r\n 21d16e0c3 Tue Mar 28 22:24:16 2023 -0700 fix: Add missing dependency needed to link with OpenSTA\r\n e0674f0ca Tue Mar 28 22:06:11 2023 -0700 fix: Make clang-tidy happy\r\n 188ddecfa Tue Mar 28 21:55:12 2023 -0700 fix: Add missing dependency needed to link with OpenSTA\r\ntest18-b9f9168b5-buildfailure b9f9168b5 Tue Mar 28 19:02:49 2023 -0700 fix: OpenSTA API return type changes\r\ntest17-e905416f4-buildfailure e905416f4 Tue Mar 28 18:33:01 2023 -0700 fix: Latest OpenSTA\r\ntest15-5c1e261be-good 5c1e261be Tue Mar 28 16:46:33 2023 -0700 fix: Updated to latest OpenSTA to fix compile warning treated as error in OR\r\n 39a9c9628 Tue Mar 28 10:38:58 2023 -0700 fix: update golden due to STA update\r\n 2e7a9eee0 Tue Mar 28 00:56:52 2023 -0700 Merge branch 'master' into master\r\n 3c9d9265c Sat Mar 25 23:38:37 2023 -0700 fix: update to the latest OpenROAD/OpenSTA\r\n ec43ea082 Sat Mar 25 23:16:52 2023 -0700 fix: update to the latest OpenROAD/OpenSTA\r\n d9a93706a Fri Mar 24 12:36:33 2023 -0700 fix: update golden due to STA changes\r\n\r\ntest13-5d4aeb1c6-good\r\n\r\n```"}, {"assistant": "@openroadie the code in VerilogWriter::writeWireDcls is clearly wrong:\r\n```\r\n string bus_name;\r\n int index;\r\n parseBusName(net_name, '[', ']', escape, is_bus, bus_name, index);\r\n BusIndexRange &range = bus_ranges[bus_name.c_str()];\r\n```\r\nbus_name will go out of scope and be deleted but bus_ranges will still be holding a pointer to it. Please have this fixed in sta."}, {"user": "I know that this is fixed, but OpenSTA in OR is upstreamed yet. So, I think this should remain open until then. "}]} +{"num": 3329, "messages": [{"user": "### Describe the bug\n\nWhen running `check_antennas` it results in a double free or corruption segfault.\r\nIn this case, the net was `rst_ni`\r\n\r\nHere is the backtrace: \r\n```\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\ndouble free or corruption (out)\r\n\r\nThread 1 \"openroad\" received signal SIGABRT, Aborted.\r\n__pthread_kill_implementation (no_tid=0, signo=6, threadid=140737242349696) at ./nptl/pthread_kill.c:44\r\n44 ./nptl/pthread_kill.c: No such file or directory.\r\n(gdb) bt\r\n#0 __pthread_kill_implementation (no_tid=0, signo=6, threadid=140737242349696) at ./nptl/pthread_kill.c:44\r\n#1 __pthread_kill_internal (signo=6, threadid=140737242349696) at ./nptl/pthread_kill.c:78\r\n#2 __GI___pthread_kill (threadid=140737242349696, signo=signo@entry=6) at ./nptl/pthread_kill.c:89\r\n#3 0x00007ffff4021476 in __GI_raise (sig=sig@entry=6) at ../sysdeps/posix/raise.c:26\r\n#4 0x00007ffff40077f3 in __GI_abort () at ./stdlib/abort.c:79\r\n#5 0x00007ffff40686f6 in __libc_message (action=action@entry=do_abort, fmt=fmt@entry=0x7ffff41bab8c \"%s\\n\") at ../sysdeps/posix/libc_fatal.c:155\r\n#6 0x00007ffff407fd7c in malloc_printerr (str=str@entry=0x7ffff41bd7b0 \"double free or corruption (out)\") at ./malloc/malloc.c:5664\r\n#7 0x00007ffff4081ef0 in _int_free (av=0x7ffff41f8c80 , p=0x55556d37c650, have_lock=) at ./malloc/malloc.c:4588\r\n#8 0x00007ffff40844d3 in __GI___libc_free (mem=) at ./malloc/malloc.c:3391\r\n#9 0x00005555591e7497 in __gnu_cxx::new_allocator::deallocate (this=0x7fffffffd1ef, __p=0x55556d37c660, __t=5087) at /usr/include/c++/11/ext/new_allocator.h:145\r\n#10 0x00005555591e5458 in std::allocator_traits >::deallocate (__a=..., __p=0x55556d37c660, __n=5087) at /usr/include/c++/11/bits/alloc_traits.h:496\r\n#11 0x0000555559bffa47 in std::__detail::_Hashtable_alloc > >::_M_deallocate_buckets (this=0x7fffffffd380, __bkts=0x55556d37c660, __bkt_count=5087)\r\n at /usr/include/c++/11/bits/hashtable_policy.h:1942\r\n#12 0x0000555559bfe32e in std::_Hashtable, std::__detail::_Identity, std::equal_to, grt::GSegmentHash, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits >::_M_deallocate_buckets (this=0x7fffffffd380, __bkts=0x55556d37c660, __bkt_count=5087)\r\n at /usr/include/c++/11/bits/hashtable.h:449\r\n#13 0x0000555559bfca44 in std::_Hashtable, std::__detail::_Identity, std::equal_to, grt::GSegmentHash, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits >::_M_deallocate_buckets (this=0x7fffffffd380) at /usr/include/c++/11/bits/hashtable.h:454\r\n#14 0x0000555559bfb464 in std::_Hashtable, std::__detail::_Identity, std::equal_to, grt::GSegmentHash, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits >::~_Hashtable (this=0x7fffffffd380, __in_chrg=) at /usr/include/c++/11/bits/hashtable.h:1533\r\n#15 0x0000555559bfabc6 in std::unordered_set, std::allocator >::~unordered_set (this=0x7fffffffd380, __in_chrg=)\r\n at /usr/include/c++/11/bits/unordered_set.h:97\r\n#16 0x0000555559bf82c8 in grt::RepairAntennas::makeNetWire (this=0x55556b842790, db_net=0x5555638afc08, route=std::vector of length 4194, capacity 8192 = {...}, default_vias=std::map with 5 elements = {...})\r\n at /home/pgadfort/OpenROAD/src/grt/src/RepairAntennas.cpp:185\r\n#17 0x0000555559bf7cad in grt::RepairAntennas::makeNetWires (this=0x55556b842790, routing=std::map with 9488 elements = {...}, max_routing_layer=6) at /home/pgadfort/OpenROAD/src/grt/src/RepairAntennas.cpp:105\r\n#18 0x0000555559b9f97e in grt::GlobalRouter::makeNetWires (this=0x55555f647800) at /home/pgadfort/OpenROAD/src/grt/src/GlobalRouter.cpp:383\r\n#19 0x000055555a60b0b6 in ant::AntennaChecker::checkAntennas (this=0x55555f661df0, net=0x0, verbose=false) at /home/pgadfort/OpenROAD/src/ant/src/AntennaChecker.cc:1737\r\n#20 0x000055555a61baaf in ant::check_antennas (net_name=0x7ffff7fa9038 \"\", verbose=false) at /home/pgadfort/OpenROAD/build/src/ant/src/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx:1735\r\n#21 0x000055555a61beda in _wrap_check_antennas (clientData=0x0, interp=0x55555f694590, objc=3, objv=0x55555f6a2c40) at /home/pgadfort/OpenROAD/build/src/ant/src/CMakeFiles/ant.dir/AntennaCheckerTCL_wrap.cxx:1854\r\n#22 0x00007ffff7e36d32 in TclNRRunCallbacks () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\n#23 0x00007ffff7e38028 in ?? () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\n#24 0x00007ffff7e37aa7 in Tcl_EvalEx () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\n#25 0x00007ffff7e38c9a in Tcl_Eval () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\n#26 0x00005555595261de in sta::sourceTclFile (filename=0x7fffffffe313 \"../../sc_collected_files/openroad_db7b5b88649b2143f9a805a3e7197c48e1ea0a60/sc_apr.tcl\", echo=false, verbose=false, interp=0x55555f694590)\r\n at /home/pgadfort/OpenROAD/src/sta/app/StaMain.cc:95\r\n#27 0x000055555910d28e in tclAppInit (argc=@0x55555f363498: 2, argv=0x7fffffffe048, init_filename=0x55555c4fe9b7 \".openroad\", interp=0x55555f694590) at /home/pgadfort/OpenROAD/src/Main.cc:417\r\n#28 0x000055555910d543 in ord::tclAppInit (interp=0x55555f694590) at /home/pgadfort/OpenROAD/src/Main.cc:445\r\n#29 0x00007ffff7eeab19 in Tcl_MainEx () from /lib/x86_64-linux-gnu/libtcl8.6.so\r\n#30 0x000055555910cbf3 in main (argc=3, argv=0x7fffffffe048) at /home/pgadfort/OpenROAD/src/Main.cc:296\r\n```\n\n### Expected Behavior\n\nNot segfaulting\n\n### Environment\n\n```shell\nGit commit: aa1f8060d856733b82678802809c57bb260b3aac\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.25.0\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8114-gaa1f8060d\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/pgadfort/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\")\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- Found Threads: TRUE\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/pgadfort/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\")\r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.r0ipP1j6qk\n```\n\n\n### To Reproduce\n\n[sc_issue_spi_device_job0_route0_20230512-070042.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11465958/sc_issue_spi_device_job0_route0_20230512-070042.tar.gz)\r\n\r\n```\r\ntar xvf sc_issue_spi_device_job0_route0_20230512-070042.tar.gz\r\ncd sc_issue_spi_device_job0_route0_20230512-070042\r\n./run.sh\r\n```\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-8053-gf839e309b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nReading liberty file for fast: /home/amirv/sc_tools/siliconcompiler/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lib/sky130_fd_sc_hd__ff_100C_1v95.lib.gz\r\nReading liberty file for slow: /home/amirv/sc_tools/siliconcompiler/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lib/sky130_fd_sc_hd__ss_n40C_1v40.lib.gz\r\nReading liberty file for typical: /home/amirv/sc_tools/siliconcompiler/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lib/sky130_fd_sc_hd__tt_025C_1v80.lib.gz\r\nReading ODB: inputs/spi_device.odb\r\nReading SDC: inputs/spi_device.sdc\r\nWarning: There are 152 input ports missing set_input_delay.\r\nWarning: There are 102 output ports missing set_output_delay.\r\nWarning: There are 110 unconstrained endpoints.\r\n[INFO ORD-0030] Using 8 thread(s).\r\n##########################################################\r\n# ROUTING\r\n##########################################################\r\n#######################\r\n# Helper functions\r\n#######################\r\nproc insert_fillers {} {\r\n upvar sc_filler sc_filler\r\n if { ! ( $sc_filler eq \"\" ) } {\r\n filler_placement $sc_filler\r\n }\r\n check_placement -verbose\r\n global_connect\r\n}\r\n#######################\r\n# Add Fillers\r\n#######################\r\ninsert_fillers\r\n[INFO DPL-0001] Placed 75931 filler instances.\r\n######################\r\n# Setup detailed route options\r\n######################\r\nif {[dict exists $sc_cfg tool $sc_tool task $sc_task var drt_default_via]} {\r\n foreach via [dict exists $sc_cfg tool $sc_tool task $sc_task var drt_default_via] {\r\n detailed_route_set_default_via $via\r\n }\r\n}\r\nif {[dict exists $sc_cfg tool $sc_tool task $sc_task var drt_unidirectional_layer]} {\r\n foreach layer [dict exists $sc_cfg tool $sc_tool task $sc_task var drt_unidirectional_layer] {\r\n detailed_route_set_unidirectional_layer $via\r\n }\r\n}\r\n######################\r\n# GLOBAL ROUTE\r\n######################\r\n# Pin access\r\nif {$openroad_grt_use_pin_access == \"true\"} {\r\n openroad_pin_access_args []\r\n if {$openroad_drt_process_node != \"false\"} {\r\n lappend openroad_pin_access_args \"-db_process_node\" $openroad_drt_process_node\r\n }\r\n pin_access -bottom_routing_layer $sc_minmetal \\\r\n -top_routing_layer $sc_maxmetal \\\r\n {*}$openroad_pin_access_args\r\n}\r\nset sc_grt_arguments []\r\nif {$openroad_grt_allow_congestion == \"true\"} {\r\n lappend sc_grt_arguments \"-allow_congestion\"\r\n}\r\nif {$openroad_grt_allow_overflow == \"true\"} {\r\n lappend sc_grt_arguments \"-allow_overflow\"\r\n}\r\nglobal_route -guide_file \"./route.guide\" \\\r\n -congestion_iterations $openroad_grt_overflow_iter \\\r\n -congestion_report_file \"reports/${sc_design}_congestion.rpt\" \\\r\n -verbose \\\r\n {*}$sc_grt_arguments\r\n[INFO GRT-0020] Min routing layer: met1\r\n[INFO GRT-0021] Max routing layer: met5\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400\r\n[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400\r\n[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500\r\n[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150\r\n[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 1.0400\r\n[INFO GRT-0088] Layer met5 Track-Pitch = 3.4000 line-2-Via Pitch: 3.1100\r\n[INFO GRT-0019] Found 153 clock nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 1746\r\n[INFO GRT-0003] Macros: 1\r\n[INFO GRT-0004] Blockages: 29187\r\n\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nli1 Vertical 0 0 0.00%\r\nmet1 Horizontal 414720 147163 64.52%\r\nmet2 Vertical 311040 146451 52.92%\r\nmet3 Horizontal 207360 101785 50.91%\r\nmet4 Vertical 124416 44046 64.60%\r\nmet5 Horizontal 41472 20878 49.66%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0197] Via related to pin nodes: 44512\r\n[INFO GRT-0198] Via related Steiner nodes: 2123\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 52599\r\n[INFO GRT-0112] Final usage 3D: 242887\r\n\r\n[INFO GRT-0096] Final congestion report:\r\nLayer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n---------------------------------------------------------------------------------------\r\nli1 0 0 0.00% 0 / 0 / 0\r\nmet1 147163 37099 25.21% 0 / 0 / 0\r\nmet2 146451 35611 24.32% 0 / 0 / 0\r\nmet3 101785 7563 7.43% 0 / 0 / 0\r\nmet4 44046 4388 9.96% 0 / 0 / 0\r\nmet5 20878 429 2.05% 0 / 0 / 0\r\n---------------------------------------------------------------------------------------\r\nTotal 460323 85090 18.48% 0 / 0 / 0\r\n\r\n[INFO GRT-0018] Total wirelength: 793941 um\r\n[INFO GRT-0014] Routed nets: 9488\r\n######################\r\n# Report and Repair Antennas\r\n######################\r\nestimate_parasitics -global_routing\r\nif {[check_antennas -report_file \"reports/${sc_design}_antenna.rpt\"] != 0} {\r\n if {[llength [dict get $sc_cfg library $sc_mainlib asic cells antenna]] != 0} {\r\n set sc_antenna [lindex [dict get $sc_cfg library $sc_mainlib asic cells antenna] 0]\r\n # Remove filler cells before attempting to repair antennas\r\n remove_fillers\r\n repair_antenna $sc_antenna \\\r\n -iterations $openroad_ant_iterations \\\r\n -ratio_margin $openroad_ant_margin\r\n # Add filler cells back\r\n insert_fillers\r\n # Check antennas again to get final report\r\n check_antennas -report_file \"reports/${sc_design}_antenna_post_repair.rpt\"\r\n }\r\n}\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\ndouble free or corruption (out)\r\nSignal 6 received\r\nStack trace:\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Hi @gadfort,\r\nI've been looking into your bug for the last week but it seems to be fixed in the latest versions of OR (commit [grt: do not create virtual wires on first routing layer](https://github.com/The-OpenROAD-Project/OpenROAD/commit/31baf6442f3443a7a25050743d3386e374c2403d)).\r\nCould you run the test again with the most recent version of OR and confirm that the bug is gone?\r\nI will be waiting for your confirmation"}, {"user": "@luis201420 I don't see the issue anymore. Thanks for checking. I'll close the issue."}]} +{"num": 3330, "messages": [{"user": "### Describe the bug\r\n\r\nLayoutViewer::drawRows() can be pathologically slow, which lead us down this wild goose chase in this issue ... Looking at the code, it looks like this method should render an approperiate level of detail to automatically balance speed and detail for zoom level.\r\n\r\n\r\nThis is odd. It *could* be a configuration issue, but I have tried a laptop and a very different workstation. Workstation is Ubuntu 22.04 and docker of course runs centos 7 inside the docker image.\r\n\r\n\r\n### Expected Behavior\r\n\r\nDocker should be slower to zoom than a local install.\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: aa1f8060d856733b82678802809c57bb260b3aac\r\nkernel: Linux 5.19.0-41-generic\r\nos: Ubuntu 22.10 (Kinetic Kudu)\r\ncmake version 3.24.2\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nBuild locally `./build_openroad.sh --local` and a docker image `./build_openroad.sh`\r\n\r\nMake a design that is large enough that zooming takes a noticable amount of time.\r\n\r\nCreate a \"./or\" script locally, then...\r\n\r\nRuns in docker:\r\n\r\n```\r\n./or make gui_final\r\n```\r\n\r\nRuns locally:\r\n\r\n```\r\nmake gui_final\r\n```\r\n\r\nzoom in/out rapidly, observe a difference in performance. I would not expect Docker to be faster.\r\n\r\n\r\n\r\n\r\n\r\n```\r\n#!/bin/bash\r\nset -ex\r\nDIR=\"$( cd \"$( dirname \"${BASH_SOURCE[0]}\" )\" && pwd )\"\r\n\r\nWORKSPACE=$(pwd)\r\n\r\nmkdir -p `pwd`/logs\r\nmkdir -p `pwd`/results\r\nmkdir -p `pwd`/fakeram-results\r\nmkdir -p `pwd`/objects\r\nmkdir -p `pwd`/reports\r\n\r\nXSOCK=/tmp/.X11-unix\r\nXAUTH=/tmp/.docker.xauth\r\nxauth nlist :0 | sed -e 's/^..../ffff/' | xauth -f $XAUTH nmerge -\r\nARGUMENTS=$@\r\n\r\nif test -t 0; then\r\n DOCKER_INTERACTIVE=-ti\r\nfi\r\n\r\n# Map the Verilog folder into the Docker image and build them\r\ndocker run -u $(id -u ${USER}):$(id -g ${USER}) \\\r\n -e LIBGL_ALWAYS_SOFTWARE=1 \\\r\n -e \"QT_X11_NO_MITSHM=1\" \\\r\n -e XDG_RUNTIME_DIR=/tmp/xdg-run \\\r\n -e DISPLAY=$DISPLAY \\\r\n -e QT_XKB_CONFIG_ROOT=/usr/share/X11/xkb \\\r\n -v $XSOCK:$XSOCK \\\r\n -v $XAUTH:$XAUTH \\\r\n -e XAUTHORITY=$XAUTH \\\r\n -e FLOW_HOME=/OpenROAD-flow-scripts/flow/ \\\r\n -e MAKEFILES=/OpenROAD-flow-scripts/flow/Makefile \\\r\n -v $WORKSPACE:`pwd` \\\r\n $DOCKER_INTERACTIVE \\\r\nopenroad/flow-centos7-builder:latest \\\r\n bash -c \"set -ex\r\n mkdir /tmp/xdg-run\r\n . ./env.sh\r\n cd $DIR\r\n $ARGUMENTS\r\n\"\r\n```\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "It sounds like this will be difficult to reproduce. Would you try adding\r\n```\r\nset_debug_level GUI draw 1\r\n```\r\nto your tcl file and report the results from both configurations. Perform the exact same operations in both runs (say initial load and three mouse wheel clicks close together)."}, {"user": "> It sounds like this will be difficult to reproduce. Would you try adding\r\n> \r\n> ```\r\n> set_debug_level GUI draw 1\r\n> ```\r\n> \r\n> to your tcl file and report the results from both configurations. Perform the exact same operations in both runs (say initial load and three mouse wheel clicks close together).\r\n\r\nI will make the measurement, but unless you can reproduce this on your end, then I don't think this finding will help us much...\r\n\r\nCan you give it a go?"}, {"user": "@maliberty How do I measure GUI performance reliably?\r\n\r\nCould a new target be made? `make gui_final_test`, load a design, then run performance tests?"}, {"user": "I didn't notice any additional output after setting up debug output. I ran the command then zoomed in/out:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/0418cfc6-f52a-4caf-8209-e539957f0431)\r\n"}, {"user": "@nekronos Instructions on how to set this up in the debugger and using `perf`:\r\n\r\n1. Build OpenROAD with debug information and release: `./build_openroad.sh --latest --local --openroad-args \"-DCMAKE_BUILD_TYPE=RELEASE -DCMAKE_CXX_FLAGS=-g\"`\r\n2. Build a design that takes a bit of time to render and start GUI:\r\n ```\r\n cd flow\r\n MOCK_ARRAY_PITCH_SCALE=20 make DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n make DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk gui_final\r\n ```\r\n3. Attach debugger\r\n4. Zoom in/out and suspend/resume to examine where the time goes\r\n\r\nDocker is faster.\r\n\r\nIf you want to profile using perf, you can run:\r\n\r\n```\r\nOPENROAD_EXE=\"perf record ../tools/install/OpenROAD/bin/openroad\" make DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk gui_final\r\n```\r\nCan we do the same with a software rasterizer using the local build?\r\n\r\n```\r\nsudo apt-get install libgl1-mesa-dri\r\nexport LIBGL_ALWAYS_SOFTWARE=1\r\n```\r\n\r\nI tried `LIBGL_ALWAYS_SOFTWARE=1` on a laptop that clearly demonstrates slower local than docker, and I couldn't detect any effect."}, {"user": "Nice! The difference evaporates on this laptop when I rebuild with the options above.\r\n\r\nDocker, from zoom in, then preff \r\nZoom in, then hit 'f', to render the full image:\r\n\r\nDocker:\r\n\r\n\r\n```\r\n[DEBUG GUI-draw] inst search 0.00149054 sec\r\n[DEBUG GUI-draw] inst outline render 7.8416e-05 sec\r\n[DEBUG GUI-draw] layer V0 render 1.8518e-05 sec\r\n[DEBUG GUI-draw] layer M1 render 0.0645053 sec\r\n[DEBUG GUI-draw] layer V1 render 1.0153e-05 sec\r\n[DEBUG GUI-draw] layer M2 render 0.0664876 sec\r\n[DEBUG GUI-draw] layer V2 render 6.365e-06 sec\r\n[DEBUG GUI-draw] layer M3 render 0.00801023 sec\r\n[DEBUG GUI-draw] layer V3 render 1.1735e-05 sec\r\n[DEBUG GUI-draw] layer M4 render 0.00827889 sec\r\n[DEBUG GUI-draw] layer V4 render 1.085e-05 sec\r\n[DEBUG GUI-draw] layer M5 render 0.00408528 sec\r\n[DEBUG GUI-draw] layer V5 render 6.632e-06 sec\r\n[DEBUG GUI-draw] layer M6 render 0.00302874 sec\r\n[DEBUG GUI-draw] layer V6 render 6.802e-06 sec\r\n[DEBUG GUI-draw] layer M7 render 8.445e-06 sec\r\n[DEBUG GUI-draw] layer V7 render 5.346e-06 sec\r\n[DEBUG GUI-draw] layer M8 render 8.196e-06 sec\r\n[DEBUG GUI-draw] layer V8 render 5.12e-06 sec\r\n[DEBUG GUI-draw] layer M9 render 1.0017e-05 sec\r\n[DEBUG GUI-draw] layer V9 render 5.732e-06 sec\r\n[DEBUG GUI-draw] layer Pad render 8.514e-06 sec\r\n[DEBUG GUI-draw] total render 0.163417 sec\r\n```\r\n\r\nlocal OpenROAD v2.0-8120-gccbbca57b \r\n```\r\n[DEBUG GUI-draw] inst search 0.00151148 sec\r\n[DEBUG GUI-draw] inst outline render 6.7555e-05 sec\r\n[DEBUG GUI-draw] layer V0 render 8.846e-06 sec\r\n[DEBUG GUI-draw] layer M1 render 0.0657221 sec\r\n[DEBUG GUI-draw] layer V1 render 8.102e-06 sec\r\n[DEBUG GUI-draw] layer M2 render 0.0666655 sec\r\n[DEBUG GUI-draw] layer V2 render 5.624e-06 sec\r\n[DEBUG GUI-draw] layer M3 render 0.00730094 sec\r\n[DEBUG GUI-draw] layer V3 render 6.418e-06 sec\r\n[DEBUG GUI-draw] layer M4 render 0.00745809 sec\r\n[DEBUG GUI-draw] layer V4 render 6.413e-06 sec\r\n[DEBUG GUI-draw] layer M5 render 0.00412683 sec\r\n[DEBUG GUI-draw] layer V5 render 5.133e-06 sec\r\n[DEBUG GUI-draw] layer M6 render 0.00311936 sec\r\n[DEBUG GUI-draw] layer V6 render 4.067e-06 sec\r\n[DEBUG GUI-draw] layer M7 render 5.354e-06 sec\r\n[DEBUG GUI-draw] layer V7 render 2.76e-06 sec\r\n[DEBUG GUI-draw] layer M8 render 3.785e-06 sec\r\n[DEBUG GUI-draw] layer V8 render 2.794e-06 sec\r\n[DEBUG GUI-draw] layer M9 render 3.661e-06 sec\r\n[DEBUG GUI-draw] layer V9 render 2.792e-06 sec\r\n[DEBUG GUI-draw] layer Pad render 3.658e-06 sec\r\n[DEBUG GUI-draw] total render 0.161982 sec\r\n```\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\u00a0 | \u00a0 | docker | local | difference in percent of total running time\r\n-- | -- | -- | -- | --\r\ninst | search | 1.49E-03 | 1.51E-03 | -0.01%\r\ninst | outline | 7.84E-05 | 6.76E-05 | 0.01%\r\nlayer | V0 | 1.85E-05 | 8.85E-06 | 0.01%\r\nlayer | M1 | 6.45E-02 | 6.57E-02 | -0.74%\r\nlayer | V1 | 1.02E-05 | 8.10E-06 | 0.00%\r\nlayer | M2 | 6.65E-02 | 6.67E-02 | -0.11%\r\nlayer | V2 | 6.37E-06 | 5.62E-06 | 0.00%\r\nlayer | M3 | 8.01E-03 | 7.30E-03 | 0.43%\r\nlayer | V3 | 1.17E-05 | 6.42E-06 | 0.00%\r\nlayer | M4 | 8.28E-03 | 7.46E-03 | 0.50%\r\nlayer | V4 | 1.09E-05 | 6.41E-06 | 0.00%\r\nlayer | M5 | 4.09E-03 | 4.13E-03 | -0.03%\r\nlayer | V5 | 6.63E-06 | 5.13E-06 | 0.00%\r\nlayer | M6 | 3.03E-03 | 3.12E-03 | -0.06%\r\nlayer | V6 | 6.80E-06 | 4.07E-06 | 0.00%\r\nlayer | M7 | 8.45E-06 | 5.35E-06 | 0.00%\r\nlayer | V7 | 5.35E-06 | 2.76E-06 | 0.00%\r\nlayer | M8 | 8.20E-06 | 3.79E-06 | 0.00%\r\nlayer | V8 | 5.12E-06 | 2.79E-06 | 0.00%\r\nlayer | M9 | 1.00E-05 | 3.66E-06 | 0.00%\r\nlayer | V9 | 5.73E-06 | 2.79E-06 | 0.00%\r\nlayer | Pad | 8.51E-06 | 3.66E-06 | 0.00%\r\ntotal | \u00a0 | 1.63E-01 | 1.62E-01 | 0.88%\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"user": "@maliberty On @nerriav laptop it is still slow. Need further investigation."}, {"user": "@nerriav Please report by measuring as follows:\r\n\r\n1. start GUI\r\n2. zoom in\r\n3. type `set_debug_level GUI draw 1` into the OpenROAD GUI prompt\r\n4. press 'f'\r\n5. Copy and paste the measurements here for Docker & local, like I did here: https://github.com/The-OpenROAD-Project/OpenROAD/issues/3330#issuecomment-1547600882"}, {"assistant": "Hi @oharboe \r\nTable attached.\r\ncalculate differences between the platform as follow :\r\ndiff_in_% = (local - docker) / docker *100\r\nSee that it took for local - ORFS 35 seconds !!!\r\n\u00a0 | \u00a0 | docker | local | difference in percent of total running time\r\n-- | -- | -- | -- | --\r\ninst | search | 0.000955899 | 1.06E-02 | 100641.40%\r\ninst | outline | 6.91E-05 | 2.58E-02 | 3720648.50%\r\nlayer | V0 | 9.47E-06 | 8.22E-06 | -1315.23%\r\nlayer | M1 | 0.0645423 | 1.19E-01 | 8376.01%\r\nlayer | V1 | 1.20E-05 | 4.35E-06 | -6367.97%\r\nlayer | M2 | 0.0639514 | 1.20E-01 | 8716.87%\r\nlayer | V2 | 1.00E-05 | 5.57E-06 | -4436.68%\r\nlayer | M3 | 0.00816242 | 1.11E-02 | 3597.19%\r\nlayer | V3 | 3.43E-05 | 3.86E-06 | -8872.41%\r\nlayer | M4 | 0.00845554 | 1.17E-02 | 3797.11%\r\nlayer | V4 | 1.14E-05 | 3.60E-06 | -6841.09%\r\nlayer | M5 | 0.00406955 | 1.10E-02 | 16997.83%\r\nlayer | V5 | 5.82E-06 | 4.87E-06 | -1638.05%\r\nlayer | M6 | 0.00314803 | 8.57E-03 | 17227.22%\r\nlayer | V6 | 5.60E-06 | 3.37E-06 | -3979.63%\r\nlayer | M7 | 4.02E-05 | 2.43E-03 | 593041.45%\r\nlayer | V7 | 5.45E-06 | 2.47E-06 | -5472.91%\r\nlayer | M8 | 2.47E-05 | 1.97E-03 | 789858.04%\r\nlayer | V8 | 2.20E-05 | 2.56E-06 | -8833.84%\r\nlayer | M9 | 2.42E-05 | 1.89E-03 | 768996.66%\r\nlayer | V9 | 6.33E-06 | 2.81E-06 | -5557.31%\r\nlayer | Pad | 1.61E-05 | 1.83E-03 | 1123897.46%\r\ntotal | \u00a0 | 0.161439 | 3.53E+01 | 2175847.29%\r\n\r\n"}, {"assistant": "The local time is odd as the components don't add up to anywhere near the total. I don't print every bit of the rendering, just what are normally the key parts. It seems something else is taking surprisingly long time.\r\n\r\nDo you have time to throw in some more timers around the other steps and try to narrow down where the extra time is going?\r\n\r\nThe is all rather mysterious but quite interesting."}, {"user": "@nerriav Also tried deleting the entire OpenROAD-flow-scripts folder and rebuilding, same result."}, {"user": "> \r\nThe rendering speed in the accounted for part of the rendering is ca. 2x the accounted for time in local build. The accounted for time is 1% of the total time in the local build.\r\n\r\nSo there are two findings here: docker rendering is 2x slower and there is a large unaccounted for time sink. Both should be fixed, but perhaps they share a cause?\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\naccounted for above | \u00a0 | 1.54E-01 | 3.26E-01\r\n-- | -- | -- | --\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n"}, {"user": "@nerriav Try again and report the numbers. I added some extra timers. Near as I can tell we should now account for all the time spent in drawing, so the numbers should add up. If not, the next step is to run this in the debugger: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3340\r\n\r\nTo build this PR locally, do the following:\r\n\r\n```\r\ncd OpenROAD-flow-scripts/tools/OpenROAD\r\ngit fetch\r\ngit checkout origin/master\r\ngit fetch https://github.com/Pinata-Consulting/OpenROAD.git gui-more-timers\r\ngit merge FETCH_HEAD\r\ncd ../..\r\ngit add tools/OpenROAD\r\ngit commit -m blah\r\n./build_openroad.sh --local --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=-g\"\r\n```\r\n"}, {"assistant": "manufacturing grid 0.000121689 sec\r\ninst search 0.0147962 sec\r\ninst outline render 0.0407303 sec\r\nblockages 4.598e-06 sec\r\nlayer V0 render 9.077e-06 sec\r\nlayer M1 render 0.202713 sec\r\nlayer V1 render 9.471e-06 sec\r\nlayer M2 render 0.207613 sec\r\nlayer V2 render 1.6505e-05 sec\r\nlayer M3 render 0.0147582 sec\r\nlayer V3 render 9.468e-06 sec\r\nlayer M4 render 0.0142019 sec\r\nlayer V4 render 8.315e-06 sec\r\nlayer M5 render 0.0159621 sec\r\nlayer V5 render 6.121e-06 sec\r\nlayer M6 render 0.0149414 sec\r\nlayer V6 render 7.889e-06 sec\r\nlayer M7 render 0.00435427 sec\r\nlayer V7 render 5.366e-06 sec\r\nlayer M8 render 0.00368478 sec\r\nlayer V8 render 5.972e-06 sec\r\nlayer M9 render 0.00352584 sec\r\nlayer V9 render 6.7e-06 sec\r\nlayer Pad render 0.00312212 sec\r\nvarious 54.8853 sec\r\ntotal render 55.4305 sec"}, {"assistant": "@oharboe second update\r\n\r\nmanufacturing grid 0.000166429 sec\r\ninst search 0.0139036 sec\r\ninst outline render 0.0394747 sec\r\nblockages 7.365e-06 sec\r\nlayer V0 render 1.1001e-05 sec\r\nlayer M1 render 0.191828 sec\r\nlayer V1 render 9.09e-06 sec\r\nlayer M2 render 0.201626 sec\r\nlayer V2 render 2.0946e-05 sec\r\nlayer M3 render 0.0147654 sec\r\nlayer V3 render 6.435e-06 sec\r\nlayer M4 render 0.015414 sec\r\nlayer V4 render 6.146e-06 sec\r\nlayer M5 render 0.0150112 sec\r\nlayer V5 render 7.758e-06 sec\r\nlayer M6 render 0.0133223 sec\r\nlayer V6 render 7.144e-06 sec\r\nlayer M7 render 0.00406165 sec\r\nlayer V7 render 6.511e-06 sec\r\nlayer M8 render 0.00360056 sec\r\nlayer V8 render 5.969e-06 sec\r\nlayer M9 render 0.0032807 sec\r\nlayer V9 render 6.581e-06 sec\r\nlayer Pad render 0.00321918 sec\r\ninstance names 0.00387538 sec\r\nrows 53.9299 sec\r\naccess points 7.68e-06 sec\r\nmodule view 0.00140507 sec\r\nregions 7.799e-06 sec\r\npin markers 2.221e-06 sec\r\nsave cell grid 2.312e-06 sec\r\nsave restore 0.000163636 sec\r\ntotal render 54.4609 sec"}, {"assistant": "@oharboe third update\r\n\r\nmanufacturing grid 0.00020926 sec\r\ninst search 0.0132036 sec\r\ninst outline render 0.0426823 sec\r\nblockages 6.178e-06 sec\r\nlayer V0 render 1.0863e-05 sec\r\nlayer M1 render 0.192389 sec\r\nlayer V1 render 1.1728e-05 sec\r\nlayer M2 render 0.188142 sec\r\nlayer V2 render 9.735e-06 sec\r\nlayer M3 render 0.0149424 sec\r\nlayer V3 render 6.764e-06 sec\r\nlayer M4 render 0.0148525 sec\r\nlayer V4 render 6.811e-06 sec\r\nlayer M5 render 0.018877 sec\r\nlayer V5 render 6.1e-06 sec\r\nlayer M6 render 0.015878 sec\r\nlayer V6 render 6.834e-06 sec\r\nlayer M7 render 0.0045793 sec\r\nlayer V7 render 4.798e-06 sec\r\nlayer M8 render 0.00384125 sec\r\nlayer V8 render 4.26e-06 sec\r\nlayer M9 render 0.00305581 sec\r\nlayer V9 render 3.411e-06 sec\r\nlayer Pad render 0.00319053 sec\r\ninstance names 0.00372407 sec\r\nrows 58.4752 sec\r\naccess points 7.32e-06 sec\r\nmodule view 0.00184812 sec\r\nregions 8.743e-06 sec\r\npin markers 2.671e-06 sec\r\nsave cell grid 2.638e-06 sec\r\nsave restore 0.00018609 sec\r\ntotal render 59.0027 sec"}, {"assistant": "much better !\r\n\r\nmanufacturing grid 0.000214012 sec\r\ninst search 0.0148889 sec\r\ninst outline render 0.0400965 sec\r\nblockages 5.37e-06 sec\r\nlayer V0 render 1.0145e-05 sec\r\nlayer M1 render 0.191335 sec\r\nlayer V1 render 1.084e-05 sec\r\nlayer M2 render 0.202215 sec\r\nlayer V2 render 1.0356e-05 sec\r\nlayer M3 render 0.0135547 sec\r\nlayer V3 render 9.821e-06 sec\r\nlayer M4 render 0.013858 sec\r\nlayer V4 render 8.187e-06 sec\r\nlayer M5 render 0.0148435 sec\r\nlayer V5 render 8.326e-06 sec\r\nlayer M6 render 0.0122909 sec\r\nlayer V6 render 7.354e-06 sec\r\nlayer M7 render 0.00412806 sec\r\nlayer V7 render 5.03e-06 sec\r\nlayer M8 render 0.00353591 sec\r\nlayer V8 render 6.205e-06 sec\r\nlayer M9 render 0.00314508 sec\r\nlayer V9 render 6.324e-06 sec\r\nlayer Pad render 0.00441037 sec\r\ninstance names 0.00492425 sec\r\nrows 4.17739 sec\r\naccess points 7.483e-06 sec\r\nmodule view 0.00148594 sec\r\nregions 5.792e-06 sec\r\npin markers 1.763e-06 sec\r\nsave cell grid 1.838e-06 sec\r\nsave restore 0.00017311 sec\r\ntotal render 4.70823 sec"}, {"user": "@nerriav Try `mv ~/.config/OpenRoad\\ Project/openroad.conf ~/.config/OpenRoad\\ Project/openroad.backup`"}, {"assistant": "[DEBUG GUI-draw] total render 0.312251 sec \r\nissue solved :1st_place_medal: \r\nMany thanks "}, {"user": "@maliberty @nerriav The difference between docker and local was the configuration file. \r\n\r\nI thought the problem was with rows being rendred, but I need to dive into the config.zip file that Neria will upload.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/aa96fede-c056-40ca-a5bf-28a49f324a2e)\r\n"}, {"assistant": "[config.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11488038/config.zip)\r\n"}, {"user": "> [config.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11488038/config.zip)\r\n\r\nI tried `mv openroad.backup ~/.config/OpenRoad\\ Project/openroad.conf`, but didn't observe any slowdown."}, {"user": "@maliberty Although we don't know exactly what is going on, we have some more evidence... Can you have a look and see if you can guess what is going on?\r\n\r\n\r\nBranch with work in progress, I'm uncertain about how and whether, more timers to the gui should be added after these findings: https://github.com/Pinata-Consulting/OpenROAD/tree/gui-more-timers\r\n\r\n"}, {"assistant": "Do you have Detailed view on or off when meauring the row performance?\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/bdfa586f-b327-40ed-9645-cd141e34a0bf)\r\n"}, {"assistant": "With rows turned on you will see a lot of green boxes. Did you not observe a large difference in the layout appearance between docker and non-docker runs?"}, {"assistant": "with both ROWs and 'detailed view; turned on, got the following results :\r\nDocker : total render 63.9329 sec\r\nLocal : total render 63.1835 sec\r\nwhereas previous checks which I tested on floorplan GUI, this one I did with place GUI\r\n"}, {"user": "> with both ROWs and 'detailed view; turned on, got the following results : Docker : total render 63.9329 sec Local : total render 63.1835 sec whereas previous checks which I tested on floorplan GUI, this one I did with place GUI\r\n\r\nSuper!\r\n\r\nThis dispels of the mysteries about the slowdown....\r\n\r\nAfter all this, I'm thinking it would be *great* with a \"reset settings to default\" button in the GUI... Could help reduce confusion during testing reporting?\r\n\r\nWe've had a conversation about GUI setting several times..."}, {"assistant": "Rows will be the same in floorplan or place so that shouldn't matter. We have -no_init we could also have a -no_settings flag to OR."}, {"user": "> Rows will be the same in floorplan or place so that shouldn't matter. We have -no_init we could also have a -no_settings flag to OR.\r\n\r\nI would have thought the settings file was a -gui specific thing, so no need for a new option: dont read in when -gui is not specifi"}, {"user": "> Rows will be the same in floorplan or place so that shouldn't matter. We have -no_init we could also have a -no_settings flag to OR.\r\n\r\nI would have thought the settings file was a -gui specific thing, so no need for a new option: dont read in when -gui is not specified.\r\n\r\nI was thinking about a \"reset to defaults\" GUI button."}, {"assistant": "If -gui isn't specified then what rendering problem are we discussing? \r\n\r\nWe do have the offscreen rendering during ORFS to save images. The settings would affect such operations though we reset all the values first"}, {"user": "> If -gui isn't specified then what rendering problem are we discussing?\r\n> \r\n> We do have the offscreen rendering during ORFS to save images. The settings would affect such operations though we reset all the values first\r\n\r\nWhat you said abovem"}, {"assistant": "\"What you said abovem\" I don't know what you are referring to."}, {"user": "Answering too quickly on phone ... trying again:\r\n\r\nI thought you suggested a `-no_settings` for the GUI. For the GUI case, I think a reset settings button would make more sense. For the non `-gui` case, it sounds like the settings are not used today. So, I dont see the usecase for a `-no_settings` option."}, {"assistant": "The performance issue is addressed in #3342 \r\n\r\nYes the -no_setting is for the GUI. I'm not sure how the reset button \"Could help reduce confusion during testing reporting?\". I would have to ask if someone used it or not and if they made any changes afterwards. It is simpler to just say run -no_settings. There could be a GUI_NO_SETTINGS control on the flow."}, {"assistant": "Fwiw I have this in my ~/.openroad which gives me the reset to exactly the settings I prefer:\r\n```\r\n create_toolbar_button -text \"Reset\" -script {\r\n gui::set_display_controls \"Layers/*\" visible true\r\n gui::set_display_controls \"Nets/*\" visible true\r\n gui::set_display_controls \"Instances/*\" visible true\r\n gui::set_display_controls \"Blockages/*\" visible true\r\n gui::set_display_controls \"Rulers\" visible true\r\n gui::set_display_controls \"Rows/*\" visible false\r\n gui::set_display_controls \"Pin Markers\" visible true\r\n gui::set_display_controls \"Tracks/*\" visible false\r\n gui::set_display_controls \"Misc/*\" visible false\r\n gui::set_display_controls \"Misc/Scale bar\" visible true\r\n gui::set_display_controls \"Misc/Detailed view\" visible true\r\n gui::set_display_controls \"Misc/Highlight selected\" visible true\r\n gui::set_display_controls \"Misc/Instances/Pins\" visible true\r\n gui::set_display_controls \"Misc/Instances/Blockages\" visible true\r\n gui::set_display_controls \"Heat Maps/*\" visible false\r\n }\r\n\r\n```"}, {"user": "> The performance issue is addressed in #3342\r\n> \r\n> Yes the -no_setting is for the GUI. I'm not sure how the reset button \"Could help reduce confusion during testing reporting?\". I would have to ask if someone used it or not and if they made any changes afterwards. It is simpler to just say run -no_settings. There could be a GUI_NO_SETTINGS control on the flow.\r\n\r\nI think that saving setting is of dubious value to begin with as one switches between designs and final to floorplan. it has consistently caused us more problems than helping us. one advantage of the docker image is that it starts wo a settings file. A reset to defaults would be independently useful. I doubt that anyone will remember to add the -no_settings option.\r\n\r\nI can easily emulate -no_settings by erasing the settings config file."}, {"assistant": "I find the saving of the window locations and sizes very helpful and wouldn't want to have to do that each time. The display controls are a bit less important and I could live with them being reset each time. I'd like to hear from other users on their feelings (@gadfort @rovinski @QuantamHD )"}, {"user": "> I find the saving of the window locations and sizes very helpful and wouldn't want to have to do that each time. The display controls are a bit less important and I could live with them being reset each time. I'd like to hear from other users on their feelings (@gadfort @rovinski @QuantamHD )\r\n\r\n\ud83d\udc4d I think if OpenROAD has a robust balance between detail and rendering speed(it is definitely getting there!), then I would be less concerned about saving settings or not. For novice users a \"reset to defaults\" button clearly visible, I think would be helpful as well as useful for debugging."}, {"assistant": "@maliberty I like to have the settings as well, its rare that I want to reset everyone wholesale, unless I've corrupted the GUI settings somehow. (I suppose we could lean on the `-no_init` flag to determine if the we should load the settings). What happens when the GUI is relaunched? back to normal behavior?\r\n\r\n@oharboe we don't really store the defaults anywhere, so that would require a little more effort to implement, but could be a useful feature if others need it."}, {"user": "@maliberty The problem of this issue is solved. There are some followup discussions taking place here, which is fine I guess.\r\n\r\nClose issue?"}, {"assistant": "Personally I like having the settings saved. If I need to \"reset\" then I would just turn off all visibility and then only turn on the ones I want."}]} +{"num": 3333, "messages": [{"user": "### Description\n\nThere's text to the left that I can't see for ports with long names:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/9240b746-45ee-45c7-a286-c031d14985d4)\r\n\r\nSame for top, right and bottom.\n\n### Suggested Solution\n\nAllow scrolling far enough to read the entire portname.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort do you have time to look at this?"}, {"assistant": "@maliberty if there is no urgency, sure, later this week I can"}, {"assistant": "I think that's fine as you can always see the name in the inspector"}, {"assistant": "@gadfort I'll give this to @AcKoucher unless you think you'll have time"}, {"assistant": "@maliberty no problem"}]} +{"num": 3334, "messages": [{"user": "### Describe the bug\n\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/cc33e81f-7152-47d4-ba1c-821133523812)\n\n### Expected Behavior\n\nShould have a up/down arrow for ports on bottom\n\n### Environment\n\n```shell\nlatest master\n```\n\n\n### To Reproduce\n\n@maliberty has the testcase data\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Fixed with #3337"}]} +{"num": 3336, "messages": [{"user": "### Describe the bug\n\nI am trying to run place and route of [MemPool Group](https://github.com/TILOS-AI-Institute/MacroPlacement/tree/main/Flows/NanGate45/mempool_group) design on Nangate45 enablement using OpenROAD, but my run is stuck at global route stage for more than five days. I have added cts def, sdc, verilog, memory lef and lib files [here](https://drive.google.com/file/d/1ffnlhfA_-geoV5YyHZbQhwan1Hv7e5AL/view?usp=share_link). \r\n \r\n[Here](https://github.com/The-OpenROAD-Project/OpenROAD/files/11473084/5_1_fastroute.log) is the log file of fastroute/global route.\r\n \n\n### Expected Behavior\n\nI don't want it to be stuck in the same place for days. I expect global route to fail or return an error indicating insufficient routing resources (If insufficient routing resources are causing the FastRoute to get stuck). Faster turn around will allow me to adjust the utilization and other settings to successfully run place and route of MemPool Group on Nangate45 using OpenROAD.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 4.18.0-408.el8.x86_64\r\nos: CentOS Stream 8\r\ncmake version 3.16.2\r\n-- The CXX compiler identification is GNU 12.2.0\r\n-- Check for working CXX compiler: /home/tool/gcc/gcc-12.2.0/bin/c++\r\n-- Check for working CXX compiler: /home/tool/gcc/gcc-12.2.0/bin/c++ -- works\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-7480-g8a4065b\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY) \r\n-- The C compiler identification is GNU 12.2.0\r\n-- Check for working C compiler: /home/tool/gcc/gcc-12.2.0/bin/gcc\r\n-- Check for working C compiler: /home/tool/gcc/gcc-12.2.0/bin/gcc -- works\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.6 (found version \"3.6.8\") found components: Interpreter \r\n-- Looking for pthread.h\r\n-- Looking for pthread.h - found\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found SWIG: /home/tool/swig/install/4.0.0/bin/swig (found suitable version \"4.0.0\", minimum required is \"3.0\") \r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") \r\n-- boost: 1.78.0\r\n-- Found Python3: /usr/lib64/libpython3.6m.so (found version \"3.6\") found components: Development \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /home/tool/bison/install/3.0.5/bin/bison (found version \"3.0.5\") \r\n-- Found Doxygen: /home/tool/doxygen/install/1.8.15/bin/doxygen (found version \"1.8.15\") found components: doxygen missing components: dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 882681a674e7089e5f02de4ba2050c6be32b6cd1\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /home/tool/flex/2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/fetzfs_projects/MacroPlacement/flow3/master/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\") \r\n-- GUI is enabled\r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Found VTune: /home/tool/intel/vtune_amplifier/lib64/libittnotify.a \r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found suitable version \"1.78.0\", minimum required is \"1.78\") \r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") found components: serialization system thread \r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.QNrR8Dlgqv\n```\n\n\n### To Reproduce\n\nI have uploaded the input def, sdc files [here](https://github.com/TILOS-AI-Institute/MacroPlacement/tree/main/Flows/NanGate45/mempool_group). I am using the default OpenROAD-flow-scripts to run global route. \n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Which DEF are you using?\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/d427e924-a58a-4432-8a06-2c32b96cace7)\r\n(neither sounds like a flow step in ORFS)"}, {"assistant": "Please give precise instructions on how to reproduce your issue."}, {"user": "Hi @maliberty \r\nMy apologies for the confusion earlier. I mistakenly provided an incorrect link. You can find the verilog, def, sdc, and memory lef and lib files at this updated [link](https://drive.google.com/file/d/1ffnlhfA_-geoV5YyHZbQhwan1Hv7e5AL/view?usp=share_link). Here I converted the 4_cts.odb file into verilog and def formats. I have used the NG45 default settings to run fastroute/global route. \r\n\r\nIf necessary, I can provide the input floorplan def, verilog file, and sdc file for the ORFS flow. However, please note that completing the floorplan, placement, and cts will likely take around 2-3 days.\r\n"}, {"user": "Hi @maliberty,\r\n\r\nI have uploaded all the necessary files [here](https://drive.google.com/file/d/1VQT_FSbH6OYF5Z2rtPxMkCxyCe9VjiJp/view?usp=share_link) for running the MemPool Group on NG45 using ORFS.\r\n\r\nTo being, please download the [mempool_group_orfs_config.tar.gz](https://drive.google.com/file/d/1VQT_FSbH6OYF5Z2rtPxMkCxyCe9VjiJp/view?usp=share_link). Then follow the steps below to reproduce the above error:\r\n```\r\ntar -xvf mempool_gropu_orfs_config.tar.gz -C ./OpenROAD-flow-scripts/flow/designs/nangate45\r\ncd ./OpenROAD-flow-scripts/flow\r\nmake route DESIGN_CONFIG=./designs/nangate45/mempool_group/config.mk FLOW_VARIANT=run1\r\n```\r\n\r\nThanks,\r\nSayak"}, {"assistant": "I ran your design with -congestion_iterations 5 and looked at the congestion markers:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/42ed76ab-79e8-48f4-85ee-bdf027c88fa5)\r\n\r\nThe congestion map doesn't show much in the way of low density areas to push the routes into:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/3bbf8150-620a-4e8d-84a4-7903b8c0d55a)\r\n\r\n\r\nYour design is very congested. I imagine that it is spending a lot of time trying fruitlessly to fix this. I suspect you'll need to adjust your density or macro placement to make this feasible.\r\n\r\nYou might experiment with the layer derates but be aware that may just move the problem to detailed routing."}, {"assistant": "Side note - I assume this is the same design from https://arxiv.org/pdf/2012.02973.pdf where they said \"Due to the size and the regularity of MemPool\u2019s design, we used a hierarchical implementation flow\". Is there a reason not to do the same here? Is this a stress test?"}, {"user": "In the [MacroPlacement](https://github.com/TILOS-AI-Institute/MacroPlacement/tree/main) repository, we utilized the flat implementation of the MemPool Group. As part of [Flow-3](https://github.com/TILOS-AI-Institute/MacroPlacement/tree/main#flows), we aim to implement the same using OpenROAD.\r\n\r\nThank you for the visualization and your feedback. I have already lowered the utilization to 40%. I will experiment with even smaller utilization values.\r\n\r\nThanks again,\r\nSayak"}, {"assistant": "Is there anything further or should we close this? "}, {"assistant": "@sakundu \r\nAre you able to complete the flow?"}]} +{"num": 3338, "messages": [{"user": "### Description\r\n\r\nWhen the io constraints fall back, because a full optimization is too time consuming, the leftmost position is used on the bottom of macro and rightmost positions are used on the top of the macro, which leads to a diagonal route:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d76755ca-a034-46db-8ae4-7724300fa7d4)\r\n\r\nAnother example of where placing the pins to the bottom left instead of bottom middle in the case of a fallback for placing a large group causes problems in placement. The pins at the bottom of the macro below are actually routed out of the macro. If the pins had been placed in the middle of the macro at the bottom of this macro, then this would have yielded a much better result in this case.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/b364b311-ed5d-40c0-ad48-899815e6eed8)\r\n\r\n\r\n### Suggested Solution\r\n\r\nPlace the pins in the middle of the assigned edge in the case of a fallback from full optimization.\r\n\r\nAlthough it is possible to manually specify a range on the edge, this is incovenient during exploration where the dimensions of the design are in flux and we're iterating on finding a first cut reasonable floorplan.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe Could you try this: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3374?"}, {"user": "> @oharboe Could you try this: #3374?\r\n\r\ngui_floorplan, pins are not centered. That's not a requirement, but would have been nice: faster turnaround times.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/8cf8695f-50ed-409d-84c4-4d7ec37586e5)\r\n"}, {"assistant": "Are they constrained to the edge? I have made a few tests with it and it was working on my end."}, {"user": "> Are they constrained to the edge? I have made a few tests with it and it was working on my end.\r\n\r\nYes. The bottom pin constraints are:\r\n\r\n```\r\nset_io_pin_constraint -group -order -region bottom:* -pin_names ...\r\n```"}, {"user": "Yes, this works!\r\n\r\ngui_place gives the expected result, centered pins, at the bottom.\r\n\r\nThe only question that remains is whether you intended for pins to be centered already at the floorplanning stage...\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/128748ab-33c9-4df9-9c41-33a0448e68c9)\r\n\r\n"}, {"assistant": "> Yes, this works!\r\n> \r\n> gui_place gives the expected result, centered pins, at the bottom.\r\n> \r\n> The only question that remains is whether you intended for pins to be centered already at the floorplanning stage...\r\n> \r\n> ![image](https://user-images.githubusercontent.com/2798822/240372422-128748ab-33c9-4df9-9c41-33a0448e68c9.png)\r\n\r\nYeah, this is supposed to work only on non-random pin placement. I will try to do the same for random placement and let you know when I'm done."}, {"assistant": "@oharboe I believe that during the random mode, these large groups are not placed in fallback mode. Could you check the log file?\r\n\r\nAlso, having the fallback groups placed in the middle during the placement stage is enough for your usage? "}, {"user": "> @oharboe I believe that during the random mode, these large groups are not placed in fallback mode. Could you check the log file?\r\n\r\nThat does appear to be the case.\r\n\r\nThese warnings are only present in 3_2_place_iop.log\r\n\r\n```\r\n[WARNING PPL-0092] Pin group of size 2832 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0092] Pin group of size 1220 does not fit any section. Adding to fallback mode.\r\n[WARNING PPL-0092] Pin group of size 579 does not fit any section. Adding to fallback mode.\r\n[INFO PPL-0100] Group of size 2832 placed during fallback mode.\r\n[INFO PPL-0100] Group of size 1220 placed during fallback mode.\r\n[INFO PPL-0100] Group of size 579 placed during fallback mode.\r\n```\r\n\r\n\r\n> Also, having the fallback groups placed in the middle during the placement stage is enough for your usage?\r\n\r\nYes.\r\n\r\nI think it looks good, looking forward to it being merged and using it :-)\r\n"}]} +{"num": 3343, "messages": [{"user": "### Describe the bug\n\nRunning `./etc/DockerHelper.sh create -target=builder -os=centos7 -compiler=gcc` (as well as a few others) results in a failure in the CI with:\r\n```\r\n/usr/bin/ld: cannot find -ltcl\r\n```\r\nThe error is reproducible on my local machine using docker.\n\n### Expected Behavior\n\nSuccessful build\n\n### Environment\n\n```shell\ncommit b2fabb1\n```\n\n\n### To Reproduce\n\n`./etc/DockerHelper.sh create -target=builder -os=centos7 -compiler=gcc`\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nI have tracked down the issue to some kind of bug in the linking of `libtcl.so`\r\n\r\nHere is the linker debug output (gcc -v -wl,--verbose):\r\n\r\n```\r\nattempt to open /usr/lib/gcc/x86_64-redhat-linux/8/libtcl.so failed\r\nattempt to open /usr/lib/gcc/x86_64-redhat-linux/8/libtcl.a failed\r\nattempt to open /usr/lib/gcc/x86_64-redhat-linux/8/../../../../lib64/libtcl.so failed\r\nattempt to open /usr/lib/gcc/x86_64-redhat-linux/8/../../../../lib64/libtcl.a failed\r\nattempt to open /lib/../lib64/libtcl.so failed\r\nattempt to open /lib/../lib64/libtcl.a failed\r\nattempt to open /usr/lib/../lib64/libtcl.so failed\r\nattempt to open /usr/lib/../lib64/libtcl.a failed\r\nattempt to open /usr/lib/gcc/x86_64-redhat-linux/8/../../../libtcl.so failed\r\nattempt to open /usr/lib/gcc/x86_64-redhat-linux/8/../../../libtcl.a failed\r\nattempt to open //usr/x86_64-redhat-linux/lib64/libtcl.so failed\r\nattempt to open //usr/x86_64-redhat-linux/lib64/libtcl.a failed\r\nattempt to open //usr/lib64/libtcl.so failed\r\nattempt to open //usr/lib64/libtcl.a failed\r\nattempt to open //usr/local/lib64/libtcl.so failed\r\nattempt to open //usr/local/lib64/libtcl.a failed\r\nattempt to open //lib64/libtcl.so failed\r\nattempt to open //lib64/libtcl.a failed\r\nattempt to open //usr/x86_64-redhat-linux/lib/libtcl.so failed\r\nattempt to open //usr/x86_64-redhat-linux/lib/libtcl.a failed\r\nattempt to open //usr/local/lib/libtcl.so failed\r\nattempt to open //usr/local/lib/libtcl.a failed\r\nattempt to open //lib/libtcl.so failed\r\nattempt to open //lib/libtcl.a failed\r\nattempt to open //usr/lib/libtcl.so failed\r\nattempt to open //usr/lib/libtcl.a failed\r\n```\r\n\r\nThe target which is found by cmake is: `TCL_LIBRARY:FILEPATH=/usr/lib64/libtcl8.6.so`\r\nwhich is a good and valid target, but LD does not pick this up.\r\n\r\nCuriously, `/usr/lib/libtcl.so` _does_ exist, but it is a symlink to `./libtcl8.6.so` which doesn't exist. I think the link is broken and it should point to `../lib64/libtcl8.6.so`.\r\n\r\nAlternatively, a symlink from `/usr/lib64/libtcl.so` to `/usr/lib64/libtcl8.6.so` would also work."}, {"user": "Part of the issue may be that `/usr/lib64/libtcl.so` is installed by the yum package `tcl-devel` but `/usr/lib64/libtcl8.6.so` is installed by `tcl`."}, {"user": "I think I found the issue: the `tcl` and `tcl-devel` packages are being installed from different repositories:\r\n```\r\n[root@583a99b0860f OpenROAD]# yum list installed tcl*\r\nUpdating Subscription Management repositories.\r\nUnable to read consumer identity\r\nSubscription Manager is operating in container mode.\r\n\r\nThis system is not registered with an entitlement server. You can use subscription-manager to register.\r\n\r\nInstalled Packages\r\ntcl.x86_64 1:8.6.8-2.el8 @ubi-8-baseos-rpms <--\r\ntcl-devel.i686 1:8.6.8-2.el8 @@commandline <--\r\ntcl-tclreadline.x86_64 2.1.0-16.el8 @epel\r\ntcl-tclreadline-devel.x86_64 2.1.0-16.el8 @epel\r\ntcl-thread.x86_64 2.8.8-1.el8 @epel\r\ntcl-thread-devel.x86_64 2.8.8-1.el8 @epel\r\ntcllib.noarch 1.19-3.el8 @epel\r\n```\r\n\r\nThis is likely causing some incompatibility. If I simply do:\r\n```\r\nyum remove tcl tcl-devel\r\nyum install tcl tcl-devel\r\n```\r\nThen it reinstalls them from the same repo:\r\n```\r\n[root@583a99b0860f OpenROAD]# yum list installed tcl*\r\nUpdating Subscription Management repositories.\r\nUnable to read consumer identity\r\nSubscription Manager is operating in container mode.\r\n\r\nThis system is not registered with an entitlement server. You can use subscription-manager to register.\r\n\r\nInstalled Packages\r\ntcl.x86_64 1:8.6.8-2.el8 @baseos\r\ntcl-devel.x86_64 1:8.6.8-2.el8 @baseos\r\n```\r\nIt then builds fine.\r\nI will see if I can fix it and add it to #3286."}, {"user": "Actually I don't think it had to do with different repositories, I think it had more to do with `tcl-devel` being installed directly from an RPM package. Either way, if we just use a different package, it seems to create the necessary symlink and then link correctly."}]} +{"num": 3349, "messages": [{"user": "### Describe the bug\n\nstrtof() is locale (human) oriented, if parsing computer formats and not facing humans, strtof_l() should be used.\r\n\r\nSee https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3314\n\n### Expected Behavior\n\nComputer readable format should not be affected by locale\n\n### Environment\n\n```shell\nmaster\n```\n\n\n### To Reproduce\n\nSee https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3314\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nSeveral functions in the C library are affected by the current locale settings. These functions handle character classification, string manipulation, and numeric formatting. Here are some common functions that are influenced by the locale:\r\n\r\nCharacter Classification:\r\n\r\nisalnum: Tests whether a character is alphanumeric.\r\nisalpha: Tests whether a character is alphabetic.\r\nisdigit: Tests whether a character is a decimal digit.\r\nislower: Tests whether a character is a lowercase letter.\r\nisspace: Tests whether a character is whitespace.\r\nisupper: Tests whether a character is an uppercase letter.\r\ntolower: Converts a character to lowercase.\r\ntoupper: Converts a character to uppercase.\r\nString Manipulation:\r\n\r\nstrcoll: Compares two strings using collation rules.\r\nstrxfrm: Transforms a string using collation rules.\r\nstrtok: Breaks a string into tokens.\r\nstrtok_r: Thread-safe version of strtok.\r\nNumeric Formatting and Parsing:\r\n\r\nprintf, fprintf, sprintf, snprintf: Formatting functions for printing to strings or files.\r\nscanf, fscanf, sscanf: Input parsing functions.\r\nstrtod, strtof, strtold: String to floating-point conversion functions.\r\nstrtol, strtoll, strtoul, strtoull: String to integer conversion functions.\r\nwcstod, wcstof, wcstold: Wide string to floating-point conversion functions.\r\nwcstol, wcstoll, wcstoul, wcstoull: Wide string to integer conversion functions.\r\nThese functions, when influenced by the locale, take into account locale-specific settings such as character classification, collation order, numeric separators, decimal point symbols, and thousands separators.\r\n\r\nTo control the locale settings, you can use the functions setlocale and newlocale from the header. These functions allow you to set the locale for the entire program or create locale objects for specific purposes.\r\n\r\nIt's important to note that the specific functions affected by locale may vary slightly depending on the C library implementation and the platform you're working on."}, {"assistant": "We override the locale to avoid doing this. See my comments in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3314"}, {"assistant": "I disagree on closing this Matt. We can slowly transition."}, {"assistant": "Here's all the usages of strof in OpenROAD\r\n\r\n\"image\"\r\n"}, {"assistant": "I consider this very low priority but am glad to leave it open if you want to work on it. Good luck with sta/..."}, {"user": "@maliberty If there is a strong motivation to fix this, then it will be fixed, no need to track this issue."}]} +{"num": 3366, "messages": [{"user": "### Describe the bug\r\n\r\nHey,\r\nGreeting of the day!\r\n\r\nI'm using Ubuntu 22.04.2 LTS on my Windows 11(WSL).\r\n\r\nI typed the following commands to build OpenROAD locally. But there is some error which I'm unable to solve.\r\nPlease help. Here's the code:\r\n\r\n**~/OpenROAD-flow-scripts$** git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\ncd OpenROAD-flow-scripts\r\nsudo ./setup.sh\r\n**~/OpenROAD-flow-scripts/tools/OpenROAD$** sudo etc/DependencyInstaller.sh -dev\r\n**~/OpenROAD-flow-scripts$** ./build_openroad.sh --local\r\n\r\nHere's the screenshot of the error:\r\n\r\n![openroad error](https://github.com/The-OpenROAD-Project/OpenROAD/assets/105313126/39c81903-9de8-4a3f-84fb-15635ba1430b)\r\n\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nExpected Behavior: OpenROAD build locally successfully.\r\n\r\n### Environment\r\n\r\n```shell\r\njainil25@Jainil:~/OpenROAD-flow-scripts/tools/OpenROAD$ ./etc/Env.sh\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8118-gb95ce9901\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /usr/lib/x86_64-linux-gnu/cmake/GTest/GTestConfig.cmake (found version \"1.11.0\")\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\")\r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- Found Threads: TRUE\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\")\r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.ZVsjXNUfkH\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nPlease help me solve this.\r\n\r\nThanks, \r\nJainil.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I believe the actual compiler error has scrolled off the screen in your image. Would you include the full log or scroll back to the first error."}, {"user": "I again typed \"./build_openroad.sh --local\" again, here's the output:\r\njainil25@Jainil:~/OpenROAD-flow-scripts$ ./build_openroad.sh --local\r\n[INFO FLW-0027] Saving logs to build_openroad.log\r\n[INFO FLW-0028] ./build_openroad.sh --local\r\n[INFO FLW-0002] Updating git submodules.\r\n[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.\r\n[INFO FLW-0017] Compiling Yosys.\r\nmake: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/yosys'\r\nmkdir -p /home/jainil25/OpenROAD-flow-scripts/tools/install/yosys/bin\r\ncp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc /home/jainil25/OpenROAD-flow-scripts/tools/install/yosys/bin\r\nstrip -S /home/jainil25/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys\r\nstrip /home/jainil25/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-abc\r\nstrip /home/jainil25/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys-filterlib\r\nmkdir -p /home/jainil25/OpenROAD-flow-scripts/tools/install/yosys/share/yosys\r\ncp -r share/. /home/jainil25/OpenROAD-flow-scripts/tools/install/yosys/share/yosys/.\r\nmake: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/yosys'\r\n[INFO FLW-0018] Compiling OpenROAD.\r\n-- OpenROAD version: v2.0-8118-gb95ce9901\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /home/jainil25/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- boost: 1.80.0\r\n-- spdlog: 1.8.1\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /home/jainil25/OpenROAD-flow-scripts/tools/install/OpenROAD\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- GUI is enabled\r\n-- Found Boost: /home/jainil25/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /home/jainil25/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- Found Boost: /home/jainil25/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /home/jainil25/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build\r\n/home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -S/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD -B/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build --check-build-system CMakeFiles/Makefile.cmake 0\r\n/home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_progress_start /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/CMakeFiles /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build//CMakeFiles/progress.marks\r\n/usr/bin/gmake -f CMakeFiles/Makefile2 all\r\ngmake[1]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f third-party/abc/CMakeFiles/libabc.dir/build.make third-party/abc/CMakeFiles/libabc.dir/depend\r\n/usr/bin/gmake -f src/utl/CMakeFiles/utl_lib.dir/build.make src/utl/CMakeFiles/utl_lib.dir/depend\r\n/usr/bin/gmake -f src/odb/src/tm/CMakeFiles/tm.dir/build.make src/odb/src/tm/CMakeFiles/tm.dir/depend\r\n/usr/bin/gmake -f src/odb/src/def/CMakeFiles/def.dir/build.make src/odb/src/def/CMakeFiles/def.dir/depend\r\n/usr/bin/gmake -f src/odb/src/def/CMakeFiles/defzlib.dir/build.make src/odb/src/def/CMakeFiles/defzlib.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl/CMakeFiles/utl_lib.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/tm /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/tm /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/tm/CMakeFiles/tm.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/def /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/def/CMakeFiles/def.dir/DependInfo.cmake --color=\r\n/usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lef.dir/build.make src/odb/src/lef/CMakeFiles/lef.dir/depend\r\n/usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lefzlib.dir/build.make src/odb/src/lef/CMakeFiles/lefzlib.dir/depend\r\n/usr/bin/gmake -f src/sta/CMakeFiles/OpenSTA.dir/build.make src/sta/CMakeFiles/OpenSTA.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/def /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/def/CMakeFiles/defzlib.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lef /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lef/CMakeFiles/lefzlib.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lef /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lef/CMakeFiles/lef.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta/CMakeFiles/OpenSTA.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target defzlib\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/def/CMakeFiles/defzlib.dir/build.make src/odb/src/def/CMakeFiles/defzlib.dir/build\r\nConsolidate compiler generated dependencies of target tm\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/def/CMakeFiles/defzlib.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/tm/CMakeFiles/tm.dir/build.make src/odb/src/tm/CMakeFiles/tm.dir/build\r\nConsolidate compiler generated dependencies of target lefzlib\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lefzlib.dir/build.make src/odb/src/lef/CMakeFiles/lefzlib.dir/build\r\n[ 0%] Built target defzlib\r\n/usr/bin/gmake -f src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/build.make src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/tm/CMakeFiles/tm.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/lef/CMakeFiles/lefzlib.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 1%] Built target tm\r\n/usr/bin/gmake -f src/ifp/src/CMakeFiles/ifp_py.dir/build.make src/ifp/src/CMakeFiles/ifp_py.dir/depend\r\n[ 1%] Built target lefzlib\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ifp/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ifp/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ifp/src/CMakeFiles/ifp_py.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target utl_lib\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/utl/CMakeFiles/utl_lib.dir/build.make src/utl/CMakeFiles/utl_lib.dir/build\r\n/usr/bin/gmake -f src/ppl/src/munkres/CMakeFiles/Munkres.dir/build.make src/ppl/src/munkres/CMakeFiles/Munkres.dir/depend\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/build.make src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/src/munkres /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/src/munkres/CMakeFiles/Munkres.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/gui/CMakeFiles/gui_RUNTIME_HEADER.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 1%] Built target gui_RUNTIME_HEADER\r\n/usr/bin/gmake -f src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/build.make src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/mpl/src/ParquetFP /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/mpl/src/ParquetFP /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target ifp_py\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/ifp/src/CMakeFiles/ifp_py.dir/build.make src/ifp/src/CMakeFiles/ifp_py.dir/build\r\nConsolidate compiler generated dependencies of target Munkres\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/ppl/src/munkres/CMakeFiles/Munkres.dir/build.make src/ppl/src/munkres/CMakeFiles/Munkres.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/third-party/abc /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/third-party/abc /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/third-party/abc/CMakeFiles/libabc.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/ppl/src/munkres/CMakeFiles/Munkres.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/utl/CMakeFiles/utl_lib.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 1%] Built target Munkres\r\n[ 1%] Built target utl_lib\r\n/usr/bin/gmake -f src/utl/CMakeFiles/utl_py.dir/build.make src/utl/CMakeFiles/utl_py.dir/depend\r\n/usr/bin/gmake -f src/sta/CMakeFiles/sta_swig_swig_compilation.dir/build.make src/sta/CMakeFiles/sta_swig_swig_compilation.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl/CMakeFiles/utl_py.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/ifp/src/CMakeFiles/ifp_py.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta/CMakeFiles/sta_swig_swig_compilation.dir/DependInfo.cmake --color=\r\n[ 1%] Built target ifp_py\r\n/usr/bin/gmake -f src/odb/src/zutil/CMakeFiles/zutil.dir/build.make src/odb/src/zutil/CMakeFiles/zutil.dir/depend\r\nConsolidate compiler generated dependencies of target lef\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/zutil /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/zutil /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/zutil/CMakeFiles/zutil.dir/DependInfo.cmake --color=\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/lef/CMakeFiles/lef.dir/build.make src/odb/src/lef/CMakeFiles/lef.dir/build\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/sta/CMakeFiles/sta_swig_swig_compilation.dir/build.make src/sta/CMakeFiles/sta_swig_swig_compilation.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/sta/CMakeFiles/sta_swig_swig_compilation.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 1%] Built target sta_swig_swig_compilation\r\n/usr/bin/gmake -f src/utl/CMakeFiles/utl.dir/build.make src/utl/CMakeFiles/utl.dir/depend\r\nConsolidate compiler generated dependencies of target utl_py\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl/CMakeFiles/utl.dir/DependInfo.cmake --color=\r\n/usr/bin/gmake -f src/utl/CMakeFiles/utl_py.dir/build.make src/utl/CMakeFiles/utl_py.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/lef/CMakeFiles/lef.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 3%] Built target lef\r\nConsolidate compiler generated dependencies of target zutil\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/zutil/CMakeFiles/zutil.dir/build.make src/odb/src/zutil/CMakeFiles/zutil.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/utl/CMakeFiles/utl_py.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 3%] Built target utl_py\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/zutil/CMakeFiles/zutil.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 4%] Built target zutil\r\n/usr/bin/gmake -f src/odb/src/lefout/CMakeFiles/lefout.dir/build.make src/odb/src/lefout/CMakeFiles/lefout.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lefout /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lefout /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lefout/CMakeFiles/lefout.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target utl\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/utl/CMakeFiles/utl.dir/build.make src/utl/CMakeFiles/utl.dir/build\r\nConsolidate compiler generated dependencies of target lefout\r\nConsolidate compiler generated dependencies of target def\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/lefout/CMakeFiles/lefout.dir/build.make src/odb/src/lefout/CMakeFiles/lefout.dir/build\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/def/CMakeFiles/def.dir/build.make src/odb/src/def/CMakeFiles/def.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/utl/CMakeFiles/utl.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 4%] Built target utl\r\n/usr/bin/gmake -f src/gui/CMakeFiles/gui_autogen.dir/build.make src/gui/CMakeFiles/gui_autogen.dir/depend\r\n/usr/bin/gmake -f src/utl/CMakeFiles/CFileUtilsTest.dir/build.make src/utl/CMakeFiles/CFileUtilsTest.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/utl/CMakeFiles/CFileUtilsTest.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/CMakeFiles/gui_autogen.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target gui_autogen\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/gui/CMakeFiles/gui_autogen.dir/build.make src/gui/CMakeFiles/gui_autogen.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/def/CMakeFiles/def.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 6%] Built target def\r\n[ 6%] Automatic MOC and UIC for target gui\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/lefout/CMakeFiles/lefout.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_autogen /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/CMakeFiles/gui_autogen.dir/AutogenInfo.json RELEASE\r\n[ 6%] Built target lefout\r\n/usr/bin/gmake -f src/odb/src/defout/CMakeFiles/defout.dir/build.make src/odb/src/defout/CMakeFiles/defout.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/defout /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/defout /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/defout/CMakeFiles/defout.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target CFileUtilsTest\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\nConsolidate compiler generated dependencies of target ParquetFP\r\n/usr/bin/gmake -f src/utl/CMakeFiles/CFileUtilsTest.dir/build.make src/utl/CMakeFiles/CFileUtilsTest.dir/build\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/build.make src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/build\r\nConsolidate compiler generated dependencies of target defout\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/defout/CMakeFiles/defout.dir/build.make src/odb/src/defout/CMakeFiles/defout.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/defout/CMakeFiles/defout.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/mpl/src/ParquetFP/CMakeFiles/ParquetFP.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 6%] Built target defout\r\n/usr/bin/gmake -f src/odb/src/db/CMakeFiles/db.dir/build.make src/odb/src/db/CMakeFiles/db.dir/depend\r\n[ 7%] Built target ParquetFP\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/db /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/db /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/db/CMakeFiles/db.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/utl/CMakeFiles/CFileUtilsTest.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 7%] Built target CFileUtilsTest\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 7%] Built target gui_autogen\r\nConsolidate compiler generated dependencies of target OpenSTA\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/sta/CMakeFiles/OpenSTA.dir/build.make src/sta/CMakeFiles/OpenSTA.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/sta/CMakeFiles/OpenSTA.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 15%] Built target OpenSTA\r\n/usr/bin/gmake -f src/dst/CMakeFiles/dst.dir/build.make src/dst/CMakeFiles/dst.dir/depend\r\n/usr/bin/gmake -f src/sta/CMakeFiles/sta_swig.dir/build.make src/sta/CMakeFiles/sta_swig.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/CMakeFiles/dst.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta/CMakeFiles/sta_swig.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target sta_swig\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/sta/CMakeFiles/sta_swig.dir/build.make src/sta/CMakeFiles/sta_swig.dir/build\r\nConsolidate compiler generated dependencies of target db\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/sta/CMakeFiles/sta_swig.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/db/CMakeFiles/db.dir/build.make src/odb/src/db/CMakeFiles/db.dir/build\r\n[ 16%] Built target sta_swig\r\n/usr/bin/gmake -f src/sta/CMakeFiles/sta.dir/build.make src/sta/CMakeFiles/sta.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/sta/CMakeFiles/sta.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target sta\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/sta/CMakeFiles/sta.dir/build.make src/sta/CMakeFiles/sta.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/sta/CMakeFiles/sta.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 16%] Built target sta\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/db/CMakeFiles/db.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 22%] Built target db\r\n/usr/bin/gmake -f src/odb/src/defin/CMakeFiles/defin.dir/build.make src/odb/src/defin/CMakeFiles/defin.dir/depend\r\n/usr/bin/gmake -f src/odb/src/lefin/CMakeFiles/lefin.dir/build.make src/odb/src/lefin/CMakeFiles/lefin.dir/depend\r\n/usr/bin/gmake -f src/odb/src/cdl/CMakeFiles/cdl.dir/build.make src/odb/src/cdl/CMakeFiles/cdl.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/cdl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/cdl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/cdl/CMakeFiles/cdl.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/defin /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/defin /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/defin/CMakeFiles/defin.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lefin /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lefin /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lefin/CMakeFiles/lefin.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target cdl\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/cdl/CMakeFiles/cdl.dir/build.make src/odb/src/cdl/CMakeFiles/cdl.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/cdl/CMakeFiles/cdl.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 22%] Built target cdl\r\nConsolidate compiler generated dependencies of target dst\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/dst/CMakeFiles/dst.dir/build.make src/dst/CMakeFiles/dst.dir/build\r\nConsolidate compiler generated dependencies of target defin\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/defin/CMakeFiles/defin.dir/build.make src/odb/src/defin/CMakeFiles/defin.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/defin/CMakeFiles/defin.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 24%] Built target defin\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/dst/CMakeFiles/dst.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 24%] Built target dst\r\n/usr/bin/gmake -f src/dst/test/cpp/CMakeFiles/TestWorker.dir/build.make src/dst/test/cpp/CMakeFiles/TestWorker.dir/depend\r\n/usr/bin/gmake -f src/dst/test/cpp/CMakeFiles/TestBalancer.dir/build.make src/dst/test/cpp/CMakeFiles/TestBalancer.dir/depend\r\n/usr/bin/gmake -f src/dst/test/cpp/CMakeFiles/TestDistributed.dir/build.make src/dst/test/cpp/CMakeFiles/TestDistributed.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp/CMakeFiles/TestWorker.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp/CMakeFiles/TestBalancer.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp/CMakeFiles/TestDistributed.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target TestBalancer\r\nConsolidate compiler generated dependencies of target TestDistributed\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/dst/test/cpp/CMakeFiles/TestBalancer.dir/build.make src/dst/test/cpp/CMakeFiles/TestBalancer.dir/build\r\n/usr/bin/gmake -f src/dst/test/cpp/CMakeFiles/TestDistributed.dir/build.make src/dst/test/cpp/CMakeFiles/TestDistributed.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 24%] Building CXX object src/dst/test/cpp/CMakeFiles/TestBalancer.dir/TestBalancer.cc.o\r\n[ 24%] Building CXX object src/dst/test/cpp/CMakeFiles/TestDistributed.dir/TestDistributed.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp && /usr/bin/c++ -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/usr/include/tcl -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dst/test/cpp/CMakeFiles/TestBalancer.dir/TestBalancer.cc.o -MF CMakeFiles/TestBalancer.dir/TestBalancer.cc.o.d -o CMakeFiles/TestBalancer.dir/TestBalancer.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/test/cpp/TestBalancer.cc\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dst/test/cpp && /usr/bin/c++ -DBOOST_SERIALIZATION_NO_LIB -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_NO_LIB -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/usr/include/tcl -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dst/test/cpp/CMakeFiles/TestDistributed.dir/TestDistributed.cc.o -MF CMakeFiles/TestDistributed.dir/TestDistributed.cc.o.d -o CMakeFiles/TestDistributed.dir/TestDistributed.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dst/test/cpp/TestDistributed.cc\r\nConsolidate compiler generated dependencies of target TestWorker\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/dst/test/cpp/CMakeFiles/TestWorker.dir/build.make src/dst/test/cpp/CMakeFiles/TestWorker.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/dst/test/cpp/CMakeFiles/TestWorker.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 24%] Built target TestWorker\r\nConsolidate compiler generated dependencies of target lefin\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/lefin/CMakeFiles/lefin.dir/build.make src/odb/src/lefin/CMakeFiles/lefin.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'src/odb/src/lefin/CMakeFiles/lefin.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 25%] Built target lefin\r\n/usr/bin/gmake -f src/dbSta/src/CMakeFiles/dbSta_lib.dir/build.make src/dbSta/src/CMakeFiles/dbSta_lib.dir/depend\r\n/usr/bin/gmake -f src/gui/CMakeFiles/gui.dir/build.make src/gui/CMakeFiles/gui.dir/depend\r\n/usr/bin/gmake -f src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/build.make src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/depend\r\n/usr/bin/gmake -f src/dpl/CMakeFiles/dpl_lib.dir/build.make src/dpl/CMakeFiles/dpl_lib.dir/depend\r\n/usr/bin/gmake -f src/ppl/CMakeFiles/ppl.dir/build.make src/ppl/CMakeFiles/ppl.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src/CMakeFiles/dbSta_lib.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/swig/common /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/swig/common /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl/CMakeFiles/dpl_lib.dir/DependInfo.cmake --color=\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 25%] Generating ppl-tclInitVar.cc\r\n/usr/bin/gmake -f src/dbSta/src/CMakeFiles/dbSta_lib.dir/build.make src/dbSta/src/CMakeFiles/dbSta_lib.dir/build\r\n[ 25%] Automatic RCC for resources/resource.qrc\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl && /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/etc/TclEncode.tcl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/ppl-tclInitVar.cc ppl_tcl_inits src/IOPlacer.tcl\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/build.make src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/build\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_autorcc /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/CMakeFiles/gui_autogen.dir/AutoRcc_resource_3YJK5W5UP7_Info.json RELEASE\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/dpl/CMakeFiles/dpl_lib.dir/build.make src/dpl/CMakeFiles/dpl_lib.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 25%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSta.cc.o\r\n[ 25%] Building CXX object src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/swig_common.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/swig/common && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/swig/common/. -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/src/swig/common/CMakeFiles/odb_swig_common.dir/swig_common.cpp.o -MF CMakeFiles/odb_swig_common.dir/swig_common.cpp.o.d -o CMakeFiles/odb_swig_common.dir/swig_common.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/swig/common/swig_common.cpp\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSta.cc.o -MF CMakeFiles/dbSta_lib.dir/dbSta.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbSta.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/dbSta.cc\r\n[ 25%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o -MF CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/src/Opendp.cpp\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/CMakeFiles/gui.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target gui\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/gui/CMakeFiles/gui.dir/build.make src/gui/CMakeFiles/gui.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 25%] Building CXX object src/gui/CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/gui_autogen/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -std=c++17 -MD -MT src/gui/CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui.dir/gui_autogen/mocs_compilation.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/gui_autogen/mocs_compilation.cpp\r\n[ 25%] Swig compile src/IOPlacer.i for tcl\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E make_directory /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/CMakeFiles/ppl.dir /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/CMakeFiles/ppl.dir\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E env SWIG_LIB=/home/jainil25/OpenROAD-flow-scripts/dependencies/share/swig/4.1.0 /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/swig -tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/dependencies/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/usr/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -namespace -prefix ppl -Werror -w317,325,378,401,402,467,472,503,509 -outdir /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl -c++ -module ppl -MF /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/CMakeFiles/ppl.dir/IOPlacer.i.d -MD -o /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/IOPlacer.i\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/CMakeFiles/ppl.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target ppl\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/ppl/CMakeFiles/ppl.dir/build.make src/ppl/CMakeFiles/ppl.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 25%] Building CXX object src/ppl/CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -Wno-cast-qual -Wno-missing-braces -MD -MT src/ppl/CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o -MF CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o.d -o CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx\r\nConsolidate compiler generated dependencies of target libabc\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f third-party/abc/CMakeFiles/libabc.dir/build.make third-party/abc/CMakeFiles/libabc.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[2]: Nothing to be done for 'third-party/abc/CMakeFiles/libabc.dir/build'.\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Built target libabc\r\n/usr/bin/gmake -f src/tap/src/CMakeFiles/tap.dir/build.make src/tap/src/CMakeFiles/tap.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Generating tap-tclInitVar.cc\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src && /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/etc/TclEncode.tcl /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/tap-tclInitVar.cc tap_tcl_inits tapcell.tcl\r\n[ 75%] Swig compile tapcell.i for tcl\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E make_directory /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/CMakeFiles/tap.dir /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/CMakeFiles/tap.dir\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E env SWIG_LIB=/home/jainil25/OpenROAD-flow-scripts/dependencies/share/swig/4.1.0 /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/swig -tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/dependencies/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/usr/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -namespace -prefix tap -Werror -w317,325,378,401,402,467,472,503,509 -outdir /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src -c++ -module tap -MF /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/CMakeFiles/tap.dir/tapcell.i.d -MD -o /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/tapcell.i\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/CMakeFiles/tap.dir/DependInfo.cmake --color=\r\nConsolidate compiler generated dependencies of target tap\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/tap/src/CMakeFiles/tap.dir/build.make src/tap/src/CMakeFiles/tap.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Building CXX object src/tap/src/CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -Wno-cast-qual -Wno-missing-braces -MD -MT src/tap/src/CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o -MF CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o.d -o CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx\r\n[ 75%] Building CXX object src/ppl/CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/ppl/CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o -MF CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o.d -o CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl/ppl-tclInitVar.cc\r\n[ 75%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/Core.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/ppl/CMakeFiles/ppl.dir/src/Core.cpp.o -MF CMakeFiles/ppl.dir/src/Core.cpp.o.d -o CMakeFiles/ppl.dir/src/Core.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/Core.cpp\r\n[ 75%] Building CXX object src/tap/src/CMakeFiles/tap.dir/tap-tclInitVar.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/tap/src/CMakeFiles/tap.dir/tap-tclInitVar.cc.o -MF CMakeFiles/tap.dir/tap-tclInitVar.cc.o.d -o CMakeFiles/tap.dir/tap-tclInitVar.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src/tap-tclInitVar.cc\r\n[ 75%] Building CXX object src/tap/src/CMakeFiles/tap.dir/tapcell.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/tap/src/CMakeFiles/tap.dir/tapcell.cpp.o -MF CMakeFiles/tap.dir/tapcell.cpp.o.d -o CMakeFiles/tap.dir/tapcell.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/tapcell.cpp\r\n[ 75%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/ppl/CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o -MF CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o.d -o CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/HungarianMatching.cpp\r\n[ 75%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o -MF CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/dbNetwork.cc\r\n[ 75%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o -MF CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/src/dbToOpendp.cpp\r\n[ 75%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/IOPlacer.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/ppl/CMakeFiles/ppl.dir/src/IOPlacer.cpp.o -MF CMakeFiles/ppl.dir/src/IOPlacer.cpp.o.d -o CMakeFiles/ppl.dir/src/IOPlacer.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/IOPlacer.cpp\r\n[ 75%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/Grid.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/Grid.cpp.o -MF CMakeFiles/dpl_lib.dir/src/Grid.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/Grid.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/src/Grid.cpp\r\n[ 75%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o -MF CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/dbSdcNetwork.cc\r\n[ 75%] Building CXX object src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dbSta/src/CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o -MF CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o.d -o CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/src/dbReadVerilog.cc\r\n[ 75%] Building CXX object src/tap/src/CMakeFiles/tap.dir/MakeTapcell.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/tap/src/CMakeFiles/tap.dir/MakeTapcell.cpp.o -MF CMakeFiles/tap.dir/MakeTapcell.cpp.o.d -o CMakeFiles/tap.dir/MakeTapcell.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/MakeTapcell.cpp\r\n[ 75%] Linking CXX static library libodb_swig_common.a\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/swig/common && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -P CMakeFiles/odb_swig_common.dir/cmake_clean_target.cmake\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/swig/common && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_link_script CMakeFiles/odb_swig_common.dir/link.txt --verbose=1\r\n/usr/bin/ar qc libodb_swig_common.a CMakeFiles/odb_swig_common.dir/swig_common.cpp.o\r\n[ 75%] Linking CXX static library tap.a\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -P CMakeFiles/tap.dir/cmake_clean_target.cmake\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/tap/src && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_link_script CMakeFiles/tap.dir/link.txt --verbose=1\r\n/usr/bin/ar qc tap.a CMakeFiles/tap.dir/CMakeFiles/tap.dir/tapcellTCL_wrap.cxx.o \"CMakeFiles/tap.dir/tap-tclInitVar.cc.o\" CMakeFiles/tap.dir/tapcell.cpp.o CMakeFiles/tap.dir/MakeTapcell.cpp.o\r\n/usr/bin/ranlib libodb_swig_common.a\r\n/usr/bin/ranlib tap.a\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap && /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/etc/find_messages.py > /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/tap/src/../messages.txt\r\n[ 75%] Built target odb_swig_common\r\n/usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx_lib.dir/build.make src/rcx/src/CMakeFiles/rcx_lib.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src/CMakeFiles/rcx_lib.dir/DependInfo.cmake --color=\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/rcx/src/CMakeFiles/rcx_lib.dir/build.make src/rcx/src/CMakeFiles/rcx_lib.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/ext.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/ext.cpp.o -MF CMakeFiles/rcx_lib.dir/ext.cpp.o.d -o CMakeFiles/rcx_lib.dir/ext.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/ext.cpp\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Built target tap\r\n/usr/bin/gmake -f src/odb/CMakeFiles/parseTest.dir/build.make src/odb/CMakeFiles/parseTest.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/CMakeFiles/parseTest.dir/DependInfo.cmake --color=\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/CMakeFiles/parseTest.dir/build.make src/odb/CMakeFiles/parseTest.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Building CXX object src/odb/CMakeFiles/parseTest.dir/test/parseTest.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/CMakeFiles/parseTest.dir/test/parseTest.cpp.o -MF CMakeFiles/parseTest.dir/test/parseTest.cpp.o.d -o CMakeFiles/parseTest.dir/test/parseTest.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/parseTest.cpp\r\n[ 75%] Linking CXX static library libdbSta_lib.a\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -P CMakeFiles/dbSta_lib.dir/cmake_clean_target.cmake\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dbSta/src && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_link_script CMakeFiles/dbSta_lib.dir/link.txt --verbose=1\r\n/usr/bin/ar qc libdbSta_lib.a CMakeFiles/dbSta_lib.dir/dbSta.cc.o CMakeFiles/dbSta_lib.dir/dbNetwork.cc.o CMakeFiles/dbSta_lib.dir/dbSdcNetwork.cc.o CMakeFiles/dbSta_lib.dir/dbReadVerilog.cc.o\r\n/usr/bin/ranlib libdbSta_lib.a\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Built target dbSta_lib\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestDbWire.dir/build.make src/odb/test/cpp/CMakeFiles/TestDbWire.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp/CMakeFiles/TestDbWire.dir/DependInfo.cmake --color=\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestDbWire.dir/build.make src/odb/test/cpp/CMakeFiles/TestDbWire.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Building CXX object src/odb/test/cpp/CMakeFiles/TestDbWire.dir/TestDbWire.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/test/cpp/CMakeFiles/TestDbWire.dir/TestDbWire.cc.o -MF CMakeFiles/TestDbWire.dir/TestDbWire.cc.o.d -o CMakeFiles/TestDbWire.dir/TestDbWire.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp/TestDbWire.cc\r\n[ 75%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o -MF CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/src/CheckPlacement.cpp\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extBench.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extBench.cpp.o -MF CMakeFiles/rcx_lib.dir/extBench.cpp.o.d -o CMakeFiles/rcx_lib.dir/extBench.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/extBench.cpp\r\n[ 75%] Linking CXX executable TestDbWire\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_link_script CMakeFiles/TestDbWire.dir/link.txt --verbose=1\r\n/usr/bin/c++ -O3 -DNDEBUG CMakeFiles/TestDbWire.dir/TestDbWire.cc.o -o TestDbWire -lgtest -lgtest_main ../../src/cdl/libcdl.a ../../src/defin/libdefin.a ../../src/def/libdef.a ../../src/def/libdefzlib.a ../../src/lefin/liblefin.a ../../src/lef/liblef.a ../../src/lef/liblefzlib.a /usr/lib/x86_64-linux-gnu/libz.so ../../src/db/libdb.a ../../src/defout/libdefout.a ../../src/lefout/liblefout.a ../../src/zutil/libzutil.a ../../src/db/libdb.a ../../src/defout/libdefout.a ../../src/lefout/liblefout.a ../../src/zutil/libzutil.a ../../src/tm/libtm.a ../../../utl/libutl_lib.a /home/jainil25/OpenROAD-flow-scripts/dependencies/lib/libspdlog.a /usr/lib/x86_64-linux-gnu/libtcl.so\r\n[ 75%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/Place.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/Place.cpp.o -MF CMakeFiles/dpl_lib.dir/src/Place.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/Place.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/src/Place.cpp\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o -MF CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o.d -o CMakeFiles/rcx_lib.dir/extBenchDB.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/extBenchDB.cpp\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -D TEST_TARGET=TestDbWire -D TEST_EXECUTABLE=/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp/TestDbWire -D TEST_EXECUTOR= -D TEST_WORKING_DIR=/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp/.. -D TEST_EXTRA_ARGS= -D TEST_PROPERTIES= -D TEST_PREFIX= -D TEST_SUFFIX= -D TEST_FILTER= -D NO_PRETTY_TYPES=FALSE -D NO_PRETTY_VALUES=FALSE -D TEST_LIST=TestDbWire_TESTS -D CTEST_FILE=/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp/TestDbWire[1]_tests.cmake -D TEST_DISCOVERY_TIMEOUT=5 -D TEST_XML_OUTPUT_DIR= -P /home/jainil25/OpenROAD-flow-scripts/dependencies/share/cmake-3.24/Modules/GoogleTestAddTests.cmake\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Built target TestDbWire\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestCallBacks.dir/build.make src/odb/test/cpp/CMakeFiles/TestCallBacks.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp/CMakeFiles/TestCallBacks.dir/DependInfo.cmake --color=\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestCallBacks.dir/build.make src/odb/test/cpp/CMakeFiles/TestCallBacks.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Building CXX object src/odb/test/cpp/CMakeFiles/TestCallBacks.dir/TestCallBacks.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/test/cpp/CMakeFiles/TestCallBacks.dir/TestCallBacks.cpp.o -MF CMakeFiles/TestCallBacks.dir/TestCallBacks.cpp.o.d -o CMakeFiles/TestCallBacks.dir/TestCallBacks.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp/TestCallBacks.cpp\r\n[ 75%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/ppl/CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o -MF CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o.d -o CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/MakeIoplacer.cpp\r\n[ 75%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/Netlist.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/ppl/CMakeFiles/ppl.dir/src/Netlist.cpp.o -MF CMakeFiles/ppl.dir/src/Netlist.cpp.o.d -o CMakeFiles/ppl.dir/src/Netlist.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/Netlist.cpp\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extCC.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extCC.cpp.o -MF CMakeFiles/rcx_lib.dir/extCC.cpp.o.d -o CMakeFiles/rcx_lib.dir/extCC.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/extCC.cpp\r\n[ 75%] Building CXX object src/gui/CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/gui_autogen/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -std=c++17 -Wno-cast-qual -Wno-missing-braces -MD -MT src/gui/CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o -MF CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o.d -o CMakeFiles/gui.dir/CMakeFiles/gui.dir/guiTCL_wrap.cxx.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/CMakeFiles/gui.dir/guiTCL_wrap.cxx\r\n[ 75%] Building CXX object src/ppl/CMakeFiles/ppl.dir/src/Slots.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/munkres/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/ppl/CMakeFiles/ppl.dir/src/Slots.cpp.o -MF CMakeFiles/ppl.dir/src/Slots.cpp.o.d -o CMakeFiles/ppl.dir/src/Slots.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/src/Slots.cpp\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extCoords.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extCoords.cpp.o -MF CMakeFiles/rcx_lib.dir/extCoords.cpp.o.d -o CMakeFiles/rcx_lib.dir/extCoords.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/extCoords.cpp\r\n[ 75%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o -MF CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/src/FillerPlacement.cpp\r\n[ 75%] Linking CXX static library ppl.a\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -P CMakeFiles/ppl.dir/cmake_clean_target.cmake\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/ppl && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_link_script CMakeFiles/ppl.dir/link.txt --verbose=1\r\n/usr/bin/ar qc ppl.a CMakeFiles/ppl.dir/CMakeFiles/ppl.dir/IOPlacerTCL_wrap.cxx.o \"CMakeFiles/ppl.dir/ppl-tclInitVar.cc.o\" CMakeFiles/ppl.dir/src/Core.cpp.o CMakeFiles/ppl.dir/src/HungarianMatching.cpp.o CMakeFiles/ppl.dir/src/IOPlacer.cpp.o CMakeFiles/ppl.dir/src/MakeIoplacer.cpp.o CMakeFiles/ppl.dir/src/Netlist.cpp.o CMakeFiles/ppl.dir/src/Slots.cpp.o\r\n/usr/bin/ranlib ppl.a\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl && /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/etc/find_messages.py > /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/ppl/messages.txt\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Built target ppl\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestGeom.dir/build.make src/odb/test/cpp/CMakeFiles/TestGeom.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp/CMakeFiles/TestGeom.dir/DependInfo.cmake --color=\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestGeom.dir/build.make src/odb/test/cpp/CMakeFiles/TestGeom.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Building CXX object src/odb/test/cpp/CMakeFiles/TestGeom.dir/TestGeom.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/test/cpp/CMakeFiles/TestGeom.dir/TestGeom.cpp.o -MF CMakeFiles/TestGeom.dir/TestGeom.cpp.o.d -o CMakeFiles/TestGeom.dir/TestGeom.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp/TestGeom.cpp\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extFlow.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extFlow.cpp.o -MF CMakeFiles/rcx_lib.dir/extFlow.cpp.o.d -o CMakeFiles/rcx_lib.dir/extFlow.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/extFlow.cpp\r\n[ 75%] Building CXX object src/dpl/CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/dpl/CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o -MF CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o.d -o CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dpl/src/OptMirror.cpp\r\n[ 75%] Building CXX object src/gui/CMakeFiles/gui.dir/gui-tclInitVar.cc.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/gui_autogen/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -std=c++17 -MD -MT src/gui/CMakeFiles/gui.dir/gui-tclInitVar.cc.o -MF CMakeFiles/gui.dir/gui-tclInitVar.cc.o.d -o CMakeFiles/gui.dir/gui-tclInitVar.cc.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/gui-tclInitVar.cc\r\n[ 75%] Building CXX object src/gui/CMakeFiles/gui.dir/src/layoutViewer.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/gui_autogen/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/dbSta/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -fPIC -std=c++17 -MD -MT src/gui/CMakeFiles/gui.dir/src/layoutViewer.cpp.o -MF CMakeFiles/gui.dir/src/layoutViewer.cpp.o.d -o CMakeFiles/gui.dir/src/layoutViewer.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/gui/src/layoutViewer.cpp\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o -MF CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o.d -o CMakeFiles/rcx_lib.dir/extRCmodel.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/extRCmodel.cpp\r\n[ 75%] Linking CXX static library libdpl_lib.a\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -P CMakeFiles/dpl_lib.dir/cmake_clean_target.cmake\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/dpl && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_link_script CMakeFiles/dpl_lib.dir/link.txt --verbose=1\r\n/usr/bin/ar qc libdpl_lib.a CMakeFiles/dpl_lib.dir/src/Opendp.cpp.o CMakeFiles/dpl_lib.dir/src/dbToOpendp.cpp.o CMakeFiles/dpl_lib.dir/src/Grid.cpp.o CMakeFiles/dpl_lib.dir/src/CheckPlacement.cpp.o CMakeFiles/dpl_lib.dir/src/Place.cpp.o CMakeFiles/dpl_lib.dir/src/FillerPlacement.cpp.o CMakeFiles/dpl_lib.dir/src/OptMirror.cpp.o\r\n/usr/bin/ranlib libdpl_lib.a\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Built target dpl_lib\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestModule.dir/build.make src/odb/test/cpp/CMakeFiles/TestModule.dir/depend\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_depends \"Unix Makefiles\" /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp/CMakeFiles/TestModule.dir/DependInfo.cmake --color=\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n/usr/bin/gmake -f src/odb/test/cpp/CMakeFiles/TestModule.dir/build.make src/odb/test/cpp/CMakeFiles/TestModule.dir/build\r\ngmake[2]: Entering directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 75%] Building CXX object src/odb/test/cpp/CMakeFiles/TestModule.dir/TestModule.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/test/cpp && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/test/cpp/CMakeFiles/TestModule.dir/TestModule.cpp.o -MF CMakeFiles/TestModule.dir/TestModule.cpp.o.d -o CMakeFiles/TestModule.dir/TestModule.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/test/cpp/TestModule.cpp\r\n[ 75%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extSpef.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/rcx/src && /usr/bin/c++ -DFMT_DEPRECATED_OSTREAM=1 -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/../include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/def -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/def/defzlib -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/rcx/src/CMakeFiles/rcx_lib.dir/extSpef.cpp.o -MF CMakeFiles/rcx_lib.dir/extSpef.cpp.o.d -o CMakeFiles/rcx_lib.dir/extSpef.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/rcx/src/extSpef.cpp\r\n\r\nthere's nothing printed after this, it is still running. But there's always an error displayed after few minutes. The error is same as displayed in above image."}, {"assistant": "That snippet doesn't contain the error either. Once it fails please include the first line with \"error:\""}, {"user": "Hey, did you mean this?\r\n\r\n[ 74%] Linking CXX executable abc\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/third-party/abc && /home/jainil25/OpenROAD-flow-scripts/dependencies/bin/cmake -E cmake_link_script CMakeFiles/abc.dir/link.txt --verbose=1\r\n/usr/bin/c++ -O3 -DNDEBUG -rdynamic CMakeFiles/abc.dir/src/base/main/main.c.o -o abc libabc.a -lpthread -lm -ldl -lrt\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\n[ 74%] Built target abc\r\n[ 74%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lefin && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -fPIC -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerAreaRuleParser.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lefin/lefTechLayerAreaRuleParser.cpp\r\nc++: fatal error: Killed signal terminated program cc1plus\r\ncompilation terminated.\r\ngmake[2]: *** [src/dst/test/cpp/CMakeFiles/TestDistributed.dir/build.make:79: src/dst/test/cpp/CMakeFiles/TestDistributed.dir/TestDistributed.cc.o] Error 1\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[1]: *** [CMakeFiles/Makefile2:5223: src/dst/test/cpp/CMakeFiles/TestDistributed.dir/all] Error 2\r\ngmake[1]: *** Waiting for unfinished jobs....\r\n[ 74%] Building CXX object src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o\r\ncd /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build/src/odb/src/lefin && /usr/bin/c++ -DSPDLOG_COMPILED_LIB -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include -I/usr/include/tcl -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/src -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/include/odb -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lef -I/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lef/lefzlib -isystem /home/jainil25/OpenROAD-flow-scripts/dependencies/include -O3 -DNDEBUG -fPIC -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -std=c++17 -MD -MT src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o -MF CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o.d -o CMakeFiles/lefin.dir/lefTechLayerPitchRuleParser.cpp.o -c /home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/lefin/lefTechLayerPitchRuleParser.cpp\r\nc++: fatal error: Killed signal terminated program cc1plus\r\ncompilation terminated.\r\ngmake[2]: *** [src/odb/src/lefin/CMakeFiles/lefin.dir/build.make:205: src/odb/src/lefin/CMakeFiles/lefin.dir/lefTechLayerCutSpacingParser.cpp.o] Error 1\r\ngmake[2]: *** Waiting for unfinished jobs....\r\ngmake[2]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake[1]: *** [CMakeFiles/Makefile2:2061: src/odb/src/lefin/CMakeFiles/lefin.dir/all] Error 2\r\ngmake[1]: Leaving directory '/home/jainil25/OpenROAD-flow-scripts/tools/OpenROAD/build'\r\ngmake: *** [Makefile:149: all] Error 2"}, {"assistant": "c++: fatal error: Killed signal terminated program cc1plus\r\n\r\nThis is not a normal compiler error. I suggests you are running out of system resources or something is killing the job. Perhaps try fewer threads and ensure you have adequate disk space."}, {"user": "Thanks for your suggestion but I don't know how to implement those.\r\nMy laptop has 8gb Ram and more than 100gb available on each C and D drive. \r\nI have a question, do I need to install Microsoft Visual C++ for building OpenROAD locally?"}, {"assistant": "No, we don't support Microsoft Visual C++. Try ./build_openroad.sh --local --threads 1"}, {"user": "Thank you, It has been successfully built locally.\r\nI do have one more question: would I need to do the same while installing Docker or while using OpenROAD tools?\r\n"}, {"assistant": "In docker it would likely be the same as it is using the same machine. When running OR it will depend on your design size. In general 8gb is pretty small for EDA tool usage."}, {"user": "Okay, Thank you for your help."}, {"assistant": "Seems it's resolved, so closing it."}]} +{"num": 3369, "messages": [{"user": "### Discussed in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3368\r\n\r\n
\r\n\r\nOriginally posted by **lokki11199** May 22, 2023\r\nHello,\r\nI am trying to integrate a FreePDK45 platform and get an error on global route stage:\r\n![\u0438\u0437\u043e\u0431\u0440\u0430\u0436\u0435\u043d\u0438\u0435](https://github.com/The-OpenROAD-Project/OpenROAD/assets/131533616/9fe83ce2-8516-4796-b9da-9471ec1e6ca2)\r\n\r\nA FreePDK45 platform and Nangate45 are similar to each other, excepting tha geometry of standard cells (in FreePDK45 they are polygon). I am making config.mk based on Nangate45 config.mk.\r\nOpenROAD Flow version: v2.0-7988-g20b907452 \r\n\r\nHelp me please to solve this problem.\r\n\r\n[global_route_PWM_freepdk45nm_base_2023-05-23_00-37.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11537324/global_route_PWM_freepdk45nm_base_2023-05-23_00-37.tar.gz)\r\n
"}, {"user": "@lokki11199 FYI"}, {"assistant": "@maliberty @luis201420 AFAIK, Nangate45 doesn't have antenna rules. If the same happens in FreePDK45, the antenna checker should detect when the platform doesn't have antenna rules and skip this stage.\r\n\r\nThe crash seems related to the ant not finding the antenna rules values."}, {"assistant": "> @maliberty @luis201420 AFAIK, Nangate45 doesn't have antenna rules. If the same happens in FreePDK45, the antenna checker should detect when the platform doesn't have antenna rules and skip this stage.\r\n> \r\n> The crash seems related to the ant not finding the antenna rules values.\r\n\r\nYes, FreePDK45 doesn't have antenna rules too. Are there ways to skip this stage by hand? "}, {"assistant": "> > @maliberty @luis201420 AFAIK, Nangate45 doesn't have antenna rules. If the same happens in FreePDK45, the antenna checker should detect when the platform doesn't have antenna rules and skip this stage.\r\n> > The crash seems related to the ant not finding the antenna rules values.\r\n> \r\n> Yes, FreePDK45 doesn't have antenna rules too. Are there ways to skip this stage by hand?\r\n\r\nYou could play with your scripts to check if the platform has antenna rules and skip check_antennas. Are you using ORFS or some other flow?\r\n\r\nBut in the end, we will update our tools to avoid such errors and properly handle platforms without antenna rules."}, {"assistant": "> > > @maliberty @luis201420 AFAIK, Nangate45 doesn't have antenna rules. If the same happens in FreePDK45, the antenna checker should detect when the platform doesn't have antenna rules and skip this stage.\r\n> > > The crash seems related to the ant not finding the antenna rules values.\r\n> > \r\n> > \r\n> > Yes, FreePDK45 doesn't have antenna rules too. Are there ways to skip this stage by hand?\r\n> \r\n> You could play with your scripts to check if the platform has antenna rules and skip check_antennas. Are you using ORFS or some other flow?\r\n> \r\n> But in the end, we will update our tools to avoid such errors and properly handle platforms without antenna rules.\r\n\r\nI am using ORFS."}, {"assistant": "@lokki11199 Could you try this: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3383?\r\n\r\nI've tried with your test case, and my PR fixes it. Can you check if it works in your end too?"}, {"assistant": "> @lokki11199 Could you try this: #3383?\r\n> \r\n> I've tried with your test case, and my PR fixes it. Can you check if it works in your end too?\r\n\r\nThanks! It works."}]} +{"num": 3372, "messages": [{"user": "### Describe the bug\n\nGlobal router reports the following: `[ERROR GRT-0076] Net io_oeb[18] not properly covered.`. Although, that's a normal pin in the design.\n\n### Expected Behavior\n\nGlobal router succeeds.\n\n### OpenROAD Environment\n\n```shell\nGit commit: 0af8a6ecdcff9063a5be8328a84ec2317d08bdf8\r\nkernel: Linux 6.1.28-060128-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8187-g0af8a6ecd\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/karim/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\") \r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - SCMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nuccess\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.NyKwKVQhbT\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v6.1.28-060128-generic\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.6 (OK)\r\nContainer Engine: docker v20.10.22 (OK)\r\nOpenLane Git Version: 541c95953c90428a7d716cb9095e66ba2e92896d\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n541c9595 2023-05-22T17:13:52+03:00 [BOT] Update magic (#1778) - Openlane Bot - (HEAD -> master, origin/master, origin/HEAD)\r\n791366fe 2023-05-22T14:01:37+03:00 Fix bugs when reading views for STA (#1809) - Kareem Farid - ()\r\ne5e58b64 2023-05-22T13:59:40+03:00 set `SCRIPTS_DIR` after saving an environment snapshot (#1810) - Kareem Farid - ()\r\n---\r\nGit Remotes\r\n\r\ndonn\thttps://github.com/donn/openlane.git (fetch)\r\ndonn\thttps://github.com/donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\n```\n\n\n### To Reproduce\n\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11543174/issue_reproducible.tar.gz)\r\n\n\n### Relevant log output\n\n```shell\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0197] Via related to pin nodes: 5202\r\n[INFO GRT-0198] Via related Steiner nodes: 150\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 6808\r\n[INFO GRT-0112] Final usage 3D: 53713\r\n[ERROR GRT-0076] Net io_oeb[18] not properly covered.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nOL is behind OR head, but same error happens in OR head. I noticed that this error doesn't happen when the pins are not extending outside the die area."}, {"assistant": "Why do you have pins outside the die area? That seems like an error unto itself."}, {"user": "You can control the pin shape and \"extend\" it outside the die area in OR. It is was useful in the early days of OR. Although, it might be unneeded nowadays, I am not sure there is an error by having a pin partially outside the die area of a macro."}, {"assistant": "The die area is normally meant to enclose the contents. I would remove that usage and report any problems that arise."}, {"user": "> Geometric shapes (such as blockages, pins, and special net routing) can be outside of the\r\ndie area, to allow proper modeling of pushed down routing from top-level designs into sub\r\nblocks. However, routing tracks should still be inside the die area. \r\n\r\nAccording to lefdefref, there is nothing wrong with having pin shapes outside the die area."}, {"assistant": "Are you modeling pushed down shapes?"}, {"user": "No but it doesn't mean that it is wrong for OR to be able to deal with such shapes, especially that it supports creating them. "}, {"assistant": "We are fixing this but I don't feel it is a good methodology. I prefer to change it so that we can't create such shapes but don't want to cause problems for you. Is there some advantage to this method?"}, {"user": "I know that we are using it. However, I am not sure if it is really needed. Let me check with the team and get back to you. "}]} +{"num": 3381, "messages": [{"user": "### Describe the bug\n\nHere is the error message I received when I am running CTS using ORFS flow scripts. \r\n```\r\n[INFO CTS-0034] Segment length (rounded): 3.\r\nCommand terminated by signal 11\r\nElapsed time: 2:06.21[h:]min:sec. CPU time: user 111.93 sys 12.07 (98%). Peak memory: 10346536KB.\r\n```\n\n### Expected Behavior\n\nIt should go through the CTS flow. \r\n\r\nI have tried to run CTS for multiple floorplan utilizations of MemPool Group design and it failed for all the cases. \n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 4.18.0-408.el8.x86_64\r\nos: CentOS Stream 8\r\ncmake version 3.16.2\r\n-- The CXX compiler identification is GNU 9.3.0\r\n-- Check for working CXX compiler: /home/tool/gcc/gcc-9.3.0/bin/c++\r\n-- Check for working CXX compiler: /home/tool/gcc/gcc-9.3.0/bin/c++ -- works\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8120-g8859e39e9\r\n-- System name: Linux\r\n-- Compiler: GNU 9.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/tool/GTest/gtest/1.13.0/lib64/libgtest.so \r\n-- The C compiler identification is GNU 9.3.0\r\n-- Check for working C compiler: /home/tool/gcc/gcc-9.3.0/bin/gcc\r\n-- Check for working C compiler: /home/tool/gcc/gcc-9.3.0/bin/gcc -- works\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found SWIG: /home/tool/swig/install/4.0.0/bin/swig (found suitable version \"4.0.0\", minimum required is \"3.0\") \r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") \r\n-- boost: 1.78.0\r\n-- Found Python3: /usr/lib64/libpython3.6m.so (found version \"3.6\") found components: Development \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.11\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /home/tool/bison/install/3.0.5/bin/bison (found version \"3.0.5\") \r\n-- Found Doxygen: /home/tool/doxygen/install/1.8.15/bin/doxygen (found version \"1.8.15\") found components: doxygen missing components: dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 9.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /home/tool/flex/2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/fetzfs_projects/MacroPlacement/flow3/or/mpl2_fixes/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/tool/ortools/install/or-tools_cpp_CentOSStream-8-64bit_v9.4.1874/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\") \r\n-- GUI is enabled\r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Found VTune: /home/tool/intel/vtune_amplifier/lib64/libittnotify.a \r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found suitable version \"1.78.0\", minimum required is \"1.78\") \r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") found components: serialization system thread \r\n-- Found Boost: /home/tool/boost/boost_1_78_0/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\") \r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.tebLV0iAF6\n```\n\n\n### To Reproduce\n\nI have ran make cts_issue command and generated the tarfile. Here is the links:\r\n- [Link](https://drive.google.com/file/d/1SND13OF-wKd73xk9RC4ix_kYa33twfOR/view?usp=share_link): 0.25 Floorplan Utilization\r\n- [Link](https://drive.google.com/file/d/1qC9hLbjScBVT5ZIzxwWTI9O_ysDRp6eS/view?usp=share_link): 0.20 Floorplan Utilization \r\n- [Link](https://drive.google.com/file/d/1YQPjEI-z7CR67fvEioBgmhMN9baC_xXO/view?usp=share_link): 0.15 Floorplan Utilization with manual macro placement.\r\n\r\nPlease let me know if I am supposed to provide different inputs. \r\n\r\nThanks,\r\nSayak\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I have a similar crash from UMich that I'm looking at. Hopefully it is the same."}, {"assistant": "Please try master again and see if https://github.com/The-OpenROAD-Project/OpenROAD/pull/3387 fixed this"}, {"assistant": "no response"}]} +{"num": 3385, "messages": [{"user": "### Describe the bug\n\nWhen trying to use OpenLane2 with a custom routing script that manually routes some signal before the Global+Detailled router passes, it fails with tons of \r\n\r\n```\r\n[ERROR DRT-1010] Unsupported non-orthogonal wire begin=(1363820, 235100) end=(1513820, 1759000)\r\n[ERROR DRT-1010] Unsupported non-orthogonal wire begin=(1363820, 235100) end=(1513820, 1759000)\r\n[ERROR DRT-1010] Unsupported non-orthogonal wire begin=(1363820, 235100) end=(1513820, 1759000)\r\n[ERROR DRT-1010] Unsupported non-orthogonal wire begin=(1363820, 235100) end=(1513820, 1759000)\r\n[ERROR DRT-1010] Unsupported non-orthogonal wire begin=(1363820, 235100) end=(1513820, 1759000)\r\n```\r\n\r\nIf in my custom routing script I leave the wires but remove the vias, then it works.\r\n\r\nTest case data can be found on :\r\nhttps://people.osmocom.org/tnt/stuff/tt/bug_data.zip\r\n\r\nIt contains the reproductable test case from OpenLane2 directly and the DEF output. ( Athough apparently it uses the ODB data directly rather than going through DEF between the different steps and the DEF is just \"informational\" ).\r\n\r\n\n\n### Expected Behavior\n\nRouting complete\n\n### Environment\n\n```shell\nGit commit: 675438bce1190bd3ade9d24d674a161b175455ac\r\nkernel: Linux 5.10.0-19-cloud-amd64\r\nos: Debian GNU/Linux 11 (bullseye)\r\ncmake version 3.18.4\r\n-- The CXX compiler identification is GNU 10.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8218-g675438bce\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /usr/lib/x86_64-linux-gnu/libgtest.a \r\n-- The C compiler identification is GNU 10.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPCMake Warning at src/CMakeLists.txt:226 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nCMake Error at src/mpl2/CMakeLists.txt:42 (find_package):\r\n By not providing \"Findortools.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"ortools\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"ortools\" with any\r\n of the following names:\r\n\r\n ortoolsConfig.cmake\r\n ortools-config.cmake\r\n\r\n Add the installation prefix of \"ortools\" to CMAKE_PREFIX_PATH or set\r\n \"ortools_DIR\" to a directory containing one of the above files. If\r\n \"ortools\" provides a separate development package or SDK, be sure it has\r\n been installed.\r\n\r\n\r\nPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/lib/x86_64-linux-gnu/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.9 (found version \"3.9.2\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.7.5\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen missing components: dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /mnt/pdk/OL2/native/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nrun ./run.sh from the tcl_reproductible directory\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@smunaut Could you share the script you've used to create the existing signal route? Also, is the attached DEF file the output from your script?\r\n\r\nThese errors are generated because the paths of these routings are non-orthogonal. Here's an example:\r\n\"image\"\r\n\r\nNote that the last endpoint creates an \"L\" shape with the others. I understand that DRT expects the paths to be fully vertical or horizontal. @maliberty do you know more about it?\r\n"}, {"user": "So the script looks like this :\r\n\r\n```python\r\n wire = odb.dbWire.create(net)\r\n encoder = odb.dbWireEncoder()\r\n encoder.begin(wire)\r\n\r\n # Create vertical spine\r\n # (split in 2 segments to help RCX be more correct)\r\n encoder.newPath(self.layer_v, 'FIXED')\r\n encoder.addPoint(x_spine, y_min)\r\n encoder.addPoint(x_spine, y_ctrl[0])\r\n\r\n encoder.newPath(self.layer_v, 'FIXED')\r\n encoder.addPoint(x_spine, y_ctrl[1])\r\n encoder.addPoint(x_spine, y_max)\r\n\r\n # Create horizontal link for each mux\r\n for y in y_mux:\r\n encoder.newPath(self.layer_h, 'FIXED')\r\n encoder.addPoint(x_min, y)\r\n encoder.addPoint(x_spine, y)\r\n encoder.addPoint(x_max, y)\r\n\r\n encoder.newPath(self.layer_v, 'FIXED')\r\n encoder.addPoint(x_spine, y)\r\n encoder.addTechVia(self.via)\r\n\r\n encoder.end()\r\n```\r\n\r\nI also tried a bunch of different possibilites and couldn't figure out one that works.\r\nThe only think I could make work is remove the final part that adds the via and then it works fine. (and I re-run my script _after_ detailled routing to add the vias afterward).\r\n\r\nWhat it must generate is vertical segment in the middle and then a bunhc of branches going left and right.\r\nI start a new path every time and the DEF also reflects that AFAICT so it should be valid. \r\n"}, {"assistant": "Your script looks fine to me. When I run DRT with the DEF file you've attached, it ends without errors. But running from the ODB file, the errors happen.\r\n\r\nAt what stage of the flow are you running your script? Is it right before the global router? Perhaps something is changing the paths in the encoder before the detailed routing stage."}, {"user": "Yes, just right before global routing step.\r\n\r\nThis is how it look in OpenLane 2, my list of steps :+1: \r\n\r\n```python\r\nSteps: List[Type[Step]] = [\r\n # ....\r\n CustomRoute,\r\n OpenROAD.GlobalRouting,\r\n OpenROAD.DetailedRouting,\r\n # ....\r\n```"}, {"user": "The DEF and ODB get written both at the output of the custom script from the internal state : \r\n\r\n```python3\r\n DesignFormat.DEF: lambda reader, file: odb.write_def(reader.block, file),\r\n DesignFormat.ODB: lambda reader, file: odb.write_db(reader.db, file),\r\n```\r\n\r\nIt's just that the ODB gets fed to the GloblaRouting step to continue and the DEF is just \"informational\". \r\nBut maybe something is wrong when saving the ODB and internal state is mis-represented somehow."}, {"assistant": "This is a dumb question, but does removing the write to DEF change the db? I think there might be a known issue that writing files can corrupt the wire encoder."}, {"assistant": "Write def should not affect the DB "}, {"user": "I tried forcing the ODB write before the DEF write, no changes.\r\n\r\nAlso attached is the exact ODB and DEF written at the output of my script. (i.e. before it gets altered by GlobalRouting)\r\n\r\n[user_project_wrapper.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11578828/user_project_wrapper.zip)\r\n"}, {"assistant": "@smunaut Could you try removing the check_antennas/repair_antennas commands in the global route script? These commands run order_wires for the nets when looking for antenna violations so these commands may be changing the routing."}, {"assistant": "@smunaut Could you also create a reproducible for the global routing stage? "}, {"user": "`repair_antenna` is already disabled.\r\n\r\nI'm trying to figure out if/how I can generate a same reproducible build for the GRT step (they're made automatically when the step fails but of course GRT works :sweat: )"}, {"assistant": "> `repair_antenna` is already disabled.\r\n> \r\n> I'm trying to figure out if/how I can generate a same reproducible build for the GRT step (they're made automatically when the step fails but of course GRT works \ud83d\ude13 )\r\n\r\nOpenLane have this read the docs page. This part in specific might be helpful: https://openlane.readthedocs.io/en/latest/for_developers/using_or_issue.html#odd-behavior"}, {"user": "That's for OpenLane \"original\". Here I'm using OpenLane 2 ( https://github.com/efabless/openlane2 ) which apparently doesn't support such things yet :/"}, {"user": "Did you check the ODB I posted above ? (which hasn't gone through global routing), are the routes correct in that ODB ?"}, {"assistant": "@smunaut Could you try this: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3411? We noticed that your DEF and ODB files were not wrong, but the way the detailed router read the existing routing was incorrect. My PR should fix the false non-orthogonal wires error. It worked in the test case you've attached, could you try it at your end?"}, {"user": "@eder-matheus Yup seems to work for me !"}, {"assistant": "> @eder-matheus Yup seems to work for me !\r\n\r\nThat's great! We're finishing the reviews in my PR. It should be in the master branch soon."}]} +{"num": 3389, "messages": [{"user": "### Describe the bug\n\nWhen a macro's pins are off the manufacturing grid there is no hope for drt to succeed. Please detect this and error early. We can check for this in odb import (in io).\n\n### Expected Behavior\n\nError with informative message in such cases.\n\n### Environment\n\n```shell\nhead of master\n```\n\n\n### To Reproduce\n\nmodify the macro placement of any design to trigger this\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@oharboe FYI"}, {"assistant": "Add a default off option that has to be enabled to allow offgrid placement, or simply error?"}, {"assistant": "DRT won't be able to find a path that doesn't cause a violation, so it will keep spinning until it runs out of iterations. It is better to error."}, {"assistant": "Here is an example where I'm struggling. I can't find the single source of truth of what grid to place the macro on...\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1097/commits/581aae81523d50991e47059e7af7bf7a07042fc6#r1206153460"}, {"assistant": "There are three main types of grids: manufacturing, cell (placement), and routing.\r\nThe manufacturing grid represents the finest granularity available in the process. _All_ geometries in the layout file must be a multiple of the manufacturing grid.\r\n\r\nFor example, the manufacturing grid in ASAP7 is 0.001 um.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/575222da2e7b669c11899253b61a897df46a4f20/test/asap7/asap7_tech_1x_201209.lef#L41\r\n\r\nThe cell grid represents a quantized grid of locations where cells can be placed. The grid is composed of cell sites and cell rows. Multiple cell grids can exist in a design (for example, one for standard cells and one for I/O cells). The cell grid must be a multiple of the manufacturing grid.\r\n\r\nFor example, a cell site in ASAP7 is 0.054 um x 0.270 um.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/575222da2e7b669c11899253b61a897df46a4f20/test/asap7/asap7sc7p5t_28_R_1x_220121a.lef#L36-L40\r\n\r\nThe routing grid represents the quantized grid that the router uses to route nets. The grid for each metal layer can be different. The pitch and offset of the grid is usually inferred from the minimum allowable distances (but doesn't always have to). The routing grid must be a multiple of the manufacturing grid.\r\n\r\nIn ASAP7 for example, the default M3 pitch is 0.036 um.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/575222da2e7b669c11899253b61a897df46a4f20/test/asap7/asap7_tech_1x_201209.lef#L174-L180\r\n\r\nThe default offset is 0.0 um, but In ORFS, we override the track offset to be 0.009 um to ensure that the first track is inside the die area. The pitch is still 0.036 um though.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/f3cc2edf9b23abe79e37a745909a1fb410b806a0/flow/platforms/asap7/openRoad/make_tracks.tcl#LL8C4-L8C4\r\n\r\nFor macro generation, the only hard rule is that the internal shapes (metals, pins, transistors, vias, etc.) have to be on the manufacturing grid when they are instantiated at the top-level.\r\n\r\n_But_, the best practice is for the total macro area to be a multiple of the cell sites (for ASAP7, x is multiple of 0.054, y is multiple of 0.270), and the pins to be on the routing grid (for ASAP7 M3, pins should be a multiple of 0.036 um apart).\r\n\r\nOne problem you can run into is that the routing grid inside the macro and the routing grid outside the macro don't line up. This makes it a bit more difficult for the router if the pins are congested, but usually the router can handle it. If the pins are off the _manufacturing_ grid, then your macro was either not generated correctly or not placed correctly at the top-level.\r\n\r\nTL;DR: Make sure that your pins are on the routing grid both inside the macro and when the macro is instantiated. You macro size doesn't necessarily need to be a multiple of the cell grid, but it's usually cleaner if it is."}, {"user": "Note that in asap7 the track pattern is more complex and is defined in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/platforms/asap7/openRoad/make_tracks.tcl rather than taken from the LEF. The M2 pattern is the complex bit."}, {"user": "Also asap7 doesn't allow wrong way routing so pins off the routing tracks are more difficult to deal with."}, {"assistant": "@rovinski Thank you for this explanation! So what position should I align macros to? I use 0.054 and 0.270 in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1097\r\n\r\nStill... the pins dont line up..."}, {"assistant": "Honestly, I would just turn on the routing grid in your top level and get the center of your pins to line up with it.\r\n\r\nMathematically, it probably needs to be a common multiple of both the routing pitch and the placement pitch. It's actually more important for the pins to line up with the routing grid than for the macro to line up with the placement grid."}, {"assistant": "> Honestly, I would just turn on the routing grid in your top level and get the center of your pins to line up with it.\r\n> \r\n> Mathematically, it probably needs to be a common multiple of both the routing pitch and the placement pitch. It's actually more important for the pins to line up with the routing grid than for the macro to line up with the placement grid.\r\n\r\nQuite, but I need to write tcl to place macros :-)"}, {"assistant": "Least common denominator of 0.036 and 0.054 is 0.108?"}, {"assistant": "You also need to account for the routing grid offset in both the top-level and the macro. Keep in mind that the routing grid offset is from the _die_ boundary not the _core_ boundary. The math is annoying which is why I am hesitant to work it out late at night :)"}, {"assistant": "> You also need to account for the routing grid offset in both the top-level and the macro. Keep in mind that the routing grid offset is from the _die_ boundary not the _core_ boundary. The math is annoying which is why I am hesitant to work it out late at night :)\r\n\r\nI am relieved to know it is a hard problem best attempted at the beginning of the day :-)"}, {"user": "Looking at io_outs_down[0] on M5. In the block it lies on track with the pin center at 5.58 = (track=116 * x_pitch=0.048 + x_offset=0.012)\r\n[From ```make_tracks M5 -x_offset 0.012 -x_pitch 0.048 -y_offset 0.012 -y_pitch 0.048```]\r\n```\r\n PIN io_outs_down[0]\r\n DIRECTION OUTPUT ;\r\n USE SIGNAL ;\r\n PORT\r\n LAYER M5 ;\r\n RECT 5.568 0 5.592 0.084 ;\r\n```\r\n\r\nLooking at ces_0_0/io_outs_down[0] in the top level using your branch from #1097:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/d7c7dc89-f1f6-4343-a025-59c8346d4da4)\r\n\r\nThe location comes from :\r\n26.208 = instance_x=20.628 + location_above=5.58\r\n\r\nNot that this is not a track multiple. You can see the offset is built into the pin location so the macro needs to be on a multiple of the track pattern. For example\r\n\r\n26.172 = instance_x=20.592 + location_above=5.58 = track=545 * x_pitch=.048 + x_offset=0.012\r\n[instance_x = track=429 * x_pitch=0.048]"}, {"assistant": "After update(stopped it after 5 itreations so it still has some DRC errors, but seems to be converging).\r\n\r\nThe pins seem to be landing nicely into the macro:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/8cf280cd-5f19-46dc-b72d-3dd0b6d6c7b2)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/93412f52-0289-43c4-8b02-eb06095d46a6)\r\n"}, {"assistant": "I think it can find a route but always with DRVs, shouldn't we log a warning instead of an error?"}, {"user": "> I think it can find a route but always with DRVs, shouldn't we log a warning instead of an error?\r\n\r\nThe user input is in error and will never pass DRC. I think it is better to error on that quickly. In theory you could find a route but in practice it just fails after a long time."}, {"assistant": "@maliberty , Ok. The term has multiple ports, should I fire an error if any of them is off grid, or if none of them is on grid?"}, {"user": "> @maliberty , Ok. The term has multiple ports, should I fire an error if any of them is off grid, or if none of them is on grid?\r\n\r\nAnything off the manufacturing grid is a drc error so any"}, {"assistant": "How isn't it detected here?:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/db4728d4771b20a594a70c4f72ee4670df433bea/src/drt/src/pa/FlexPA_init.cpp#L228-L258"}, {"user": "@oharboe do you remember if your case failed in pin access or was it later?"}, {"user": "@osamahammad21 PA can take a while so it would be better to check it earlier."}, {"assistant": "I think I understand how it could not be detected. The Master pin itself is on grid but the macro placement put it off grid. so MPin is on grid but InstPin is not."}, {"user": "That's correct - it was a bad macro placement."}]} +{"num": 3391, "messages": [{"user": "### Describe the bug\n\nAntenna failed placement check and looks to be inserted with a rotation.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/46405338/7eccb000-0350-491a-9e2b-ce5df542a46e)\r\n\n\n### Expected Behavior\n\nAntenna inserted into legal site\n\n### Environment\n\n```shell\nGit commit: 575222da2e7b669c11899253b61a897df46a4f20\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.25.0\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8233-g575222da2\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/pgadfort/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\")\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- Found Threads: TRUE\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/pgadfort/OpenROAD-build/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\")\r\n-- GUI is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.rQk0I8B5NR\n```\n\n\n### To Reproduce\n\nFile: https://drive.google.com/file/d/1FXBaw02jQ3LaEMw5cnsp1leCEln_w7TU/view?usp=sharing\r\n\r\n```\r\ntar xvf sc_issue_zerosoc_job0_route0_20230526-152904.tar.gz\r\ncd sc_issue_zerosoc_job0_route0_20230526-152904\r\n./run.sh\r\n```\n\n### Relevant log output\n\n```shell\nNet: padring.so_pads\\[0\\].i0.padio\\[1\\].i0.oen\r\n Pin: _16921_/A1 (sky130_fd_sc_hd__a2111oi_0)\r\n Layer: met4\r\n Partial area ratio: 4380.38\r\n Required ratio: 3134.60 (Side area) (VIOLATED)\r\n\r\n\r\nNet: padring.so_pads\\[0\\].i0.padio\\[2\\].i0.oen\r\n Pin: _16935_/A1 (sky130_fd_sc_hd__a2111oi_0)\r\n Layer: met4\r\n Partial area ratio: 4102.64\r\n Required ratio: 2956.40 (Side area) (VIOLATED)\r\n\r\n\r\nNet: padring.so_pads\\[0\\].i0.padio\\[3\\].i0.ie\r\n Pin: _16945_/A (sky130_fd_sc_hd__inv_4)\r\n Layer: met3\r\n Partial area ratio: 525.09\r\n Required ratio: 400.00 (Side area) (VIOLATED)\r\n\r\n\r\nNet: padring.so_pads\\[0\\].i0.padio\\[4\\].i0.dout\r\n Pin: _16967_/A1 (sky130_fd_sc_hd__a21oi_1)\r\n Layer: met2\r\n Partial area ratio: 1698.28\r\n Required ratio: 400.00 (Side area) (VIOLATED)\r\n\r\n\r\n[INFO ANT-0002] Found 35 net violations.\r\n[INFO ANT-0001] Found 48 pin violations.\r\n[INFO GRT-0006] Repairing antennas, iteration 1.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[WARNING ANT-0009] Net core.clk requires more than 10 diodes per gate to repair violations.\r\n[INFO GRT-0012] Found 36 antenna violations.\r\n[INFO GRT-0015] Inserted 101 diodes.\r\n[INFO GRT-0054] Using detailed placer to place 32 diodes.\r\n[INFO GRT-0009] rerouting 43 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 239\r\n[INFO GRT-0006] Repairing antennas, iteration 2.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 0 antenna violations.\r\n[INFO DPL-0001] Placed 255954 filler instances.\r\n[WARNING DPL-0006] Site aligned check failed (1).\r\n ANTENNA_55\r\n[ERROR DPL-0033] detailed placement checks failed.\r\nError: sc_route.tcl, 96 DPL-0033\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort Could you try this: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3402 ?"}, {"user": "> @gadfort Could you try this: #3402 ?\r\n\r\n@eder-matheus it appears to be working. Thanks."}]} +{"num": 3393, "messages": [{"user": "### Describe the bug\r\n\r\nmake issue created 110mByte .zip file, so to reproduce, do as follows.\r\n\r\nCheck out and build:\r\n\r\n```\r\ngit fetch https://github.com/Pinata-Consulting/OpenROAD-flow-scripts.git crash-3393\r\ngit checkout FETCH_HEAD\r\n./build_openroad.sh --latest --local\r\n```\r\n\r\nCreate settings.mk locally in the flow folder:\r\n\r\n```\r\nexport MOCK_ARRAY_WIDTH=8\r\nexport MOCK_ARRAY_HEIGHT=8\r\nexport MOCK_ARRAY_DATAWIDTH=8\r\nexport MOCK_ARRAY_PITCH_SCALE=20\r\nexport DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\nexport FLOW_VARIANT=8x8x8x20 \r\n```\r\n\r\nRun:\r\n\r\n```\r\nmake\r\n```\r\n\r\nOutput:\r\n\r\n```\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n[INFO][FLOW] Invoked hierarchical flow.\r\nBlock Element needs to be hardened.\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init ./scripts/global_place_skip_io.tcl -metrics ./logs/asap7/mock-array-big/8x8x8x20/3_1_place_gp_skip_io.json) 2>&1 | tee ./logs/asap7/mock-array-big/8x8x8x20/3_1_place_gp_skip_io.log\r\nOpenROAD v2.0-8226-gf1293afb7 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GPL-0002] DBU: 1000\r\n[deleted]\r\n[NesterovSolve] Iter: 1330 overflow: 0.100011 HPWL: 55837063\r\n[NesterovSolve] Finished with Overflow: 0.099936\r\ndouble free or corruption (!prev)\r\nSignal 6 received\r\nStack trace:\r\n```\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nno crash\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 9a713f0e8a51769207918da28adfd3c02b854375\r\nkernel: Linux 5.15.0-72-generic\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.22.1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above, the `make issue` was >110mByte so can't post that here.\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Rebuilt with latest master, got slightly different output:\r\n\r\n```\r\n[NesterovSolve] Iter: 1320 overflow: 0.100842 HPWL: 55901981\r\n[NesterovSolve] Iter: 1330 overflow: 0.100083 HPWL: 56045124\r\n[NesterovSolve] Finished with Overflow: 0.099933\r\ndouble free or corruption (!prev)\r\nSignal 6 received\r\nStack trace:\r\n```"}, {"user": "Stack trace:\r\n\r\n```\r\nfutex_wait (@__lll_lock_wait_private:17)\r\n__GI___lll_lock_wait_private (@__lll_lock_wait_private:12)\r\n__GI___libc_malloc (@__libc_malloc:190)\r\noperator new(unsigned long) (@operator new(unsigned long):11)\r\n__gnu_cxx::new_allocator::allocate(unsigned long, void const*) (/usr/include/c++/11/ext/new_allocator.h:127)\r\nstd::allocator_traits>::allocate(std::allocator&, unsigned long) (/usr/include/c++/11/bits/alloc_traits.h:464)\r\nstd::__cxx11::basic_string, std::allocator>::_M_create(unsigned long&, unsigned long) (/usr/include/c++/11/bits/basic_string.tcc:153)\r\nstd::__cxx11::basic_string, std::allocator>::reserve(unsigned long) (/usr/include/c++/11/bits/basic_string.tcc:291)\r\nhandler(int) (/home/oyvind/OpenROAD-flow-scripts/dependencies/include/boost/stacktrace/detail/frame_unwind.ipp:65)\r\n__restore_rt (@__restore_rt:3)\r\n__pthread_kill_implementation (@pthread_kill@@GLIBC_2.34:81)\r\n__pthread_kill_internal (@pthread_kill@@GLIBC_2.34:59)\r\n__GI___pthread_kill (@pthread_kill@@GLIBC_2.34:59)\r\n__GI_raise (@raise:10)\r\n__GI_abort (@abort:46)\r\n__libc_message (@__libc_message:179)\r\nmalloc_printerr (@7f6c2f0ecd7c..7f6c2f0ecde5:3)\r\n_int_free (@_int_free:476)\r\n__GI___libc_free (@free:31)\r\ngpl::FFT::~FFT() (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:70)\r\nstd::default_delete::operator()(gpl::FFT*) const (/usr/include/c++/11/bits/unique_ptr.h:85)\r\nstd::unique_ptr>::~unique_ptr() (/usr/include/c++/11/bits/unique_ptr.h:361)\r\ngpl::NesterovBase::~NesterovBase() (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:1016)\r\nstd::_Sp_counted_base<(__gnu_cxx::_Lock_policy)2>::_M_release() (/usr/include/c++/11/bits/shared_ptr_base.h:168)\r\nstd::_Sp_counted_base<(__gnu_cxx::_Lock_policy)2>::_M_release() (/usr/include/c++/11/bits/shared_ptr_base.h:161)\r\nstd::__shared_count<(__gnu_cxx::_Lock_policy)2>::~__shared_count() (/usr/include/c++/11/bits/shared_ptr_base.h:705)\r\nstd::__shared_ptr::~__shared_ptr() (/usr/include/c++/11/bits/shared_ptr_base.h:1154)\r\nstd::shared_ptr::~shared_ptr() (/usr/include/c++/11/bits/shared_ptr.h:122)\r\ngpl::RouteBase::~RouteBase() (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/routeBase.cpp:329)\r\nstd::_Sp_counted_base<(__gnu_cxx::_Lock_policy)2>::_M_release() (/usr/include/c++/11/bits/shared_ptr_base.h:168)\r\nstd::_Sp_counted_base<(__gnu_cxx::_Lock_policy)2>::_M_release() (/usr/include/c++/11/bits/shared_ptr_base.h:161)\r\nstd::__shared_count<(__gnu_cxx::_Lock_policy)2>::~__shared_count() (/usr/include/c++/11/bits/shared_ptr_base.h:705)\r\nstd::__shared_ptr::~__shared_ptr() (/usr/include/c++/11/bits/shared_ptr_base.h:1154)\r\nstd::__shared_ptr::reset() (/usr/include/c++/11/bits/shared_ptr_base.h:1272)\r\ngpl::Replace::reset() (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/replace.cpp:128)\r\n_wrap_replace_reset_cmd (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:1780)\r\nTclNRRunCallbacks (@TclNRRunCallbacks:37)\r\n___lldb_unnamed_symbol1504 (@___lldb_unnamed_symbol1504:315)\r\nTcl_EvalEx (@Tcl_EvalEx:9)\r\nTcl_Eval (@Tcl_Eval:11)\r\nsta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/StaMain.cc:95)\r\nord::tclAppInit(Tcl_Interp*) (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:418)\r\nTcl_MainEx (@Tcl_MainEx:111)\r\nmain (/home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:297)\r\n__libc_start_call_main (@__libc_start_call_main:29)\r\n__libc_start_main_impl (@__libc_start_main@@GLIBC_2.34:43)\r\n_start (@_start:15)\r\n```"}, {"user": "With sanitizer, the problem is caught seconds into the build:\r\n\r\n```\r\n$ git diff\r\ndiff --git a/build_openroad.sh b/build_openroad.sh\r\nindex d2af3b56..c84d5090 100755\r\n--- a/build_openroad.sh\r\n+++ b/build_openroad.sh\r\n@@ -275,7 +275,8 @@ __local_build()\r\n ${NICE} make install -C tools/yosys -j \"${PROC}\" ${YOSYS_ARGS}\r\n \r\n echo \"[INFO FLW-0018] Compiling OpenROAD.\"\r\n- ${NICE} cmake tools/OpenROAD -B tools/OpenROAD/build ${OPENROAD_APP_ARGS}\r\n+ ${NICE} cmake tools/OpenROAD -B tools/OpenROAD/build ${OPENROAD_APP_ARGS} -DCMAKE_BUILD_TYPE=RELEASE -DCMAKE_CXX_FLAGS=\"-g -fsanitize=address -fsanitize=undefined\"\r\n+\r\n ${NICE} cmake --build tools/OpenROAD/build --target install -j \"${PROC}\"\r\n \r\n if [ ! -z \"${LSORACLE_ENABLE+x}\" ]; then\r\n```\r\n\r\n```\r\n[INFO GPL-0030] NumBins: 4194304\r\n=================================================================\r\n==34796==ERROR: AddressSanitizer: heap-buffer-overflow on address 0x6250000db900 at pc 0x5641b6c73c95 bp 0x7ffc200e8220 sp 0x7ffc200e8210\r\nWRITE of size 4 at 0x6250000db900 thread T0\r\n #0 0x5641b6c73c94 in gpl::FFT::updateDensity(int, int, float) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:133\r\n #1 0x5641b6ba7069 in gpl::NesterovBase::updateDensityForceBin() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:1868\r\n #2 0x5641b6a53ac1 in gpl::NesterovPlace::init() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovPlace.cpp:178\r\n #3 0x5641b6a6396f in gpl::NesterovPlace::NesterovPlace(gpl::NesterovPlaceVars const&, std::shared_ptr, std::shared_ptr, std::shared_ptr, std::shared_ptr, utl::Logger*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovPlace.cpp:132\r\n #4 0x5641b69b1e32 in gpl::Replace::initNesterovPlace() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/replace.cpp:330\r\n #5 0x5641b69be198 in gpl::Replace::doNesterovPlace(int) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/replace.cpp:338\r\n #6 0x5641b6dc0026 in replace_nesterov_place_cmd() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:1794\r\n #7 0x5641b6dc0026 in _wrap_replace_nesterov_place_cmd /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:2240\r\n #8 0x7f9b1be9dd31 in TclNRRunCallbacks (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3cd31)\r\n #9 0x7f9b1be9f027 (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3e027)\r\n #10 0x7f9b1be9eaa6 in Tcl_EvalEx (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3daa6)\r\n #11 0x7f9b1be9fc99 in Tcl_Eval (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3ec99)\r\n #12 0x5641b7e8e1d9 in sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/StaMain.cc:95\r\n #13 0x5641b6596dee in tclAppInit /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:418\r\n #14 0x7f9b1bf51b18 in Tcl_MainEx (/lib/x86_64-linux-gnu/libtcl8.6.so+0xf0b18)\r\n #15 0x5641b6369397 in main /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:297\r\n #16 0x7f9b179b8d8f in __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58\r\n #17 0x7f9b179b8e3f in __libc_start_main_impl ../csu/libc-start.c:392\r\n #18 0x5641b6555e64 in _start (/home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad+0x2ee83e64)\r\n\r\n0x6250000db900 is located 0 bytes to the right of 8192-byte region [0x6250000d9900,0x6250000db900)\r\nallocated by thread T0 here:\r\n #0 0x7f9b1c0c7337 in operator new[](unsigned long) ../../../../src/libsanitizer/asan/asan_new_delete.cpp:102\r\n #1 0x5641b6c77c7c in gpl::FFT::init() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:97\r\n\r\nSUMMARY: AddressSanitizer: heap-buffer-overflow /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:133 in gpl::FFT::updateDensity(int, int, float)\r\nShadow bytes around the buggy address:\r\n 0x0c4a800136d0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a800136e0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a800136f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a80013700: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a80013710: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n=>0x0c4a80013720:[fa]fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013730: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013740: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013750: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013760: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013770: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\nShadow byte legend (one shadow byte represents 8 application bytes):\r\n Addressable: 00\r\n Partially addressable: 01 02 03 04 05 06 07 \r\n Heap left redzone: fa\r\n Freed heap region: fd\r\n Stack left redzone: f1\r\n Stack mid redzone: f2\r\n Stack right redzone: f3\r\n Stack after return: f5\r\n Stack use after scope: f8\r\n Global redzone: f9\r\n Global init order: f6\r\n Poisoned by user: f7\r\n Container overflow: fc\r\n Array cookie: ac\r\n Intra object redzone: bb\r\n ASan internal: fe\r\n Left alloca redzone: ca\r\n Right alloca redzone: cb\r\n Shadow gap: cc\r\n==34796==ABORTING\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:10.62[h:]min:sec. CPU time: user 9.35 sys 1.22 (99%). Peak memory: 3551376KB.\r\nmake: *** [Makefile:513: results/asap7/mock-array-big/8x8x8x20/3_1_place_gp_skip_io.odb] Error 1\r\n```"}, {"user": "Debug session:\r\n\r\n```\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n[INFO][FLOW] Invoked hierarchical flow.\r\nBlock Element needs to be hardened.\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init ./scripts/global_place_skip_io.tcl -metrics ./logs/asap7/mock-array-big/8x8x8x20/3_1_place_gp_skip_io.json) 2>&1 | tee ./logs/asap7/mock-array-big/8x8x8x20/3_1_place_gp_skip_io.log\r\nOpenROAD v2.0-8236-g9a713f0e8 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 54 270\r\n[INFO GPL-0004] CoreAreaLxLy: 2160 2160\r\n[INFO GPL-0005] CoreAreaUxUy: 1360530 1360530\r\n[INFO GPL-0006] NumInstances: 66369\r\n[INFO GPL-0007] NumPlaceInstances: 1\r\n[INFO GPL-0008] NumFixedInstances: 64\r\n[INFO GPL-0009] NumDummyInstances: 66304\r\n[INFO GPL-0010] NumNets: 2819\r\n[INFO GPL-0011] NumPins: 5121\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 1362720 1362720\r\n[INFO GPL-0014] CoreAreaLxLy: 2160 2160\r\n[INFO GPL-0015] CoreAreaUxUy: 1360530 1360530\r\n[INFO GPL-0016] CoreArea: 1845169056900\r\n[INFO GPL-0017] NonPlaceInstsArea: 1435567372380\r\n[INFO GPL-0018] PlaceInstsArea: 102060\r\n[INFO GPL-0019] Util(%): 0.00\r\n[INFO GPL-0020] StdInstsArea: 102060\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[INFO GPL-0031] FillerInit: NumGCells: 1204002\r\n[INFO GPL-0032] FillerInit: NumGNets: 2819\r\n[INFO GPL-0033] FillerInit: NumGPins: 5121\r\n[INFO GPL-0023] TargetDensity: 0.30\r\n[INFO GPL-0024] AveragePlaceInstArea: 102060\r\n[INFO GPL-0025] IdealBinArea: 340200\r\n[INFO GPL-0026] IdealBinCnt: 5423777\r\n[INFO GPL-0027] TotalBinArea: 1845169056900\r\n[INFO GPL-0028] BinCnt: 2048 2048\r\n[INFO GPL-0029] BinSize: 664 664\r\n[INFO GPL-0030] NumBins: 4194304\r\n=================================================================\r\n==46137==ERROR: AddressSanitizer: heap-buffer-overflow on address 0x6250000db900 at pc 0x55eff3ff2b65 bp 0x7ffd0e9e0270 sp 0x7ffd0e9e0260\r\nWRITE of size 4 at 0x6250000db900 thread T0\r\n #0 0x55eff3ff2b64 in gpl::FFT::updateDensity(int, int, float) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:133\r\n #1 0x55eff3f7e0b2 in gpl::NesterovBase::updateDensityForceBin() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:1868\r\n #2 0x55eff3ea0210 in gpl::NesterovPlace::init() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovPlace.cpp:178\r\n #3 0x55eff3e9cc7a in gpl::NesterovPlace::NesterovPlace(gpl::NesterovPlaceVars const&, std::shared_ptr, std::shared_ptr, std::shared_ptr, std::shared_ptr, utl::Logger*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovPlace.cpp:132\r\n #4 0x55eff3e4ff06 in gpl::Replace::initNesterovPlace() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/replace.cpp:330\r\n #5 0x55eff3e5033b in gpl::Replace::doNesterovPlace(int) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/replace.cpp:338\r\n #6 0x55eff40b7845 in replace_nesterov_place_cmd() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:1794\r\n #7 0x55eff40b985c in _wrap_replace_nesterov_place_cmd /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:2240\r\n #8 0x7f1897caed31 in TclNRRunCallbacks (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3cd31)\r\n #9 0x7f1897cb0027 (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3e027)\r\n #10 0x7f1897cafaa6 in Tcl_EvalEx (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3daa6)\r\n #11 0x7f1897cb0c99 in Tcl_Eval (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3ec99)\r\n #12 0x55eff4a3d2e4 in sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/StaMain.cc:95\r\n #13 0x55eff3b8e1dd in tclAppInit /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:418\r\n #14 0x55eff3b8e9ad in ord::tclAppInit(Tcl_Interp*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:446\r\n #15 0x7f1897d62b18 in Tcl_MainEx (/lib/x86_64-linux-gnu/libtcl8.6.so+0xf0b18)\r\n #16 0x55eff3b8ce60 in main /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/Main.cc:297\r\n #17 0x7f18937c9d8f in __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58\r\n #18 0x7f18937c9e3f in __libc_start_main_impl ../csu/libc-start.c:392\r\n #19 0x55eff3b58ff4 in _start (/home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad+0x21a27ff4)\r\n\r\n0x6250000db900 is located 0 bytes to the right of 8192-byte region [0x6250000d9900,0x6250000db900)\r\nallocated by thread T0 here:\r\n #0 0x7f1897ed8337 in operator new[](unsigned long) ../../../../src/libsanitizer/asan/asan_new_delete.cpp:102\r\n #1 0x55eff3fefea4 in gpl::FFT::init() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:97\r\n #2 0x55eff3fee21b in gpl::FFT::FFT(int, int, int, int) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:63\r\n #3 0x55eff3f69a30 in gpl::NesterovBase::init() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:1178\r\n #4 0x55eff3f62ba8 in gpl::NesterovBase::NesterovBase(gpl::NesterovBaseVars, std::shared_ptr, utl::Logger*) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/nesterovBase.cpp:1010\r\n #5 0x55eff3e67600 in void __gnu_cxx::new_allocator::construct&, utl::Logger*&>(gpl::NesterovBase*, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) /usr/include/c++/11/ext/new_allocator.h:162\r\n #6 0x55eff3e660a3 in void std::allocator_traits >::construct&, utl::Logger*&>(std::allocator&, gpl::NesterovBase*, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) /usr/include/c++/11/bits/alloc_traits.h:516\r\n #7 0x55eff3e6355c in std::_Sp_counted_ptr_inplace, (__gnu_cxx::_Lock_policy)2>::_Sp_counted_ptr_inplace&, utl::Logger*&>(std::allocator, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) /usr/include/c++/11/bits/shared_ptr_base.h:519\r\n #8 0x55eff3e60779 in std::__shared_count<(__gnu_cxx::_Lock_policy)2>::__shared_count, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&>(gpl::NesterovBase*&, std::_Sp_alloc_shared_tag >, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) /usr/include/c++/11/bits/shared_ptr_base.h:650\r\n #9 0x55eff3e5e775 in std::__shared_ptr::__shared_ptr, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&>(std::_Sp_alloc_shared_tag >, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) /usr/include/c++/11/bits/shared_ptr_base.h:1342\r\n #10 0x55eff3e5c6d9 in std::shared_ptr::shared_ptr, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&>(std::_Sp_alloc_shared_tag >, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) /usr/include/c++/11/bits/shared_ptr.h:409\r\n #11 0x55eff3e59885 in std::shared_ptr std::allocate_shared, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&>(std::allocator const&, gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) (/home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad+0x21d28885)\r\n #12 0x55eff3e56349 in std::shared_ptr std::make_shared&, utl::Logger*&>(gpl::NesterovBaseVars&, std::shared_ptr&, utl::Logger*&) /usr/include/c++/11/bits/shared_ptr.h:879\r\n #13 0x55eff3e4d896 in gpl::Replace::initNesterovPlace() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/replace.cpp:285\r\n #14 0x55eff3e5033b in gpl::Replace::doNesterovPlace(int) /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/replace.cpp:338\r\n #15 0x55eff40b7845 in replace_nesterov_place_cmd() /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:1794\r\n #16 0x55eff40b985c in _wrap_replace_nesterov_place_cmd /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/build/src/gpl/CMakeFiles/gpl.dir/replaceTCL_wrap.cxx:2240\r\n #17 0x7f1897caed31 in TclNRRunCallbacks (/lib/x86_64-linux-gnu/libtcl8.6.so+0x3cd31)\r\n\r\nSUMMARY: AddressSanitizer: heap-buffer-overflow /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/gpl/src/fft.cpp:133 in gpl::FFT::updateDensity(int, int, float)\r\nShadow bytes around the buggy address:\r\n 0x0c4a800136d0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a800136e0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a800136f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a80013700: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n 0x0c4a80013710: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00\r\n=>0x0c4a80013720:[fa]fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013730: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013740: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013750: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013760: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\n 0x0c4a80013770: fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa fa\r\nShadow byte legend (one shadow byte represents 8 application bytes):\r\n Addressable: 00\r\n Partially addressable: 01 02 03 04 05 06 07 \r\n Heap left redzone: fa\r\n Freed heap region: fd\r\n Stack left redzone: f1\r\n Stack mid redzone: f2\r\n Stack right redzone: f3\r\n Stack after return: f5\r\n Stack use after scope: f8\r\n Global redzone: f9\r\n Global init order: f6\r\n Poisoned by user: f7\r\n Container overflow: fc\r\n Array cookie: ac\r\n Intra object redzone: bb\r\n ASan internal: fe\r\n Left alloca redzone: ca\r\n Right alloca redzone: cb\r\n Shadow gap: cc\r\n==46137==ABORTING\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/dc17707b-7b77-4810-b0f0-08834c1c12ad)\r\n"}, {"user": "@maliberty I hope some of what I found above makes this a bit more actionable... I don't know this code, so I'm running out of ideas...."}, {"assistant": "I tried crash.zip but I get:\r\n```\r\nError: read_liberty.tcl, 25 cannot read file ./results/asap7/mock-array-big_Element/8x8x8x20/Element.lib.\r\n```"}, {"user": "> I tried crash.zip but I get:\r\n> \r\n> ```\r\n> Error: read_liberty.tcl, 25 cannot read file ./results/asap7/mock-array-big_Element/8x8x8x20/Element.lib.\r\n> ```\r\n\r\nYes, sorry. You have to build locally per instructions"}, {"user": "@maliberty The crash is reproduced in this PR and there are artifacts that can be downloaded: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1106\r\n\r\n"}, {"user": "@maliberty Please confirm that artifact above is adequate reproduction of the crash, or advice if there is more I can do.\r\n\r\n -fsanitize=address makes the failing step fail in seconds near the root cause, rather than much later due to fallout from the root cause."}]} +{"num": 3394, "messages": [{"user": "### Description\r\n\r\nTo reproduce, use(this may be a problem without this PR, but I haven't tested) https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1097 and create settings.mk:\r\n\r\n``` \r\nexport MOCK_ARRAY_WIDTH=8\r\nexport MOCK_ARRAY_HEIGHT=8\r\nexport MOCK_ARRAY_DATAWIDTH=8\r\nexport MOCK_ARRAY_PITCH_SCALE=20\r\nexport DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nThen run `make floorplan_info` to see floorplan size:\r\n\r\n```\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n[INFO][FLOW] Invoked hierarchical flow.\r\nBlock Element needs to be hardened.\r\nDIE_AREA=0 0 1362.72 1362.72\r\nCORE_AREA=2.16 2.16 1360.5600000000002 1360.5600000000002\r\n```\r\n\r\nRun `make floorplan` and `make elapsed`\r\n\r\n```\r\nLog Elapsed seconds\r\n[deleted]\r\n2_6_pdn 10983\r\n[deleted]\r\n```\r\n\r\n### Suggested Solution\r\n\r\nI think there is an algorithm that does not scale, identify and make it scale a bit better.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Profiling with master(not PR):\r\n\r\n```\r\nOPENROAD_EXE=\"perf record -o perf.data ../tools/install/OpenROAD/bin/openroad\" make\r\n```\r\n\r\nFirst with `export MOCK_ARRAY_PITCH_SCALE=2`\r\n\r\n```\r\n 2,35% openroad openroad [.] std::_Sp_counted_base<(__gnu_cxx::_Lock_policy)2>::_M_add_ref_copy \r\n 2,18% openroad openroad [.] boost::geometry::model::point::get<1ul> \r\n 2,16% openroad openroad [.] boost::geometry::model::point::get<0ul> \r\n 2,04% openroad openroad [.] std::_Sp_counted_base<(__gnu_cxx::_Lock_policy)2>::_M_release \r\n 1,85% openroad openroad [.] boost::geometry::get<1ul, boost::geometry::model::point > \r\n 1,78% openroad openroad [.] boost::geometry::get<0ul, boost::geometry::model::point > \r\n 1,70% openroad openroad [.] std::less::operator() \r\n 1,69% openroad openroad [.] boost::geometry::get<0ul, 1ul, boost::geometry::model::box::operator() \r\n 1,57% openroad openroad [.] boost::geometry::get<0ul, 0ul, boost::geometry::model::box >::max_corne\r\n 1,33% openroad openroad [.] boost::geometry::get<1ul, 1ul, boost::geometry::model::box, 0ul, vo\r\n 1,33% openroad openroad [.] boost::geometry::traits::access, 1ul, vo\r\n 1,29% openroad openroad [.] boost::geometry::detail::expand::indexed_loop<1ul, 0ul, 2ul>::apply::apply >::min_corne\r\n 1,21% openroad openroad [.] boost::geometry::get<0ul, boost::geometry::model::d2::point_xy > \r\n 1,19% openroad openroad [.] boost::geometry::detail::expand::indexed_loop<0ul, 1ul, 2ul>::apply::get<0ul> \r\n 1,18% openroad openroad [.] boost::polygon::orientation_2d::orientation_2d \r\n 1,07% openroad openroad [.] boost::geometry::model::point::get<1ul> \r\n 1,04% openroad openroad [.] std::less::operator() \r\n 0,98% openroad openroad [.] boost::geometry::get<0ul, boost::geometry::model::point > \r\n 0,92% openroad openroad [.] boost::geometry::get<1ul, boost::geometry::model::point > \r\n 0,84% openroad openroad [.] boost::geometry::get<0ul, 1ul, boost::geometry::model::box::operator() \r\n 0,71% openroad openroad [.] boost::geometry::get<1ul, 0ul, boost::geometry::model::box > \r\n 0,68% openroad openroad [.] boost::geometry::traits::access, 0ul, vo\r\n 0,68% openroad openroad [.] boost::geometry::model::box >::max_corne\r\n 0,66% openroad openroad [.] boost::geometry::traits::access, 1ul, vo\r\n 0,64% openroad openroad [.] boost::geometry::get<1ul, 1ul, boost::geometry::model::box >::min_corne\r\n 0,61% openroad openroad [.] boost::polygon::direction_1d::direction_1d \r\n 0,59% openroad libc.so.6 [.] _int_free \r\n```"}, {"user": "I will do a profile run (3 hrs) with https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1097 and post the `perf report` here:\r\n"}, {"user": "Need to verify this first, I could be confusing myself with the sanatize options..."}, {"assistant": "I would suggest you not run it for three hours. That's going to create a huge sample file. It's often better to just run it for a few minutes the exit the program to see where the bottle neck is"}, {"user": "> I would suggest you not run it for three hours. That's going to create a huge sample file. It's often better to just run it for a few minutes the exit the program to see where the bottle neck is\r\n\r\nWhen I press ctrl-c, I often get a corrupt file, so I will let it simmer and see if I cant have some data...\r\n\r\nI have been debugging a crash with sanitizer and it is entirely possible I confused myself and that I was running w sanitizer when it took hours, so closed Issue while I check."}, {"user": "```\r\n$ make floorplan\r\n[deleted]\r\n$ make elapsed \r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n[INFO][FLOW] Invoked hierarchical flow.\r\nBlock Element needs to be hardened.\r\nLog Elapsed seconds\r\n1_1_yosys 2\r\n2_1_floorplan 4\r\n2_2_floorplan_io 3\r\n2_3_tdms_place 5\r\n2_4_mplace 3\r\n2_5_tapcell 4\r\n2_6_pdn 11143\r\n\r\n```\r\n\r\n```\r\nOverhead Command Shared Object Symbol \r\n 2,55% openroad openroad [.] boost::geometry::model::point::get<0ul> \r\n 2,44% openroad openroad [.] boost::geometry::model::point::get<1ul> \r\n 2,35% openroad openroad [.] boost::geometry::get<0ul, boost::geometry::model::point::operator() \r\n 1,82% openroad openroad [.] std::less::operator() \r\n 1,78% openroad openroad [.] boost::geometry::get<0ul, 1ul, boost::geometry::model::box::_M_add_ref_copy \r\n 1,57% openroad openroad [.] boost::geometry::traits::access::_M_release \r\n 1,56% openroad openroad [.] boost::geometry::get<1ul, 0ul, boost::geometry::model::box::apply::apply::apply::apply @oharboe I've added the nets crossing the congested areas into the congestion report. Could you check it here: #3424?\r\n\r\nI wont be able to test this before you merge it with master, I think, but I will definitely use this feature!"}, {"assistant": "\r\n\r\n\r\n> > @oharboe I've added the nets crossing the congested areas into the congestion report. Could you check it here: #3424?\r\n> \r\n> I wont be able to test this before you merge it with master, I think, but I will definitely use this feature!\r\n\r\nGot it. Here's a screenshot of how it looks:\r\n\"image\"\r\n"}, {"user": "> > > @oharboe I've added the nets crossing the congested areas into the congestion report. Could you check it here: #3424?\r\n> > \r\n> > \r\n> > I wont be able to test this before you merge it with master, I think, but I will definitely use this feature!\r\n> \r\n> Got it. Here's a screenshot of how it looks: \"image\"\r\n\r\nSweet! And from this I can navigate to the buffertree to find the terminals, which I can then relate to Verilog?"}, {"assistant": "> Sweet! And from this I can navigate to the buffertree to find the terminals, which I can then relate to Verilog?\r\n\r\nYes, I think you can. From the nets, you can go to all the terms connected to it, so I think you can get the information you want."}]} +{"num": 3425, "messages": [{"user": "### Describe the bug\n\nWhen logger debug drt snapshot is on, we update the db Nets after each iteration. But each time, we append to the existing odb net which leads to rewriting the new net segments over the old ones. I ended up with an odb file of 25+GB because of this. \n\n### Expected Behavior\n\nEvery iteration, we should delete the old routed wire of the net and create a new one.\n\n### Environment\n\n```shell\nMaster branch OR\n```\n\n\n### To Reproduce\n\nAdd this to any DRT test case\r\n`set_debug_level DRT snapshot 1`\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@eder-matheus I remember that you had a PR recently on this part but I can't remember what was it. I could fix it myself by just destroying the dbWire each time and creating a new one but I am not sure what implications does this have on your recent PR on this."}, {"assistant": "@osamahammad21 I've made my tests with the regression tests of drt and I see that the final odb is smaller than before my change (actually, it is the same as when I run drt without the `set_debug_level DRT snapshot 1`). Could you check with your testcase?"}]} +{"num": 3430, "messages": [{"user": "### Description\n\nHow can I get the detailed area information of the design after PnR? for example, the DC report_area as follow: \r\n\r\n```\r\nNumber of ports: 208001\r\nNumber of nets: 674940\r\nNumber of cells: 407611\r\nNumber of combinational cells: 350267\r\nNumber of sequential cells: 53248\r\nNumber of macros/black boxes: 0\r\nNumber of buf/inv: 27707\r\nNumber of references: 1025\r\n\r\nCombinational area: 638492.302996\r\nBuf/Inv area: 15345.274109\r\nNoncombinational area: 235612.151611\r\nMacro/Black Box area: 0.000000\r\nNet Interconnect area: undefined (Wire load has zero net area)\r\n```\r\n\r\nIn fact, I would like to get the total buf/inv area after the PnR, so that It can help to assessment the overhead of the IO placement and the architecture design (because the buf will inserted when the wire length exceed the threshold).\r\n \n\n### Suggested Solution\n\nIt seem that the `report_design_area_metrics` function is very close to this requirement, but It does not print out any logs. \n\n### Additional Context\n\n_No response_"}, {"assistant": "The *metrics commands are for writing the metrics file specified as an argument ```-metrics ``` to the openroad command. Is that sufficient for your needs?"}, {"user": "I have tried some commands: \r\n```\r\nreport_design_area_metrics -metrics \"a.log\"\r\nreport_design_area_metrics -metrics a.log\r\n```\r\nbut did not find any log file."}, {"assistant": "It is a command line argument to openroad, not to the individual command. It aggregates over many possible commands "}, {"user": "Indeed, I try above commands in the OpenRoad GUI's tcl console."}, {"assistant": "> Indeed, I try above commands in the OpenRoad GUI's tcl console.\r\n\r\nI think you are supposed to specify the argument when you start open road.\r\n\r\n``$ ./openroad -metrics ``"}]} +{"num": 3433, "messages": [{"user": "### Description\r\n\r\nA new CMake build argument was added by #3427. The variable ASAN enables building OpenROAD with Address Sanitizer. The build instructions in OpenROAD/docs/user/Build.md does not mention this new variable. \r\n\r\n### Suggested Solution\r\n\r\nAppend to Build.md a short section explaining how to use this variable:\r\n\r\n### Build with Address Sanitizer\r\nTo enable building with Address Sanitizer, use the argument `-DASAN=ON`. Setting the `ASAN` variable to `ON` adds necessary compile and link options for using Address Sanitizer.\r\n\r\n> **Note:** Address Sanitizer adds instrumentation for detecting memory errors. Enabling this option will cause OpenROAD to run slower and consume more RAM.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Do you want to make a PR for the doc update?"}]} +{"num": 3444, "messages": [{"user": "### Describe the bug\r\n\r\nWhen running preview_dft and insert_dft in the design test/jpeg_sky130hd.tcl, I get a crash in Sta:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/1619948/cc72d8b5-4c4a-42ad-91b5-613f3cb1ed23)\r\n\r\n\r\n### Expected Behavior\r\n\r\nNot crashing.\r\n\r\n### Environment\r\n\r\n```shell\r\nUnknown git commit, this is not a git repository. [102/4951]\r\n\r\nPlease make sure that you have the latest code changes and add the commit\r\nhash in the description.\r\n\r\nkernel: Linux 6.1.25-1rodete1-amd64\r\nos: Debian GNU/Linux 11 (bullseye)\r\ncmake version 3.24.2\r\nCMake Warning at CMakeLists.txt:96 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 10.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: 93fdf28ec5359714c80e27b59c376cea446d64ed\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Could NOT find GTest (missing: GTEST_LIBRARY GTEST_INCLUDE_DIR GTEST_MAIN_LIBRARY)\r\n-- The C compiler identification is GNU 10.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.9 (found version \"3.9.2\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable [41/4951]\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\")\r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.9 (found version \"3.9.2\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.7.5\")\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /openroad/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\")\r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.EWDsWXmE3v\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nApply this patch \r\n\r\n```\r\ndiff --git a/test/flow.tcl b/test/flow.tcl\r\nindex 13f0f9579..8029b7b46 100644\r\n--- a/test/flow.tcl\r\n+++ b/test/flow.tcl\r\n@@ -52,6 +52,10 @@ source $tracks_file\r\n # remove buffers inserted by synthesis\r\n remove_buffers\r\n\r\n+preview_dft\r\n+insert_dft\r\n+\r\n+\r\n ################################################################\r\n # IO Placement (random)\r\n place_pins -random -hor_layers $io_placer_hor_layer -ver_layers $io_placer_ver_layer\r\n```\r\n\r\nAnd run openroad on the design: \"openroad jpeg_sky130hd.tcl\"\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/1619948/cc72d8b5-4c4a-42ad-91b5-613f3cb1ed23)\r\n\r\n\r\n### Additional Context\r\n\r\nI think what is happening is that we need to create a new vertice for the new port in STA's graph.\r\n\r\nUsually, makePinVertices is called for every pin that is created in Sta::makeInstanceAfter, but for new created ports at the top level block, we are not calling makePinVertices.\r\n\r\nI think we can add the following code in dbStaCbk::inDbBTermCreate:\r\n\r\n```\r\ndiff --git a/src/dbSta/src/dbSta.cc b/src/dbSta/src/dbSta.cc\r\nindex 7f0da42f0..c3f665152 100644\r\n--- a/src/dbSta/src/dbSta.cc\r\n+++ b/src/dbSta/src/dbSta.cc\r\n@@ -563,6 +563,8 @@ void dbStaCbk::inDbBTermPreDisconnect(dbBTerm* bterm)\r\n void dbStaCbk::inDbBTermCreate(dbBTerm* bterm)\r\n {\r\n sta_->getDbNetwork()->makeTopPort(bterm);\r\n+ Pin* pin = network_->dbToSta(bterm);\r\n+ sta_->graph()->makePinVertices(pin);\r\n }\r\n\r\n void dbStaCbk::inDbBTermDestroy(dbBTerm* bterm)\r\n```\r\n\r\nThis fix jpeg_sky130hd.tcl and the regression ends successful.\r\n\r\nHowever, I get a crash in read_verilog9.tcl because looks like network_ is not initialized. Are we missing some previous setup?\r\n"}, {"user": "So I gave this a try and now all regressions and the jpeg design pass.\r\n\r\n```\r\ndiff --git a/src/dbSta/src/dbSta.cc b/src/dbSta/src/dbSta.cc\r\nindex 7f0da42f0..fce07d992 100644\r\n--- a/src/dbSta/src/dbSta.cc\r\n+++ b/src/dbSta/src/dbSta.cc\r\n@@ -563,6 +563,10 @@ void dbStaCbk::inDbBTermPreDisconnect(dbBTerm* bterm)\r\n void dbStaCbk::inDbBTermCreate(dbBTerm* bterm)\r\n {\r\n sta_->getDbNetwork()->makeTopPort(bterm);\r\n+ if (sta_->graph()) {\r\n+ Pin* pin = network_->dbToSta(bterm);\r\n+ sta_->graph()->makePinVertices(pin);\r\n+ }\r\n }\r\n\r\n void dbStaCbk::inDbBTermDestroy(dbBTerm* bterm)\r\n```\r\n\r\nI think this code is fine because if there is no graph available, once it is created it will assign a vertice to all the pins in the design.\r\n\r\nIf @maliberty is fine with this, I can send a PR with the fix."}, {"assistant": "I tried your instructions with OR commit ca2e30f462bc0274bedf0643c1ee8dbc21e2723a but I get no crash."}, {"assistant": "Hmm. I tried again and it did crash. I'm not sure what was different..."}, {"user": "It is crashing with the \"fix\"?"}, {"assistant": "I haven't tried it. I don't think we should need to create the vertices so I'd like to see what is going on."}, {"assistant": "@fgaray are you blocked by this or can you apply your workaround locally? "}, {"user": "I can apply the WA locally so no really blocked, but users will encounter this if they try to add DFT to a flow."}, {"assistant": "@fgaray The second fix is legit. Would you like to turn in a PR into OpenROAD? "}, {"assistant": "@openroadie why is it necessary for the client to do this? There is no other circumstance in which the client manipulates the graph. It seems like a workaround to an sta bug."}, {"assistant": "The client is not doing this. It is the callback and this is a top level terminal. \r\n\r\nThe client is not \"manipulating\" the graph ... it is merely calling the correct API in the graph to add the new terminal. The reason there is no other case where we touch the graph is maybe because we have not tested those scenarios till now? There are plenty of other examples in the dbSta file where the call backs have some code. Is it our position that the callbacks should be all one liners? \r\n"}, {"assistant": "We have clearly tested creating instances in rsz. Compare to \r\n```\r\nvoid dbStaCbk::inDbInstCreate(dbInst* inst)\r\n{\r\n sta_->makeInstanceAfter(network_->dbToSta(inst));\r\n}\r\n```\r\nno graph manipulation code exists. It has nothing to do with function length and is about separation of concerns. The graph is sta's job to maintain not the clients. The client should only notify sta of netlist manipulations."}, {"assistant": "Let me think about it. I still think that top level terminals are a bit different. "}, {"assistant": "@maliberty @openroadie I think this is an sta bug. I have a 1-1 with Cherry today. Let me discuss with him. The network adapter should keep the network sync'd. I don't think it should touch the graph. It would be OK, I think, if this is urgent to put the fix into the adapter with a comment saying fixme this is a workaround to an sta bug. I will let you know what I find out."}, {"user": "Hi @maliberty @openroadie , so the fix will not be implemented in sta? or we plan in the future to remove this WA/fix once the one in sta is ready?"}, {"assistant": "The fix is in STA - I'm not sure I understand your question."}, {"user": "Ah, you are right. Sorry, I didn't realize the PR was merging the fix from STA.\r\n\r\nThanks everyone for working on this!"}]} +{"num": 3450, "messages": [{"user": "### Describe the bug\n\nAfter lasest updates, I get a compilation error on my system, similar to below:\r\n```\r\nFAILED: src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o \r\n/usr/bin/x86_64-pc-linux-gnu-g++ -DFMT_SHARED -DSPDLOG_COMPILED_LIB -DSPDLOG_FMT_EXTERNAL -DSPDLOG_SHARED_LIB -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/grt/src/fastroute/include -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/grt/src/fastroute/../../include -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/utl/include -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/utl/src -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/stt/include -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/sta/include -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/odb/include -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/odb/include/odb -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/odb/src/def/def -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/odb/src/def/defzlib -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/odb/src/lef/lef -I/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/odb/src/lef/lefzlib -fPIC -DFMT_DEPRECATED_OSTREAM=1 -std=c++17 -Wall -Wredundant-decls -Wformat-security -Wno-sign-compare -Wp,-D_GLIBCXX_ASSERTIONS -MD -MT src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o -MF src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o.d -o src/grt/src/fastroute/CMakeFiles/FastRoute4.1.dir/src/FastRoute.cpp.o -c /var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/grt/src/fastroute/src/FastRoute.cpp\r\nIn file included from /var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/grt/src/fastroute/include/FastRoute.h:46,\r\n from /var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/grt/src/fastroute/src/FastRoute.cpp:37:\r\n/var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/grt/src/fastroute/include/DataType.h:43:20: error: \u2018int64_t\u2019 in namespace \u2018std\u2019 does not name a type\r\n 43 | using int64 = std::int64_t;\r\n | ^~~~~~~\r\n```\r\nTo fix it, need to add next line into file \"src/grt/src/fastroute/include/DataType.h\":\r\n```\r\n#include \r\n```\n\n### Expected Behavior\n\nSuccessfully build.\n\n### Environment\n\n```shell\nUnknown git commit, this is not a git repository.\r\n\r\nPlease make sure that you have the latest code changes and add the commit\r\nhash in the description.\r\n\r\nkernel: Linux 6.1.31-gentoo-x86_64\r\nos: Gentoo \r\ncmake version 3.26.3\r\nCMake Warning at CMakeLists.txt:99 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 13.1.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: ca2e30f462bc0274bedf0643c1ee8dbc21e2723a\r\n-- System name: Linux\r\n-- Compiler: GNU 13.1.1\r\n-- Build type: \r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /usr/lib64/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\") \r\n-- The C compiler identification is GNU 13.1.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - CMake Warning at src/CMakeLists.txt:233 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=yes\r\n\r\n\r\nCMake Error at /usr/lib64/cmake/Boost-1.82.0/BoostConfig.cmake:141 (find_package):\r\n Found package configuration file:\r\n\r\n /usr/lib64/cmake/boost_serialization-1.82.0/boost_serialization-config.cmake\r\n\r\n but it set boost_serialization_FOUND to FALSE so package\r\n \"boost_serialization\" is considered to be NOT FOUND. Reason given by\r\n package:\r\n\r\n No suitable build variant has been found.\r\n\r\n The following variants have been tried and rejected:\r\n\r\n * libboost_serialization.so.1.82.0 (shared, Boost_USE_STATIC_LIBS=ON)\r\n\r\nCall Stack (most recent call first):\r\n /usr/lib64/cmake/Boost-1.82.0/BoostConfig.cmake:262 (boost_find_component)\r\n /usr/share/cmake/Modules/FindBoost.cmake:594 (find_package)\r\n src/drt/CMakeLists.txt:49 (find_package)\r\n\r\n\r\nSuccess\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.1\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.1 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/lib64/cmake/Boost-1.82.0/BoostConfig.cmake (found version \"1.82.0\") \r\n-- boost: 1.82.0\r\n-- Found Python3: /usr/include/python3.11 (found version \"3.11.3\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.13\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.11.0\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 13.1.1\r\n-- Build type: \r\n-- Build CXX_FLAGS: \r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /var/tmp/portage/sci-electronics/openroad-9999/work/openroad-9999/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Protobuf: /usr/lib64/libprotobuf.so (found version \"3.21.9\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nBuild latest GIT sources with GCC v13.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Would you mind sending a pull request with the change? "}, {"user": "> Would you mind sending a pull request with the change?\r\n\r\n@QuantamHD Done. Same issue detected on latest OpenSTA :)\r\n"}, {"assistant": "Since #3451 was merged, should this be closed as fixed/completed?"}, {"assistant": "Done."}]} +{"num": 3453, "messages": [{"user": "If I have regular expressions, I could create a regular expression that selects all nets except clocks and power.\r\n\r\nI can select all nets with ctrl-f net & '*', but when I try to deselect the clock and our synchronous reset, it doesn't deselect the buffer trees(is that the correct term?) of reset and the clock.\r\n\r\nThis leaves a solid yellow blob of signals selected when I run it from `make gui_place`\r\n\r\nThe requester below, doesn't appear to support '*' or regexp to search for signals. It simply checks if the string begins with the letters entered into the textfield.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/aa3ee697-877d-425a-8f12-e00fd510e28b)\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3441_"}, {"user": "Some of the clock nets have names like 'clknet_....'. If there as support for regular expressions in ctrl-f, then I can concoct a sufficiently complicated regular expression to select exactly the nets I want."}, {"assistant": "Did you change your mind? Would it be better to have an exclude power/ground checkbox?"}, {"user": "> Did you change your mind? Would it be better to have an exclude power/ground checkbox?\r\n\r\nBoth? Click a button to insert a regex that excludes power and clocks?\r\n\r\nTeach the user to fish? :-)\r\n\r\nAlso, this feature does not scale well with a large number of signals. ctrl-f *, will nearly lock up the GUI with for instance the megaboom design."}]} +{"num": 3455, "messages": [{"user": "### Describe the bug\n\nRight now the artifact has the same name.\n\n### Expected Behavior\n\nThe name should have some indication of the date it was built on.\n\n### Environment\n\n```shell\ngit action\n```\n\n\n### To Reproduce\n\ngit action\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@tspyrou How about setting up a PPA server? I believe versioning will be handled as part of this.\r\n\r\nhttps://help.ubuntu.com/stable/ubuntu-help/addremove-ppa.html.en"}]} +{"num": 3456, "messages": [{"user": "### Describe the bug\n\nRun:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nOutput:\r\n\r\n```\r\n[deleted]\r\n==========================================================================\r\nfinish report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 24 u^2 17% utilization.\r\n\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x00005592FCB6B8A5 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F67A863BCF0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gui::DbInstDescriptor::getInstanceType(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# gui::DisplayControls::getInstRow(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# non-virtual thunk to gui::DisplayControls::isInstanceVisible(odb::dbInst*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::RenderThread::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# 0x00007F67A94CCC51 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 9# 0x00007F67A8690402 in /lib/x86_64-linux-gnu/libc.so.6\r\n10# 0x00007F67A871F590 in /lib/x86_64-linux-gnu/libc.so.6\r\nCommand terminated by signal 11\r\nElapsed time: 0:01.44[h:]min:sec. CPU time: user 1.30 sys 0.05 (94%). Peak memory: 259348KB.\r\nmake[1]: *** [Makefile:696: logs/asap7/mock-array-big_Element/base/6_report.log] Error 139\r\nmake[1]: Leaving directory '/home/oyvind/OpenROAD-flow-scripts/flow'\r\nmake: *** [Makefile:329: results/asap7/mock-array-big_Element/base/Element.lib] Error 2\r\no\r\n```\n\n### Expected Behavior\n\nNo segfault\n\n### Environment\n\n```shell\n$ etc/Env.sh \r\nGit commit: 2ccc898ac585790bc8aa1ddd9e823e2439b250cd\r\nkernel: Linux 5.19.0-43-generic\r\nos: Ubuntu 22.10 (Kinetic Kudu)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 12.2.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8554-g2ccc898ac\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /usr/lib/x86_64-linux-gnu/cmake/GTest/GTestConfig.cmake (found version \"1.12.1\") \r\n-- The C compiler identification is GNU 12.2.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format CMake Error at src/CMakeLists.txt:230 (find_package):\r\n By not providing \"Findspdlog.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"spdlog\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"spdlog\" with any\r\n of the following names:\r\n\r\n spdlogConfig.cmake\r\n spdlog-config.cmake\r\n\r\n Add the installation prefix of \"spdlog\" to CMAKE_PREFIX_PATH or set\r\n \"spdlog_DIR\" to a directory containing one of the above files. If \"spdlog\"\r\n provides a separate development package or SDK, be sure it has been\r\n installed.\r\n\r\n\r\n- Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/lib/x86_64-linux-gnu/cmake/Boost-1.74.0/BoostConfig.cmake (found version \"1.74.0\") \r\n-- boost: 1.74.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.7\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nRun above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Is ORFS installed with `--local` or `--local --latest`?\r\nNot able to reproduce at my end with local installation.\r\n\r\nIn Docker it is complete successfully.\r\n```\r\nElapsed time: 0:16.92[h:]min:sec. CPU time: user 16.52 sys 0.38 (99%). Peak memory: 382140KB.\r\ncp results/asap7/mock-array-big/base/5_route.sdc results/asap7/mock-array-big/base/6_final.sdc\r\nsed '/OR_DEFAULT/d' platforms/asap7/lef/asap7_tech_1x_201209.lef > objects/asap7/mock-array-big/base/klayout_tech.lef\r\nsed 's,.*,/OpenROAD-flow-scripts/flow/objects/asap7/mock-array-big/base/klayout_tech.lef /OpenROAD-flow-scripts/flow/platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef /OpenROAD-flow-scripts/flow/results/asap7/mock-array-big_Element/base/Element.lef,g' platforms/asap7/KLayout/asap7.lyt > objects/asap7/mock-array-big/base/klayout.lyt\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' stdbuf -o L /usr/bin/klayout -zz -rd design_name=MockArray \\\r\n -rd in_def=results/asap7/mock-array-big/base/6_final.def \\\r\n -rd in_files=\"./platforms/asap7/gds/asap7sc7p5t_28_R_220121a.gds ./results/asap7/mock-array-big_Element/base/6_final.gds ./results/asap7/mock-array-big_Element/base/6_final.gds \" \\\r\n -rd config_file= \\\r\n -rd seal_file=\"\" \\\r\n -rd out_file=results/asap7/mock-array-big/base/6_1_merged.gds \\\r\n -rd tech_file=./objects/asap7/mock-array-big/base/klayout.lyt \\\r\n -rd layer_map= \\\r\n -r ./util/def2stream.py) 2>&1 | tee ./logs/asap7/mock-array-big/base/6_1_merge.log\r\n[INFO] Reporting cells prior to loading DEF ...\r\n[INFO] Reading DEF ...\r\n[INFO] Clearing cells...\r\n[INFO] Merging GDS/OAS files...\r\n\t./platforms/asap7/gds/asap7sc7p5t_28_R_220121a.gds\r\n\t./results/asap7/mock-array-big_Element/base/6_final.gds\r\n\t./results/asap7/mock-array-big_Element/base/6_final.gds\r\n[INFO] Copying toplevel cell 'MockArray'\r\nWARNING: no fill config file specified\r\n[INFO] Checking for missing cell from GDS/OAS...\r\n[INFO] Found GDS_ALLOW_EMPTY variable.\r\n[INFO] All LEF cells have matching GDS/OAS cells\r\n[INFO] Checking for orphan cell in the final layout...\r\n[INFO] No orphan cells\r\n[INFO] Writing out GDS/OAS 'results/asap7/mock-array-big/base/6_1_merged.gds'\r\nElapsed time: 0:02.88[h:]min:sec. CPU time: user 2.67 sys 0.20 (99%). Peak memory: 301776KB.\r\ncp results/asap7/mock-array-big/base/6_1_merged.gds results/asap7/mock-array-big/base/6_final.gds\r\nLog Elapsed seconds\r\n1_1_yosys 5\r\n2_1_floorplan 2\r\n2_2_floorplan_io 2\r\n2_3_tdms_place 2\r\n2_4_mplace 2\r\n2_5_tapcell 2\r\n2_6_pdn 10\r\n3_1_place_gp_skip_io 2\r\n3_2_place_iop 2\r\n3_3_place_gp 3\r\n3_4_resizer 3\r\n3_5_opendp 3\r\n4_1_cts 7\r\n4_2_cts_fillcell 2\r\n5_1_fastroute 4\r\n5_2_TritonRoute 124\r\n6_1_merge 2\r\n6_report 16\r\n```"}, {"user": "> Is ORFS installed with `--local` or `--local --latest`? With `--local` installation its getting stopped at placement stage.\r\n\r\nIt doesn't crash in Docker, only with `--local` and commit: 2ccc898ac585790bc8aa1ddd9e823e2439b250cd\r\n\r\nI'm pretty sure this has to do with the updates to drawing and that @maliberty will know what it is by looking at it. I speculate that this wasn't caught on the build server because the build server runs in Docker.\r\n\r\n"}, {"assistant": "I ran locally with \r\nOR: 1823e2455f32c2eb5104b941e2d57bf254f44abf\r\nORFS: 7fd48d0e3b557156e56d4370116f1b9d465638ce\r\n\r\nand got no crash and image files that look fine. eg:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/3f70d862-7d82-452b-9e45-dead121ff7cd)\r\n"}, {"user": "@maliberty Is the error below relevant?\r\n\r\n```\r\n./build_openroad.sh --local --latest --openroad-args \"-DCMAKE_BUID_TYPE=RELEASE -DCMAKE_CXX_FLAGS=-g\"\r\n```\r\n\r\nORFS: 7fd48d0e3b557156e56d4370116f1b9d465638ce\r\nOR: 0994bfdb646b3d71842bd006f98d61c8af42908b\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk clean_all generate_abstract\r\n[deleted]\r\nOpenROAD v2.0-8645-g0994bfdb6\r\n[INFO] Writing out GDS/OAS 'results/asap7/mock-array-big_Element/base/6_1_merged.gds'\r\nElapsed time: 0:01.02[h:]min:sec. CPU time: user 0.89 sys 0.08 (95%). Peak memory: 374432KB.\r\ncp results/asap7/mock-array-big_Element/base/6_1_merged.gds results/asap7/mock-array-big_Element/base/6_final.gds\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init ./scripts/generate_abstract.tcl -metrics ./logs/asap7/mock-array-big_Element/base/generate_abstract.json) 2>&1 | tee ./logs/asap7/mock-array-big_Element/base/generate_abstract.log\r\nOpenROAD v2.0-8645-g0994bfdb6 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nStarting generation of abstract views\r\nElapsed time: 0:02.76[h:]min:sec. CPU time: user 2.68 sys 0.08 (100%). Peak memory: 207948KB.\r\n``\r\n\r\nThen:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk clean_all generate_abstract\r\n```\r\n\r\n```\r\n[deleted]\r\n -r ./util/def2stream.py) 2>&1 | tee ./logs/asap7/mock-array-big/base/6_1_merge.log\r\n[INFO] Reporting cells prior to loading DEF ...\r\n[INFO] Reading DEF ...\r\nERROR: Unexpected end of file (line=112133, cell=MockArray, file=MockArray.lef) in Layout.read\r\n ./util/def2stream.py:113\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:01.04[h:]min:sec. CPU time: user 0.94 sys 0.08 (98%). Peak memory: 377512KB.\r\nmake: *** [Makefile:750: results/asap7/mock-array-big/base/6_1_merged.gds] Error 1\r\n\r\n```\r\n\r\nMockArray.lef is truncated:\r\n\r\n```\r\n$ tail -n 10 results/asap7/mock-array-big/base/MockArray.lef \r\n END io_outsUp_7[4]\r\n PIN io_outsUp_7[5]\r\n DIRECTION OUTPUT ;\r\n USE SIGNAL ;\r\n PORT\r\n LAYER M5 ;\r\n RECT 140.16 159.756 140.184 159.84 ;\r\n END\r\n END io_outsUp_7[5]\r\n PIN io$\r\n```\r\n\r\n"}, {"assistant": "It looks like something bad happened during the generation of MockArray.lef but that seems unrelated to the image rendering."}, {"user": "Tried from top, doesn't crash.\r\n\r\n@maliberty I'm closing, if you want to have a look at that unrelated issue, I'll leave you to it. We're not generating an abstract from mock-array-big, only mock-array-big/Element, so not urgent to fix."}, {"user": "@maliberty It's back... It comes and goes...\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk\r\n[deleted]\r\nOpenROAD v2.0-8655-g6a4ea1ea5 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO] Deleted 0 routing obstructions\r\n[INFO RCX-0431] Defined process_corner X with ext_model_index 0\r\n[INFO RCX-0029] Defined extraction corner X\r\n[INFO RCX-0008] extracting parasitics of Element ...\r\n[INFO RCX-0435] Reading extraction model file ./platforms/asap7/rcx_patterns.rules ...\r\n[INFO RCX-0436] RC segment generation Element (max_merge_res 50.0) ...\r\n[INFO RCX-0040] Final 818 rc segments\r\n[INFO RCX-0439] Coupling Cap extraction Element ...\r\n[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\r\n[INFO RCX-0043] 1214 wires to be extracted\r\n[INFO RCX-0442] 71% completion -- 865 wires have been extracted\r\n[INFO RCX-0442] 100% completion -- 1214 wires have been extracted\r\n[INFO RCX-0045] Extract 228 nets, 1046 rsegs, 1046 caps, 618 ccs\r\n[INFO RCX-0015] Finished extracting Element.\r\n[INFO RCX-0016] Writing SPEF ...\r\n[INFO RCX-0443] 228 nets finished\r\n[INFO RCX-0017] Finished writing SPEF ...\r\n[INFO PSM-0083] Error file is specified as: ./reports/asap7/mock-array-big_Element/base/VDD.rpt.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 12.096 or core height of 11.880. Changing bump location to the center of the die at (6.480, 6.480).\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[INFO PSM-0076] Setting metal node density to be standard cell height times 5.\r\n[INFO PSM-0031] Number of PDN nodes on net VDD = 2268.\r\n[INFO PSM-0064] Number of voltage sources = 1.\r\n[INFO PSM-0040] All PDN stripes on net VDD are connected.\r\n########## IR report #################\r\nWorstcase voltage: 7.70e-01 V\r\nAverage IR drop : 6.64e-05 V\r\nWorstcase IR drop: 1.12e-04 V\r\n######################################\r\n[INFO PSM-0083] Error file is specified as: ./reports/asap7/mock-array-big_Element/base/VSS.rpt.\r\n[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.\r\n[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.\r\n[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 12.096 or core height of 11.880. Changing bump location to the center of the die at (6.480, 6.480).\r\n[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction\r\n[INFO PSM-0076] Setting metal node density to be standard cell height times 5.\r\n[INFO PSM-0031] Number of PDN nodes on net VSS = 2366.\r\n[INFO PSM-0064] Number of voltage sources = 1.\r\n[INFO PSM-0040] All PDN stripes on net VSS are connected.\r\n########## IR report #################\r\nWorstcase voltage: 1.59e-04 V\r\nAverage IR drop : 7.38e-05 V\r\nWorstcase IR drop: 1.59e-04 V\r\n######################################\r\n\r\n==========================================================================\r\nfinish check_setup\r\n--------------------------------------------------------------------------\r\nWarning: There are 7 input ports missing set_input_delay.\r\nWarning: There are 7 output ports missing set_output_delay.\r\nWarning: There are 7 unconstrained endpoints.\r\n\r\n==========================================================================\r\nfinish report_tns\r\n--------------------------------------------------------------------------\r\ntns 0.00\r\n\r\n==========================================================================\r\nfinish report_wns\r\n--------------------------------------------------------------------------\r\nwns 0.00\r\n\r\n==========================================================================\r\nfinish report_worst_slack\r\n--------------------------------------------------------------------------\r\nworst slack 661.70\r\n\r\n==========================================================================\r\nfinish report_clock_skew\r\n--------------------------------------------------------------------------\r\nClock clock\r\nNo launch/capture paths found.\r\n\r\n\r\n==========================================================================\r\nfinish report_checks -path_delay min\r\n--------------------------------------------------------------------------\r\nStartpoint: REG[0]$_DFF_P_ (falling edge-triggered flip-flop clocked by clock')\r\nEndpoint: io_lsbOuts_7 (output port clocked by clock)\r\nPath Group: clock\r\nPath Type: min\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clock' (fall edge)\r\n 0.00 0.00 clock source latency\r\n 6.11 1.65 1.65 ^ clock (in)\r\n 1 0.95 clock (net)\r\n 6.12 0.00 1.65 ^ clkbuf_0_clock/A (BUFx4_ASAP7_75t_R)\r\n 8.77 17.67 19.32 ^ clkbuf_0_clock/Y (BUFx4_ASAP7_75t_R)\r\n 4 2.25 clknet_0_clock (net)\r\n 8.78 0.15 19.47 ^ clkbuf_2_2__f_clock/A (BUFx4_ASAP7_75t_R)\r\n 24.84 26.71 46.18 ^ clkbuf_2_2__f_clock/Y (BUFx4_ASAP7_75t_R)\r\n 8 12.60 clknet_2_2__leaf_clock (net)\r\n 25.05 1.25 47.43 ^ _11_32/A (INVx3_ASAP7_75t_R)\r\n 5.99 4.94 52.37 v _11_32/Y (INVx3_ASAP7_75t_R)\r\n 1 0.53 net111 (net)\r\n 5.99 0.05 52.41 v REG[0]$_DFF_P_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 15.36 40.65 93.07 ^ REG[0]$_DFF_P_/QN (DFFLQNx2_ASAP7_75t_R)\r\n 1 1.51 _31_ (net)\r\n 15.36 0.12 93.19 ^ _65_/A (INVx3_ASAP7_75t_R)\r\n 8.07 6.48 99.67 v _65_/Y (INVx3_ASAP7_75t_R)\r\n 2 2.30 net47 (net)\r\n 8.16 0.47 100.14 v output47/A (BUFx2_ASAP7_75t_R)\r\n 8.47 15.17 115.31 v output47/Y (BUFx2_ASAP7_75t_R)\r\n 1 1.98 io_lsbOuts_7 (net)\r\n 8.48 0.19 115.50 v io_lsbOuts_7 (out)\r\n 115.50 data arrival time\r\n\r\n 0.00 0.00 clock clock (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 20.00 20.00 clock uncertainty\r\n 0.00 20.00 clock reconvergence pessimism\r\n -200.00 -180.00 output external delay\r\n -180.00 data required time\r\n-----------------------------------------------------------------------------\r\n -180.00 data required time\r\n -115.50 data arrival time\r\n-----------------------------------------------------------------------------\r\n 295.50 slack (MET)\r\n\r\n\r\n\r\n==========================================================================\r\nfinish report_checks -path_delay max\r\n--------------------------------------------------------------------------\r\nStartpoint: REG[0]$_DFF_P_ (falling edge-triggered flip-flop clocked by clock')\r\nEndpoint: io_lsbOuts_7 (output port clocked by clock)\r\nPath Group: clock\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clock' (fall edge)\r\n 0.00 0.00 clock source latency\r\n 6.11 1.65 1.65 ^ clock (in)\r\n 1 1.09 clock (net)\r\n 6.12 0.00 1.65 ^ clkbuf_0_clock/A (BUFx4_ASAP7_75t_R)\r\n 8.77 17.67 19.32 ^ clkbuf_0_clock/Y (BUFx4_ASAP7_75t_R)\r\n 4 2.81 clknet_0_clock (net)\r\n 8.78 0.15 19.47 ^ clkbuf_2_2__f_clock/A (BUFx4_ASAP7_75t_R)\r\n 24.84 26.71 46.18 ^ clkbuf_2_2__f_clock/Y (BUFx4_ASAP7_75t_R)\r\n 8 16.56 clknet_2_2__leaf_clock (net)\r\n 25.05 1.25 47.43 ^ _11_32/A (INVx3_ASAP7_75t_R)\r\n 5.99 4.94 52.37 v _11_32/Y (INVx3_ASAP7_75t_R)\r\n 1 0.66 net111 (net)\r\n 5.99 0.05 52.41 v REG[0]$_DFF_P_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 16.05 42.46 94.88 v REG[0]$_DFF_P_/QN (DFFLQNx2_ASAP7_75t_R)\r\n 1 2.00 _31_ (net)\r\n 16.06 0.12 95.00 v _65_/A (INVx3_ASAP7_75t_R)\r\n 9.38 7.64 102.64 ^ _65_/Y (INVx3_ASAP7_75t_R)\r\n 2 2.58 net47 (net)\r\n 9.46 0.47 103.11 ^ output47/A (BUFx2_ASAP7_75t_R)\r\n 9.24 14.99 118.10 ^ output47/Y (BUFx2_ASAP7_75t_R)\r\n 1 1.98 io_lsbOuts_7 (net)\r\n 9.25 0.19 118.30 ^ io_lsbOuts_7 (out)\r\n 118.30 data arrival time\r\n\r\n 8000.00 8000.00 clock clock (rise edge)\r\n 0.00 8000.00 clock network delay (propagated)\r\n -20.00 7980.00 clock uncertainty\r\n 0.00 7980.00 clock reconvergence pessimism\r\n -7200.00 780.00 output external delay\r\n 780.00 data required time\r\n-----------------------------------------------------------------------------\r\n 780.00 data required time\r\n -118.30 data arrival time\r\n-----------------------------------------------------------------------------\r\n 661.70 slack (MET)\r\n\r\n\r\n\r\n==========================================================================\r\nfinish report_checks -unconstrained\r\n--------------------------------------------------------------------------\r\nStartpoint: REG[0]$_DFF_P_ (falling edge-triggered flip-flop clocked by clock')\r\nEndpoint: io_lsbOuts_7 (output port clocked by clock)\r\nPath Group: clock\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock clock' (fall edge)\r\n 0.00 0.00 clock source latency\r\n 6.11 1.65 1.65 ^ clock (in)\r\n 1 1.09 clock (net)\r\n 6.12 0.00 1.65 ^ clkbuf_0_clock/A (BUFx4_ASAP7_75t_R)\r\n 8.77 17.67 19.32 ^ clkbuf_0_clock/Y (BUFx4_ASAP7_75t_R)\r\n 4 2.81 clknet_0_clock (net)\r\n 8.78 0.15 19.47 ^ clkbuf_2_2__f_clock/A (BUFx4_ASAP7_75t_R)\r\n 24.84 26.71 46.18 ^ clkbuf_2_2__f_clock/Y (BUFx4_ASAP7_75t_R)\r\n 8 16.56 clknet_2_2__leaf_clock (net)\r\n 25.05 1.25 47.43 ^ _11_32/A (INVx3_ASAP7_75t_R)\r\n 5.99 4.94 52.37 v _11_32/Y (INVx3_ASAP7_75t_R)\r\n 1 0.66 net111 (net)\r\n 5.99 0.05 52.41 v REG[0]$_DFF_P_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 16.05 42.46 94.88 v REG[0]$_DFF_P_/QN (DFFLQNx2_ASAP7_75t_R)\r\n 1 2.00 _31_ (net)\r\n 16.06 0.12 95.00 v _65_/A (INVx3_ASAP7_75t_R)\r\n 9.38 7.64 102.64 ^ _65_/Y (INVx3_ASAP7_75t_R)\r\n 2 2.58 net47 (net)\r\n 9.46 0.47 103.11 ^ output47/A (BUFx2_ASAP7_75t_R)\r\n 9.24 14.99 118.10 ^ output47/Y (BUFx2_ASAP7_75t_R)\r\n 1 1.98 io_lsbOuts_7 (net)\r\n 9.25 0.19 118.30 ^ io_lsbOuts_7 (out)\r\n 118.30 data arrival time\r\n\r\n 8000.00 8000.00 clock clock (rise edge)\r\n 0.00 8000.00 clock network delay (propagated)\r\n -20.00 7980.00 clock uncertainty\r\n 0.00 7980.00 clock reconvergence pessimism\r\n -7200.00 780.00 output external delay\r\n 780.00 data required time\r\n-----------------------------------------------------------------------------\r\n 780.00 data required time\r\n -118.30 data arrival time\r\n-----------------------------------------------------------------------------\r\n 661.70 slack (MET)\r\n\r\n\r\n\r\n==========================================================================\r\nfinish report_check_types -max_slew -max_cap -max_fanout -violators\r\n--------------------------------------------------------------------------\r\n\r\n==========================================================================\r\nfinish max_slew_check_slack\r\n--------------------------------------------------------------------------\r\n285.9652099609375\r\n\r\n==========================================================================\r\nfinish max_slew_check_limit\r\n--------------------------------------------------------------------------\r\n320.0\r\n\r\n==========================================================================\r\nfinish max_slew_check_slack_limit\r\n--------------------------------------------------------------------------\r\n0.8936\r\n\r\n==========================================================================\r\nfinish max_fanout_check_slack\r\n--------------------------------------------------------------------------\r\n1.0000000150474662e+30\r\n\r\n==========================================================================\r\nfinish max_fanout_check_limit\r\n--------------------------------------------------------------------------\r\n1.0000000150474662e+30\r\n\r\n==========================================================================\r\nfinish max_capacitance_check_slack\r\n--------------------------------------------------------------------------\r\n89.04315185546875\r\n\r\n==========================================================================\r\nfinish max_capacitance_check_limit\r\n--------------------------------------------------------------------------\r\n92.16000366210938\r\n\r\n==========================================================================\r\nfinish max_capacitance_check_slack_limit\r\n--------------------------------------------------------------------------\r\n0.9662\r\n\r\n==========================================================================\r\nfinish max_slew_violation_count\r\n--------------------------------------------------------------------------\r\nmax slew violation count 0\r\n\r\n==========================================================================\r\nfinish max_fanout_violation_count\r\n--------------------------------------------------------------------------\r\nmax fanout violation count 0\r\n\r\n==========================================================================\r\nfinish max_cap_violation_count\r\n--------------------------------------------------------------------------\r\nmax cap violation count 0\r\n\r\n==========================================================================\r\nfinish setup_violation_count\r\n--------------------------------------------------------------------------\r\nsetup violation count 0\r\n\r\n==========================================================================\r\nfinish hold_violation_count\r\n--------------------------------------------------------------------------\r\nhold violation count 0\r\n\r\n==========================================================================\r\nfinish critical path delay\r\n--------------------------------------------------------------------------\r\n118.2951\r\n\r\n==========================================================================\r\nfinish critical path slack\r\n--------------------------------------------------------------------------\r\n661.7045\r\n\r\n==========================================================================\r\nfinish slack div critical path delay\r\n--------------------------------------------------------------------------\r\n559.367632\r\n\r\n==========================================================================\r\nfinish report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 24 u^2 17% utilization.\r\n\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000557D189B55C5 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FA45B63BCF0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gui::DbInstDescriptor::getInstanceType(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# gui::DisplayControls::getInstRow(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# non-virtual thunk to gui::DisplayControls::isInstanceVisible(odb::dbInst*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::RenderThread::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# 0x00007FA45C4CCC51 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 9# 0x00007FA45B690402 in /lib/x86_64-linux-gnu/libc.so.6\r\n10# 0x00007FA45B71F590 in /lib/x86_64-linux-gnu/libc.so.6\r\nCommand terminated by signal 11\r\nElapsed time: 0:01.45[h:]min:sec. CPU time: user 1.25 sys 0.05 (90%). Peak memory: 259868KB.\r\nmake: *** [Makefile:696: logs/asap7/mock-array-big_Element/base/6_report.log] Error 139\r\n```\r\n"}, {"user": "@maliberty Try running `rm logs/asap7/mock-array-big_Element/base/6_report.log && make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk` several times...\r\n\r\nnull pointer...\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/9bd7d20d-ba1b-45e2-9757-68010a2d7775)\r\n"}, {"assistant": "@maliberty @oharboe You guys might want to try to build OpenROAD under TSAN https://clang.llvm.org/docs/ThreadSanitizer.html"}, {"user": "> @maliberty @oharboe You guys might want to try to build OpenROAD under TSAN https://clang.llvm.org/docs/ThreadSanitizer.html\r\n\r\nI tried the below, but it didn't show anything beyond the null pointer above.\r\n\r\n```\r\n./build_openroad.sh --local --latest --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=-fsanitize=address\"\r\n```\r\n\r\nNext... the thread sanitizer as suggested..\r\n\r\n```\r\n./build_openroad.sh --local --latest --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=-fsanitize=thread\"\r\n```\r\n\r\nThis produces something that hopefully is actionable:\r\n\r\n```\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b7000039e30 by thread T4:\r\n #0 memset ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:799 (libtsan.so.2+0x61c42)\r\n #1 memset ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:797 (libtsan.so.2+0x61c42)\r\n #2 g_slice_alloc0 (libglib-2.0.so.0+0x76b51)\r\n\r\n Previous write of size 8 at 0x7b7000039e30 by main thread (mutexes: write M1022170931909844880):\r\n #0 posix_memalign ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:839 (libtsan.so.2+0x3feea)\r\n #1 (libglib-2.0.so.0+0x75293)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Location is heap block of size 2032 at 0x7b7000039800 allocated by main thread:\r\n #0 posix_memalign ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:839 (libtsan.so.2+0x3feea)\r\n #1 (libglib-2.0.so.0+0x75293)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x76b51) in g_slice_alloc0\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b0803a85140 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 (libglib-2.0.so.0+0x54aee)\r\n\r\n Previous write of size 8 at 0x7b0803a85140 by main thread (mutexes: write M1022170931909844880):\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 g_malloc (libglib-2.0.so.0+0x5e9c8)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x54aee) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b10006a7700 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 (libglib-2.0.so.0+0x54948)\r\n\r\n Previous write of size 8 at 0x7b10006a7700 by main thread (mutexes: write M1022170931909844880):\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 g_malloc (libglib-2.0.so.0+0x5e9c8)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x54948) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b1c000d66e0 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 (libglib-2.0.so.0+0x547fd)\r\n\r\n Previous write of size 8 at 0x7b1c000d66e0 by main thread (mutexes: write M1022170931909844880):\r\n #0 calloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:667 (libtsan.so.2+0x3fde2)\r\n #1 g_malloc0 (libglib-2.0.so.0+0x5eee0)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x547fd) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b10006a7640 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 (libgio-2.0.so.0+0xb10f4)\r\n\r\n Previous read of size 8 at 0x7b10006a7640 by main thread (mutexes: write M1022170931909844880):\r\n #0 strlen ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:386 (libtsan.so.2+0x6098c)\r\n #1 strlen ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:378 (libtsan.so.2+0x6098c)\r\n #2 g_strdup (libglib-2.0.so.0+0x73fa6)\r\n #3 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #4 show(char const*, bool) (openroad+0x16738b1)\r\n #5 _wrap_show (openroad+0x1673b7d)\r\n #6 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #7 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #8 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #9 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libgio-2.0.so.0+0xb10f4) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b10006a7670 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 (libgio-2.0.so.0+0xb10f4)\r\n\r\n Previous read of size 1 at 0x7b10006a7671 by main thread (mutexes: write M1022170931909844880):\r\n #0 memcpy ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:827 (libtsan.so.2+0x63014)\r\n #1 memcpy ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:819 (libtsan.so.2+0x63014)\r\n #2 g_strdup (libglib-2.0.so.0+0x73fc0)\r\n #3 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #4 show(char const*, bool) (openroad+0x16738b1)\r\n #5 _wrap_show (openroad+0x1673b7d)\r\n #6 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #7 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #8 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #9 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libgio-2.0.so.0+0xb10f4) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b10006a7680 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 g_hash_table_unref (libglib-2.0.so.0+0x44ef1)\r\n\r\n Previous write of size 8 at 0x7b10006a7680 by main thread (mutexes: write M1022170931909844880):\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 g_malloc (libglib-2.0.so.0+0x5e9c8)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x44ef1) in g_hash_table_unref\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b0803a850e0 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 g_hash_table_unref (libglib-2.0.so.0+0x44efa)\r\n\r\n Previous read of size 8 at 0x7b0803a850e0 by main thread (mutexes: write M1022170931909844880):\r\n #0 memcpy ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:827 (libtsan.so.2+0x63014)\r\n #1 memcpy ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:819 (libtsan.so.2+0x63014)\r\n #2 g_memdup2 (libglib-2.0.so.0+0x740e1)\r\n #3 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #4 show(char const*, bool) (openroad+0x16738b1)\r\n #5 _wrap_show (openroad+0x1673b7d)\r\n #6 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #7 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #8 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #9 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x44efa) in g_hash_table_unref\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b04001f1c80 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 (libglib-2.0.so.0+0x21f73)\r\n\r\n Previous write of size 8 at 0x7b04001f1c80 by main thread (mutexes: write M1022170931909844880):\r\n #0 realloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:680 (libtsan.so.2+0x44b0f)\r\n #1 g_realloc (libglib-2.0.so.0+0x5efff)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x21f73) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b04001f1c60 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 g_main_context_unref (libglib-2.0.so.0+0x54d93)\r\n\r\n Previous write of size 8 at 0x7b04001f1c60 by main thread (mutexes: write M1022170931909844880):\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 g_malloc (libglib-2.0.so.0+0x5e9c8)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x54d93) in g_main_context_unref\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7ba0000700d0 by thread T4:\r\n #0 close ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1749 (libtsan.so.2+0x412ea)\r\n #1 g_main_context_unref (libglib-2.0.so.0+0x54db6)\r\n\r\n Previous read of size 8 at 0x7ba0000700d0 by main thread (mutexes: write M1022170931909844880):\r\n #0 read ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:1019 (libtsan.so.2+0x548f7)\r\n #1 g_main_context_check (libglib-2.0.so.0+0x56951)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Location is file descriptor 13 created by main thread at:\r\n #0 eventfd ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1655 (libtsan.so.2+0x42951)\r\n #1 (libglib-2.0.so.0+0xa6b1a)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x54db6) in g_main_context_unref\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b04001f19a0 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 g_bytes_unref (libglib-2.0.so.0+0x32f08)\r\n\r\n Previous read of size 8 at 0x7b04001f19a0 by main thread (mutexes: write M1022170931909844880):\r\n #0 strlen ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:386 (libtsan.so.2+0x6098c)\r\n #1 strlen ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:378 (libtsan.so.2+0x6098c)\r\n #2 g_strdup (libglib-2.0.so.0+0x73fa6)\r\n #3 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #4 show(char const*, bool) (openroad+0x16738b1)\r\n #5 _wrap_show (openroad+0x1673b7d)\r\n #6 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #7 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #8 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #9 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x32f08) in g_bytes_unref\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b04001f1a70 by thread T4:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 g_main_context_unref (libglib-2.0.so.0+0x54d93)\r\n\r\n Previous read of size 1 at 0x7b04001f1a73 by main thread (mutexes: write M1022170931909844880):\r\n #0 poll ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:4042 (libtsan.so.2+0x670f9)\r\n #1 (libglib-2.0.so.0+0xabcdd)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Mutex M1022170931909844880 is already destroyed.\r\n\r\n Thread T4 'gdbus' (tid=226345, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 (libglib-2.0.so.0+0xab017)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libglib-2.0.so.0+0x54d93) in g_main_context_unref\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Read of size 8 at 0x7b0c0040d988 by thread T5:\r\n #0 memcpy ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:827 (libtsan.so.2+0x63014)\r\n #1 memcpy ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:819 (libtsan.so.2+0x63014)\r\n #2 (libQt5Core.so.5+0x2c2374)\r\n\r\n Previous write of size 8 at 0x7b0c0040d988 by main thread:\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 QArrayData::allocate(unsigned long, unsigned long, unsigned long, QFlags) (libQt5Core.so.5+0xd82c1)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Location is heap block of size 48 at 0x7b0c0040d970 allocated by main thread:\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 QArrayData::allocate(unsigned long, unsigned long, unsigned long, QFlags) (libQt5Core.so.5+0xd82c1)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Thread T5 'QDBusConnection' (tid=226346, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 QThread::start(QThread::Priority) (libQt5Core.so.5+0xcc70c)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libQt5Core.so.5+0x2c2374) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b0c0040d970 by thread T5:\r\n #0 free ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:706 (libtsan.so.2+0x4a40e)\r\n #1 (libQt5Core.so.5+0x2c235a)\r\n\r\n Previous write of size 8 at 0x7b0c0040d970 by main thread:\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 QArrayData::allocate(unsigned long, unsigned long, unsigned long, QFlags) (libQt5Core.so.5+0xd82c1)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Thread T5 'QDBusConnection' (tid=226346, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 QThread::start(QThread::Priority) (libQt5Core.so.5+0xcc70c)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libQt5Core.so.5+0x2c235a) \r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Write of size 8 at 0x7b1c000e21b0 by thread T5:\r\n #0 operator delete(void*, unsigned long) ../../../../src/libsanitizer/tsan/tsan_new_delete.cpp:150 (libtsan.so.2+0x8cd75)\r\n #1 QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) (libQt5Core.so.5+0x2bdeba)\r\n\r\n Previous write of size 8 at 0x7b1c000e21b0 by main thread:\r\n #0 operator new(unsigned long) ../../../../src/libsanitizer/tsan/tsan_new_delete.cpp:64 (libtsan.so.2+0x8d659)\r\n #1 (libQt5Core.so.5+0x2f37e4)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Thread T5 'QDBusConnection' (tid=226346, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 QThread::start(QThread::Priority) (libQt5Core.so.5+0xcc70c)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race ../../../../src/libsanitizer/tsan/tsan_new_delete.cpp:150 in operator delete(void*, unsigned long)\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Atomic read of size 1 at 0x7b0c00412d40 by main thread:\r\n #0 pthread_mutex_lock ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:4324 (libtsan.so.2+0x59bcf)\r\n #1 dbus_connection_get_is_connected (libdbus-1.so.3+0x10f4a)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Previous write of size 8 at 0x7b0c00412d40 by thread T5:\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 (libdbus-1.so.3+0x33527)\r\n\r\n Location is heap block of size 40 at 0x7b0c00412d40 allocated by thread T5:\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 (libdbus-1.so.3+0x33527)\r\n\r\n Thread T5 'QDBusConnection' (tid=226346, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 QThread::start(QThread::Priority) (libQt5Core.so.5+0xcc70c)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libdbus-1.so.3+0x10f4a) in dbus_connection_get_is_connected\r\n==================\r\n==================\r\nWARNING: ThreadSanitizer: data race (pid=226336)\r\n Atomic read of size 1 at 0x7b0c0038a390 by main thread:\r\n #0 pthread_mutex_lock ../../../../src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc:4324 (libtsan.so.2+0x59bcf)\r\n #1 dbus_connection_get_is_connected (libdbus-1.so.3+0x10f4a)\r\n #2 gui::MainWindow::MainWindow(QWidget*) (openroad+0x16e044a)\r\n #3 gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15cd277)\r\n #4 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #5 show(char const*, bool) (openroad+0x16738b1)\r\n #6 _wrap_show (openroad+0x1673b7d)\r\n #7 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #8 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #9 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #10 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\n Previous write of size 8 at 0x7b0c0038a390 by thread T5 (mutexes: write M1015978482422736672):\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 (libdbus-1.so.3+0x33527)\r\n\r\n Location is heap block of size 40 at 0x7b0c0038a390 allocated by thread T5:\r\n #0 malloc ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:647 (libtsan.so.2+0x3faaa)\r\n #1 (libdbus-1.so.3+0x33527)\r\n\r\n Mutex M1015978482422736672 is already destroyed.\r\n\r\n Thread T5 'QDBusConnection' (tid=226346, running) created by main thread at:\r\n #0 pthread_create ../../../../src/libsanitizer/tsan/tsan_interceptors_posix.cpp:1001 (libtsan.so.2+0x63a69)\r\n #1 QThread::start(QThread::Priority) (libQt5Core.so.5+0xcc70c)\r\n #2 gui::Gui::showGui(std::__cxx11::basic_string, std::allocator > const&, bool) (openroad+0x15ce3eb)\r\n #3 show(char const*, bool) (openroad+0x16738b1)\r\n #4 _wrap_show (openroad+0x1673b7d)\r\n #5 TclNRRunCallbacks (libtcl8.6.so+0x3cd31)\r\n #6 ord::tclAppInit(Tcl_Interp*) (openroad+0xc96048)\r\n #7 Tcl_MainEx (libtcl8.6.so+0xf0b18)\r\n #8 __libc_start_call_main ../sysdeps/nptl/libc_start_call_main.h:58 (libc.so.6+0x2350f)\r\n\r\nSUMMARY: ThreadSanitizer: data race (/lib/x86_64-linux-gnu/libdbus-1.so.3+0x10f4a) in dbus_connection_get_is_connected\r\n==================\r\n```"}, {"assistant": "Not sure if it was just a copy paste error, but the second line is also running ASAN"}, {"assistant": "@oharboe You might want to pass `-g -no-omit-frame-pointer` to get better line/function info in the log"}, {"user": "> @oharboe You might want to pass `-g -no-omit-frame-pointer` to get better line/function info in the log\r\n\r\nRan into escape hell :-)\r\n\r\n```\r\n$ ./build_openroad.sh --local --latest --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=\\\"-g -no-omit-frame-pointer -fsanitize=thread\\\"\"\r\n[deleted]\r\n[INFO FLW-0018] Compiling OpenROAD.\r\nCMake Error: Unknown argument -fsanitize=thread\"\r\nCMake Error: Run 'cmake --help' for all supported options.\r\n```\r\n\r\nI think what @maliberty needs is to reproduce the problem on his machine and while this appear to be a race condition and not easily reproducible, hopefully the sanitizer report is easy to reproduce.\r\n"}, {"assistant": "Something similar happened in the CI though I haven't had it happen for me. The sanitize report doesn't even tell you which mutex or line of code is involved so its a bit frustrating."}, {"assistant": "I'm trying to run tsan with -g but our setup is fighting me with all sorts of compiler errors. Would you try it if you have a chance."}, {"assistant": "I guessing https://github.com/The-OpenROAD-Project/OpenROAD/pull/3473 may fix this. Please let me as I still can't reproduce the crash. I'll be watching the CI as well."}, {"user": "```\r\nrm logs/asap7/mock-array-big_Element/base/6_report.log && make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init ./scripts/final_report.tcl -metrics ./logs/asap7/mock-array-big_Element/base/6_report.json) 2>&1 | tee ./logs/asap7/mock-array-big_Element/base/6_report.log\r\nOpenROAD v2.0-8702-gccd2fdcdc \r\n[deleted]\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055CD502F72C5 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FDCA303BCF0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gui::DbInstDescriptor::getInstanceType(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# gui::DisplayControls::getInstRow(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# non-virtual thunk to gui::DisplayControls::isInstanceVisible(odb::dbInst*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::RenderThread::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# 0x00007FDCA3ECCC51 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 9# 0x00007FDCA3090402 in /lib/x86_64-linux-gnu/libc.so.6\r\n10# 0x00007FDCA311F590 in /lib/x86_64-linux-gnu/libc.so.6\r\nCommand terminated by signal 11\r\nElapsed time: 0:01.39[h:]min:sec. CPU time: user 1.24 sys 0.06 (94%). Peak memory: 259300KB.\r\nmake: *** [Makefile:696: logs/asap7/mock-array-big_Element/base/6_report.log] Error 139\r\n```"}, {"user": "> I guessing #3473 may fix this. Please let me as I still can't reproduce the crash. I'll be watching the CI as well.\r\n\r\nUnfortunately not, it would seem. The log shows I run with a version of OpenROAD that contains the fix."}, {"user": "@maliberty Interesting... It doesn't happen when I compile in debug-mode...\r\n\r\n```\r\n$ ./build_openroad.sh --local --latest --openroad-args \"-D CMAKE_BUILD_TYPE=DEBUG\"\r\n$ gcc --version\r\ngcc (Ubuntu 12.2.0-3ubuntu1) 12.2.0\r\nCopyright (C) 2022 Free Software Foundation, Inc.\r\nThis is free software; see the source for copying conditions. There is NO\r\nwarranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.\r\n```\r\n"}, {"user": "Crashes:\r\n\r\n```\r\n./build_openroad.sh --local --latest --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=-O2\"\r\n```"}, {"user": "Crashes:\r\n\r\n```\r\n./build_openroad.sh --local --latest --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=-O1\"\r\n```\r\n```\r\n./build_openroad.sh --local --latest --openroad-args \"-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=-O0\"\r\n```\r\n"}, {"user": "Thought I saw some new changes, so tried latest:\r\n\r\n```\r\nrm logs/asap7/mock-array-big_Element/base/6_report.log && make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk\r\n```\r\nOutput:\r\n```\r\n[deleted]\r\nOpenROAD v2.0-8762-g8d56294a5\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000556EFED0F92B in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FB331E42520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# gui::DbInstDescriptor::getInstanceType(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# gui::DisplayControls::getInstRow(odb::dbInst*) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# non-virtual thunk to gui::DisplayControls::isInstanceVisible(odb::dbInst*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::RenderThread::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# 0x00007FB332CCCCA1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 9# 0x00007FB331E94B43 in /lib/x86_64-linux-gnu/libc.so.6\r\n10# 0x00007FB331F26A00 in /lib/x86_64-linux-gnu/libc.so.6\r\nCommand terminated by signal 11\r\nElapsed time: 0:01.14[h:]min:sec. CPU time: user 1.04 sys 0.05 (95%). Peak memory: 294168KB.\r\nmake: *** [Makefile:684: logs/asap7/mock-array-big_Element/base/6_report.log] Error 139\r\n\r\n```\r\n"}, {"user": "Using https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1143, I can build with:\r\n\r\n```\r\n./build_openroad.sh --local --latest --openroad-args '-D CMAKE_BUILD_TYPE=RELEASE -D CMAKE_CXX_FLAGS=\"-O0 -g\"'\r\n```\r\n\r\n@maliberty This crashes, but if I attach the debugger, it does not crash..."}, {"assistant": "Hopefully fixed by https://github.com/The-OpenROAD-Project/OpenROAD/pull/3487"}, {"user": "This crashed almost every time before:\r\n\r\n```\r\nrm -f logs/asap7/mock-array-big_Element/base/6_report.log && make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk\r\n```\r\n\r\nThis ran without failure.\r\n\r\n```\r\nfor i in {1..100}; do rm -f logs/asap7/mock-array-big_Element/base/6_report.log && make DESIGN_CONFIG=designs/asap7/mock-array-big/Element/config.mk || break;done\r\n```\r\n\r\n\r\n\r\n\r\n"}]} +{"num": 3460, "messages": [{"user": "### Description\n\nA error should be generated if two different sites of the same height are selected for row generation. This will lead to errors during placement and is not supported.\n\n### Suggested Solution\n\nAdd a check to initialize_floorplan.\n\n### Additional Context\n\n_No response_"}, {"user": "@mina1460 please take care of this when you have a moment"}]} +{"num": 3465, "messages": [{"user": "### Describe the bug\n\nWe are getting a \"RePlAce divergence detected. Re-run with a smaller max_phi_cof value\" error when running on a small design.\r\nIt appears all the flipflops are on top of eachother, which may be the issue.\n\n### Expected Behavior\n\nCompletes trivial placement\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.25.0\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8554-g2ccc898ac\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/pgadfort/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\")\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- Found Threads: TRUE\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/pgadfort/OpenROAD-build/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\")\r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.VM563nrShB\n```\n\n\n### To Reproduce\n\n[sc_issue_heartbeat_job0_place0_20230614-172440.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11750577/sc_issue_heartbeat_job0_place0_20230614-172440.tar.gz)\r\n\r\n```\r\ntar xvf sc_issue_heartbeat_job0_place0_20230614-172440\r\ncd sc_issue_heartbeat_job0_place0_20230614-172440\r\n./run.sh\r\n```\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-8518-g8901000e3 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nReading liberty file for fast: /usr/local/lib/python3.10/dist-packages/siliconcompiler-0.12.1-py3.10-linux-x86_64.egg/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lib/sky130_fd_sc_hd__ff_100C_1v95.lib.gz\r\nReading liberty file for slow: /usr/local/lib/python3.10/dist-packages/siliconcompiler-0.12.1-py3.10-linux-x86_64.egg/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lib/sky130_fd_sc_hd__ss_n40C_1v40.lib.gz\r\nReading liberty file for typical: /usr/local/lib/python3.10/dist-packages/siliconcompiler-0.12.1-py3.10-linux-x86_64.egg/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lib/sky130_fd_sc_hd__tt_025C_1v80.lib.gz\r\nReading ODB: inputs/heartbeat.odb\r\nReading SDC: inputs/heartbeat.sdc\r\nWarning: There is 1 input port missing set_input_delay.\r\nWarning: There is 1 output port missing set_output_delay.\r\nWarning: There is 1 unconstrained endpoint.\r\n[INFO ORD-0030] Using 4 thread(s).\r\n[INFO FLW-0001] Setting global routing adjustment for li1 to 100.0%\r\n[INFO FLW-0001] Setting global routing adjustment for met1 to 30.0%\r\n[INFO FLW-0001] Setting global routing adjustment for met2 to 30.0%\r\n[INFO FLW-0001] Setting global routing adjustment for met3 to 30.0%\r\n[INFO FLW-0001] Setting global routing adjustment for met4 to 30.0%\r\n[INFO FLW-0001] Setting global routing adjustment for met5 to 30.0%\r\n#######################\r\n# Global Placement\r\n#######################\r\nset openroad_gpl_args []\r\nif {$openroad_gpl_routability_driven == \"true\"} {\r\n lappend openroad_gpl_args \"-routability_driven\"\r\n}\r\nif {$openroad_gpl_timing_driven == \"true\"} {\r\n lappend openroad_gpl_args \"-timing_driven\"\r\n}\r\nglobal_placement {*}$openroad_gpl_args \\\r\n -density $openroad_gpl_place_density \\\r\n -pad_left $openroad_gpl_padding \\\r\n -pad_right $openroad_gpl_padding\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 460 2720\r\n[INFO GPL-0004] CoreAreaLxLy: 5060 5440\r\n[INFO GPL-0005] CoreAreaUxUy: 49680 48960\r\n[INFO GPL-0006] NumInstances: 52\r\n[INFO GPL-0007] NumPlaceInstances: 25\r\n[INFO GPL-0008] NumFixedInstances: 27\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 27\r\n[INFO GPL-0011] NumPins: 92\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 55000 55000\r\n[INFO GPL-0014] CoreAreaLxLy: 5060 5440\r\n[INFO GPL-0015] CoreAreaUxUy: 49680 48960\r\n[INFO GPL-0016] CoreArea: 1941862400\r\n[INFO GPL-0017] NonPlaceInstsArea: 33782400\r\n[INFO GPL-0018] PlaceInstsArea: 576803200\r\n[INFO GPL-0019] Util(%): 30.23\r\n[INFO GPL-0020] StdInstsArea: 576803200\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG residual: 0.00000012 HPWL: 398050\r\n[InitialPlace] Iter: 2 CG residual: 0.00000012 HPWL: 390234\r\n[InitialPlace] Iter: 3 CG residual: 0.00000007 HPWL: 384753\r\n[InitialPlace] Iter: 4 CG residual: 0.00000009 HPWL: 382639\r\n[InitialPlace] Iter: 5 CG residual: 0.00000011 HPWL: 382451\r\n[INFO GPL-0031] FillerInit: NumGCells: 50\r\n[INFO GPL-0032] FillerInit: NumGNets: 27\r\n[INFO GPL-0033] FillerInit: NumGPins: 92\r\n[INFO GPL-0023] TargetDensity: 0.60\r\n[INFO GPL-0024] AveragePlaceInstArea: 23072128\r\n[INFO GPL-0025] IdealBinArea: 38453544\r\n[INFO GPL-0026] IdealBinCnt: 50\r\n[INFO GPL-0027] TotalBinArea: 1941862400\r\n[INFO GPL-0028] BinCnt: 4 4\r\n[INFO GPL-0029] BinSize: 11155 10880\r\n[INFO GPL-0030] NumBins: 16\r\n[NesterovSolve] Iter: 1 overflow: 0.431505 HPWL: 207145\r\n[INFO GPL-0100] worst slack 5.99e-09\r\n[INFO GPL-0103] Weighted 3 nets.\r\n[NesterovSolve] Snapshot saved at iter = 0\r\n[NesterovSolve] Iter: 10 overflow: 0.445166 HPWL: 271428\r\n[NesterovSolve] Iter: 20 overflow: 0.44522 HPWL: 271408\r\n[NesterovSolve] Iter: 30 overflow: 0.445292 HPWL: 271383\r\n[NesterovSolve] Iter: 40 overflow: 0.445372 HPWL: 271348\r\n[NesterovSolve] Iter: 50 overflow: 0.445462 HPWL: 271314\r\n[NesterovSolve] Iter: 60 overflow: 0.44557 HPWL: 271274\r\n[NesterovSolve] Iter: 70 overflow: 0.445682 HPWL: 271232\r\n[NesterovSolve] Iter: 80 overflow: 0.445815 HPWL: 271176\r\n[NesterovSolve] Iter: 90 overflow: 0.445956 HPWL: 271121\r\n[NesterovSolve] Iter: 100 overflow: 0.446115 HPWL: 271059\r\n[NesterovSolve] Iter: 110 overflow: 0.446284 HPWL: 270990\r\n[NesterovSolve] Iter: 120 overflow: 0.446474 HPWL: 270913\r\n[NesterovSolve] Iter: 130 overflow: 0.446668 HPWL: 270842\r\n[NesterovSolve] Iter: 140 overflow: 0.446881 HPWL: 270755\r\n[NesterovSolve] Iter: 150 overflow: 0.447094 HPWL: 270667\r\n[NesterovSolve] Iter: 160 overflow: 0.447303 HPWL: 270587\r\n[NesterovSolve] Iter: 170 overflow: 0.447499 HPWL: 270509\r\n[NesterovSolve] Iter: 180 overflow: 0.447687 HPWL: 270434\r\n[NesterovSolve] Iter: 190 overflow: 0.447857 HPWL: 270363\r\n[NesterovSolve] Iter: 200 overflow: 0.448008 HPWL: 270306\r\n[NesterovSolve] Iter: 210 overflow: 0.448143 HPWL: 270253\r\n[NesterovSolve] Iter: 220 overflow: 0.448262 HPWL: 270203\r\n[NesterovSolve] Iter: 230 overflow: 0.448367 HPWL: 270161\r\n[NesterovSolve] Iter: 240 overflow: 0.448462 HPWL: 270121\r\n[NesterovSolve] Iter: 250 overflow: 0.448545 HPWL: 270087\r\n[NesterovSolve] Iter: 260 overflow: 0.448618 HPWL: 270051\r\n[NesterovSolve] Iter: 270 overflow: 0.448681 HPWL: 270024\r\n[NesterovSolve] Iter: 280 overflow: 0.448733 HPWL: 269997\r\n[NesterovSolve] Iter: 290 overflow: 0.448775 HPWL: 269978\r\n[NesterovSolve] Iter: 300 overflow: 0.448796 HPWL: 269960\r\n[NesterovSolve] Iter: 310 overflow: 0.448809 HPWL: 269949\r\n[NesterovSolve] Iter: 320 overflow: 0.448802 HPWL: 269938\r\n[NesterovSolve] Iter: 330 overflow: 0.448779 HPWL: 269940\r\n[NesterovSolve] Iter: 340 overflow: 0.44874 HPWL: 269954\r\n[NesterovSolve] Iter: 350 overflow: 0.448664 HPWL: 269984\r\n[NesterovSolve] Iter: 360 overflow: 0.448513 HPWL: 270048\r\n[NesterovSolve] Iter: 370 overflow: 0.448085 HPWL: 270286\r\n[NesterovSolve] Iter: 380 overflow: 0.446089 HPWL: 271706\r\n[NesterovSolve] Iter: 390 overflow: 0.411544 HPWL: 316312\r\n[INFO GPL-0100] worst slack 5.81e-09\r\n[INFO GPL-0103] Weighted 1 nets.\r\n[NesterovSolve] Iter: 400 overflow: 0.112651 HPWL: 724114\r\n[INFO GPL-0100] worst slack 5.69e-09\r\n[INFO GPL-0103] Weighted 3 nets.\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[INFO GPL-0036] TileLxLy: 0 0\r\n[INFO GPL-0037] TileSize: 6900 6900\r\n[INFO GPL-0038] TileCnt: 7 7\r\n[INFO GPL-0039] numRoutingLayers: 6\r\n[INFO GPL-0040] NumTiles: 49\r\n[INFO GPL-0063] TotalRouteOverflowH2: 0.0\r\n[INFO GPL-0064] TotalRouteOverflowV2: 0.0\r\n[INFO GPL-0065] OverflowTileCnt2: 0\r\n[INFO GPL-0066] 0.5%RC: 1.0\r\n[INFO GPL-0067] 1.0%RC: 0.949999988079071\r\n[INFO GPL-0068] 2.0%RC: 0.899999996026357\r\n[INFO GPL-0069] 5.0%RC: 0.8166666626930237\r\n[INFO GPL-0070] 0.5rcK: 1.0\r\n[INFO GPL-0071] 1.0rcK: 1.0\r\n[INFO GPL-0072] 2.0rcK: 0.0\r\n[INFO GPL-0073] 5.0rcK: 0.0\r\n[INFO GPL-0074] FinalRC: 0.975\r\n[NesterovSolve] Iter: 410 overflow: 0.436447 HPWL: 286232\r\n[NesterovSolve] Iter: 420 overflow: 0.436075 HPWL: 286883\r\n[NesterovSolve] Iter: 430 overflow: 0.43606 HPWL: 286953\r\n[NesterovSolve] Iter: 440 overflow: 0.436372 HPWL: 286671\r\n[NesterovSolve] Iter: 450 overflow: 0.436594 HPWL: 286459\r\n[NesterovSolve] Iter: 460 overflow: 0.436745 HPWL: 286318\r\n[NesterovSolve] Iter: 470 overflow: 0.43686 HPWL: 286207\r\n[NesterovSolve] Iter: 480 overflow: 0.436962 HPWL: 286107\r\n[NesterovSolve] Iter: 490 overflow: 0.437066 HPWL: 286005\r\n[NesterovSolve] Iter: 500 overflow: 0.43717 HPWL: 285903\r\n[NesterovSolve] Iter: 510 overflow: 0.437279 HPWL: 285801\r\n[NesterovSolve] Iter: 520 overflow: 0.437392 HPWL: 285695\r\n[NesterovSolve] Iter: 530 overflow: 0.437513 HPWL: 285582\r\n[NesterovSolve] Iter: 540 overflow: 0.43764 HPWL: 285454\r\n[NesterovSolve] Iter: 550 overflow: 0.437775 HPWL: 285320\r\n[NesterovSolve] Iter: 560 overflow: 0.437918 HPWL: 285181\r\n[NesterovSolve] Iter: 570 overflow: 0.438067 HPWL: 285040\r\n[NesterovSolve] Iter: 580 overflow: 0.438229 HPWL: 284880\r\n[NesterovSolve] Iter: 590 overflow: 0.43841 HPWL: 284709\r\n[NesterovSolve] Iter: 600 overflow: 0.438596 HPWL: 284527\r\n[NesterovSolve] Iter: 610 overflow: 0.438788 HPWL: 284347\r\n[NesterovSolve] Iter: 620 overflow: 0.439001 HPWL: 284149\r\n[NesterovSolve] Iter: 630 overflow: 0.43922 HPWL: 283934\r\n[NesterovSolve] Iter: 640 overflow: 0.439453 HPWL: 283719\r\n[NesterovSolve] Iter: 650 overflow: 0.439696 HPWL: 283488\r\n[NesterovSolve] Iter: 660 overflow: 0.439948 HPWL: 283257\r\n[NesterovSolve] Iter: 670 overflow: 0.440214 HPWL: 283013\r\n[NesterovSolve] Iter: 680 overflow: 0.440482 HPWL: 282771\r\n[NesterovSolve] Iter: 690 overflow: 0.440755 HPWL: 282535\r\n[NesterovSolve] Iter: 700 overflow: 0.441027 HPWL: 282313\r\n[NesterovSolve] Iter: 710 overflow: 0.441304 HPWL: 282122\r\n[NesterovSolve] Iter: 720 overflow: 0.441512 HPWL: 282107\r\n[NesterovSolve] Iter: 730 overflow: 0.44109 HPWL: 283602\r\n[NesterovSolve] Iter: 740 overflow: 0.439868 HPWL: 287017\r\n[NesterovSolve] Iter: 750 overflow: 0.437834 HPWL: 292160\r\n[NesterovSolve] Iter: 760 overflow: 0.434893 HPWL: 298927\r\n[NesterovSolve] Iter: 770 overflow: 0.430677 HPWL: 307315\r\n[NesterovSolve] Iter: 780 overflow: 0.42532 HPWL: 317399\r\n[NesterovSolve] Iter: 790 overflow: 0.41833 HPWL: 329355\r\n[NesterovSolve] Iter: 800 overflow: 0.409957 HPWL: 343258\r\n[NesterovSolve] Iter: 810 overflow: 0.400042 HPWL: 359514\r\n[NesterovSolve] Iter: 820 overflow: 0.388273 HPWL: 379323\r\n[NesterovSolve] Iter: 830 overflow: 0.373849 HPWL: 402364\r\n[NesterovSolve] Iter: 840 overflow: 0.357159 HPWL: 428392\r\n[NesterovSolve] Iter: 850 overflow: 0.335507 HPWL: 457723\r\n[NesterovSolve] Iter: 860 overflow: 0.308505 HPWL: 490127\r\n[NesterovSolve] Iter: 870 overflow: 0.289723 HPWL: 526182\r\n[NesterovSolve] Iter: 880 overflow: 0.271299 HPWL: 570416\r\n[NesterovSolve] Iter: 890 overflow: 0.245248 HPWL: 621123\r\n[NesterovSolve] Iter: 900 overflow: 0.207789 HPWL: 675015\r\n[NesterovSolve] Iter: 910 overflow: 0.176717 HPWL: 729771\r\n[NesterovSolve] Iter: 920 overflow: 0.161838 HPWL: 786638\r\n[NesterovSolve] Iter: 930 overflow: 0.147445 HPWL: 833649\r\n[ERROR GPL-0307] RePlAce divergence detected. Re-run with a smaller max_phi_cof value.\r\nError: sc_place.tcl, 17 GPL-0307\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty I thought there was a step in replace that added random noise to the instances to make sure that all the cells didn't end up on top of each other. "}, {"user": "@maliberty any updates on this?"}, {"assistant": "The clock net was getting a crazy weight which pulled all the register on top of each other (despite the small jiggle we introduce). This is fixed in the forthcoming PR."}]} +{"num": 3483, "messages": [{"user": "Following merge of https://github.com/The-OpenROAD-Project/OpenROAD/pull/3468 and using https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1097 (not merged yet), I get the error below.\r\n\r\nCreate a `settings.mk` file in the flow folder and use the updated mock-array-big https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1097:\r\n\r\n```\r\nexport MOCK_ARRAY_PITCH_SCALE=20\r\nexport DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nThen run:\r\n\r\n```\r\nrm -rf results/ objects/ logs/ && make\r\n```\r\n\r\nFails after 1 minute or so with output:\r\n\r\n```\r\n[deleted]\r\n#macroValidPlanarAp = 0\r\n#macroValidViaAp = 0\r\n#macroNoAp = 0\r\n[INFO DRT-0166] Complete pin access.\r\n[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:00, memory = 375.52 (MB), peak = 425.54 (MB)\r\n[ERROR DRT-0155] Guide in net net7 uses layer M6 (12) that is outside the allowed routing range [M2 (4), (M5)].\r\nError: detail_route.tcl, 59 DRT-0155\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:01.72[h:]min:sec. CPU time: user 2.81 sys 0.09 (168%). Peak memory: 435752KB.\r\nmake[1]: *** [Makefile:622: results/asap7/mock-array-big_Element/base/5_2_route.odb] Error 1\r\nmake[1]: Leaving directory '/home/oyvind/OpenROAD-flow-scripts/flow'\r\nmake: *** [Makefile:329: results/asap7/mock-array-big_Element/base/Element.lib] Error 2\r\n```\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/issues/3468#issuecomment-1593770094_\r\n "}, {"assistant": "@oharboe In the `5_1_fastroute.log` file, I see:\r\n```\r\n[INFO GRT-0020] Min routing layer: M2\r\n[INFO GRT-0021] Max routing layer: M7\r\n```\r\n\r\nWhile in `5_2_TritonRoute.log` file, we have:\r\n\r\n`detailed_route arguments: -bottom_routing_layer M2 -top_routing_layer M5`\r\n\r\nLooks like it's loading the file `./designs/asap7/mock-array-big/Element/config.mk` instead of `./designs/asap7/mock-array-big/config.mk`.\r\n\r\nIf I change the `MAX_ROUTING_LAYER` to M5, the detailed router ends with no errors in 6 iterations.\r\n\r\nI'm trying to figure it out why the wrong config file is being loaded."}, {"user": "@eder-matheus https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1153 fixes the problem."}]} +{"num": 3484, "messages": [{"user": "Create `settings.mk` in flow and use updated mock-array-big https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1097:\r\n\r\n```\r\nexport MOCK_ARRAY_PITCH_SCALE=20\r\nexport MOCK_ARRAY_DATAWIDTH=64\r\nexport DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nRegenerate Verilog for mock-array-big to get a 64 bit datapath:\r\n\r\n```\r\nmake verilog\r\n```\r\n\r\nNow run:\r\n\r\n```\r\nrm -rf results/ objects/ logs/ && make\r\n```\r\n\r\nOutput:\r\n\r\n```\r\n[deleted]\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init ./scripts/detail_route.tcl -metrics ./logs/asap7/mock-array-big_Element/base/5_2_TritonRoute.json) 2>&1 | tee ./logs/asap7/mock-array-big_Element/base/5_2_TritonRoute.log\r\n[deleted]\r\n[INFO DRT-0199] Number of violations = 76.\r\nViol/Layer M3 M4 M5\r\nEOL 0 3 5\r\nMetal Spacing 1 22 22\r\nRecheck 0 1 0\r\nShort 0 13 0\r\neolKeepOut 0 9 0\r\n[INFO DRT-0267] cpu time = 00:00:15, elapsed time = 00:00:07, memory = 5626.13 (MB), peak = 6145.72 (MB)\r\n[deleted]\r\n```\r\n\r\n- after 10 iterations the Element still as 76 DRC violations\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/23af58e5-bf67-488b-b4ad-f7577bdd5dd3)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/faec11e3-4021-480b-a6b3-f71d500708bf)\r\n\r\n\r\nDetailed routing converges to 0 violations on the 3rd iteration, which is quick. However, detailed routing takes 3h30m on my machine, which I believe is mainly a function of the *area* not the complexity of routing.\r\n\r\n```\r\n[INFO DRT-0195] Start 3rd optimization iteration.\r\n Completing 10% with 186 violations.\r\n elapsed time = 00:00:02, memory = 25160.54 (MB).\r\n Completing 20% with 157 violations.\r\n elapsed time = 00:00:06, memory = 25160.54 (MB).\r\n Completing 30% with 133 violations.\r\n elapsed time = 00:00:07, memory = 25073.98 (MB).\r\n Completing 40% with 119 violations.\r\n elapsed time = 00:00:10, memory = 25073.98 (MB).\r\n Completing 50% with 103 violations.\r\n elapsed time = 00:00:11, memory = 25073.98 (MB).\r\n Completing 60% with 74 violations.\r\n elapsed time = 00:00:13, memory = 25073.98 (MB).\r\n Completing 70% with 50 violations.\r\n elapsed time = 00:00:16, memory = 25073.98 (MB).\r\n Completing 80% with 36 violations.\r\n elapsed time = 00:00:18, memory = 25073.98 (MB).\r\n Completing 90% with 14 violations.\r\n elapsed time = 00:00:19, memory = 25073.98 (MB).\r\n Completing 100% with 0 violations.\r\n elapsed time = 00:00:21, memory = 25073.98 (MB).\r\n[INFO DRT-0199] Number of violations = 0.\r\n[INFO DRT-0267] cpu time = 00:01:15, elapsed time = 00:00:21, memory = 25073.98 (MB), peak = 26370.69 (MB)\r\nTotal wire length = 702685 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 156754 um.\r\nTotal wire length on LAYER M3 = 177891 um.\r\nTotal wire length on LAYER M4 = 186636 um.\r\nTotal wire length on LAYER M5 = 136662 um.\r\nTotal wire length on LAYER M6 = 15047 um.\r\nTotal wire length on LAYER M7 = 29693 um.\r\nTotal wire length on LAYER M8 = 0 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 128911.\r\nUp-via summary (total 128911):.\r\n\r\n-----------------\r\n Active 0\r\n M1 19584\r\n M2 38565\r\n M3 39480\r\n M4 24357\r\n M5 4386\r\n M6 2539\r\n M7 0\r\n M8 0\r\n M9 0\r\n-----------------\r\n 128911\r\n\r\n\r\n[INFO DRT-0198] Complete detail routing.\r\nTotal wire length = 702685 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 156754 um.\r\nTotal wire length on LAYER M3 = 177891 um.\r\nTotal wire length on LAYER M4 = 186636 um.\r\nTotal wire length on LAYER M5 = 136662 um.\r\nTotal wire length on LAYER M6 = 15047 um.\r\nTotal wire length on LAYER M7 = 29693 um.\r\nTotal wire length on LAYER M8 = 0 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 128911.\r\nUp-via summary (total 128911):.\r\n\r\n-----------------\r\n Active 0\r\n M1 19584\r\n M2 38565\r\n M3 39480\r\n M4 24357\r\n M5 4386\r\n M6 2539\r\n M7 0\r\n M8 0\r\n M9 0\r\n-----------------\r\n 128911\r\n\r\n\r\n[INFO DRT-0267] cpu time = 54:13:20, elapsed time = 03:32:01, memory = 25073.98 (MB), peak = 26370.69 (MB)\r\n\r\n[INFO DRT-0180] Post processing.\r\nElapsed time: 3:34:35[h:]min:sec. CPU time: user 195583.66 sys 95.61 (1519%). Peak memory: 27003588KB.\r\n```\r\n\r\n```\r\n$ cat /proc/cpuinfo | grep processor | wc -l\r\n16\r\n```\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/issues/3468#issuecomment-1593775371_\r\n "}, {"user": "Tried on my laptop, I want to record a run here, so I have something to compare against later...\r\n\r\n```\r\n$ cat /proc/cpuinfo | grep processor | wc -l\r\n12\r\n```\r\n\r\n```\r\nElapsed time: 0:49.45[h:]min:sec. CPU time: user 47.18 sys 2.23 (99%). Peak memory: 6078204KB.\r\ncp results/asap7/mock-array-big/base/6_1_merged.gds results/asap7/mock-array-big/base/6_final.gds\r\nLog Elapsed seconds\r\n1_1_yosys 2\r\n2_1_floorplan 1\r\n2_2_floorplan_io 1\r\n2_3_tdms_place 1\r\n2_4_mplace 1\r\n2_5_tapcell 1\r\n2_6_pdn 407\r\n3_1_place_gp_skip_io 451\r\n3_2_place_iop 4\r\n3_3_place_gp 455\r\n3_4_resizer 17\r\n3_5_opendp 24\r\n4_1_cts 46\r\n4_2_cts_fillcell 25\r\n5_1_fastroute 48\r\n5_2_TritonRoute 14151\r\n6_1_merge 49\r\n6_report 5669\r\n```\r\n"}, {"user": "@osamahammad21 FYI, there's an update to mock-array-big https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1153\r\n\r\nWith this fix, you can use settings.mk below, which *only* increases the area, it doesn't increase the data width in the mock-array-big and there is no need to run `make verilog`.\r\n\r\nI haven't run it to completion to see how long it takes, but if it takes substantially longer than with default MOCK_ARRAY_PITCH_SCALE, then it is worth looking at detailed route times on this smaller example first, I think.\r\n\r\n```\r\nexport MOCK_ARRAY_PITCH_SCALE=20\r\nexport DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nI didn't wait for it to complete, but the datapath width doesn't appear to be a factor in the detailed routing speed.\r\n\r\nThe detailed routing speed is dominated by the area of the design. From memory, it appears that the detailed routing speed is roughly the same for 8 and 64 bit data path for the same die size of mock-array-big:\r\n\r\n```\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\n Completing 10% with 44 violations.\r\n elapsed time = 00:12:06, memory = 23299.84 (MB).\r\n Completing 20% with 75 violations.\r\n elapsed time = 00:24:06, memory = 23285.89 (MB).\r\n```\r\n"}, {"assistant": "I am running the test case and I can see that the array element is not DRC free which I guess for the router later on"}, {"user": "@osamahammad21 I see.\r\n\r\nDo you need any further input from me to investigate the running time for the detailed router on this example?"}, {"user": "@osamahammad21 Could you provide some before and after numbers based upon the changes you are planning/have pull requests for?"}, {"assistant": "@oharboe \r\non a 96 CPU machine:\r\nbefore:\r\n`[INFO DRT-0267] cpu time = 87:08:00, elapsed time = 02:48:43, memory = 10662.26 (MB), peak = 28213.59 (MB)`\r\nafter:\r\n`[INFO DRT-0267] cpu time = 06:10:19, elapsed time = 00:09:20, memory = 12216.96 (MB), peak = 15553.88 (MB)`"}]} +{"num": 3490, "messages": [{"user": "### Describe the bug\n\nChange mock-array-big to use mpl2:\r\n\r\n```\r\n$ git diff\r\ndiff --git a/flow/designs/asap7/mock-array-big/config.mk b/flow/designs/asap7/mock-array-big/config.mk\r\nindex b36c175c..e0077af0 100644\r\n--- a/flow/designs/asap7/mock-array-big/config.mk\r\n+++ b/flow/designs/asap7/mock-array-big/config.mk\r\n@@ -29,7 +29,8 @@ BLOCKS = Element\r\n \r\n export GDS_ALLOW_EMPTY = Element\r\n \r\n-export MACRO_PLACEMENT_TCL = ./designs/asap7/mock-array-big/macro-placement.tcl\r\n+#export MACRO_PLACEMENT_TCL = ./designs/asap7/mock-array-big/macro-placement.tcl\r\n+export RTLMP_FLOW = True\r\n \r\n export IO_CONSTRAINTS = designs/asap7/mock-array-big/io.tcl\r\n```\r\n\r\nRun:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array-big/config.mk\r\n```\r\n\r\nOutput:\r\n\r\n```\r\n+---B2 (12) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\nDetermine shaping function for clusters -- Macro Tilings.\r\n\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal /usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\n6 received\r\nStack trace:\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = float; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = float&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x00005584892FE8FB in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FC45BE42520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00005584892FCB68 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# mpl2::SimulatedAnnealingCore::packFloorplan() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# mpl2::SimulatedAnnealingCore::fastSA() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# 0x00007FC45C2DC2B3 in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 9# 0x00007FC45BE94B43 in /lib/x86_64-linux-gnu/libc.so.6\r\n10# 0x00007FC45BF26A00 in /lib/x86_64-linux-gnu/libc.so.6\r\nCommand terminated by signal 6\r\nElapsed time: 0:01.04[h:]min:sec. CPU time: user 0.91 sys 0.04 (91%). Peak memory: 193316KB.\r\nmake: *** [Makefile:476: results/asap7/mock-array-big/base/2_4_floorplan_macro.odb] Error 134\r\n```\r\n\n\n### Expected Behavior\n\nNo crash, hopefully macros lined up as nicely as with manual placement, since this is an array.\n\n### Environment\n\n```shell\nlatest master\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "`export SYNTH_HIERARCHICAL = 1`\r\nNeed to be added for RTLMP flow.\r\n\r\nBut still there is segfault.\r\n"}, {"assistant": "@ZhiangWang033 I'm hoping you can help me with this."}, {"assistant": "curiosity kills the cat:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/tree/master/flow/designs/asap7\r\ndoesn't contain mock-array-big, is it going to be checked in here or is it a local test case ?"}, {"user": "> curiosity kills the cat: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/tree/master/flow/designs/asap7 doesn't contain mock-array-big, is it going to be checked in here or is it a local test case ?\r\n\r\nmock-array-big was renamed to mock-array."}, {"assistant": "I've been running mock-array on a M1 MacBook Ventura and besides https://github.com/The-OpenROAD-Project/OpenROAD/issues/3847 it run's thru.\r\n\r\nThis can be closed, no ?"}]} +{"num": 3492, "messages": [{"user": "### Describe the bug\n\nI am trying to implement `place_pad` command with simple gcd design. \r\nBut while implementing `bond_pad` it throws following error:\r\n```\r\nnumber instances in verilog is 288\r\n[WARNING IFP-0028] Core area lower left (210.000, 210.000) snapped to (210.220, 212.160).\r\n[INFO IFP-0001] Added 414 rows of 2456 site unithd with height 1.\r\n[ERROR PAD-0011] sky130_ef_io__bare_pad is not of type COVER, but is instead BLOCK\r\n[ERROR GUI-0070] Error: pad.tcl, 74 PAD-0011\r\n```\n\n### Expected Behavior\n\nComplete pad level connections\n\n### Environment\n\n```shell\nLatest master commit\n```\n\n\n### To Reproduce\n\n[floorplan_gcd_sky130hd_base_2023-06-20_13-18.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11800914/floorplan_gcd_sky130hd_base_2023-06-20_13-18.tar.gz)\r\n\r\nExtract and run `./run.sh`\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Without `bond_pad` it fails at GPL stage.\r\n```\r\n[NesterovSolve] Iter: 340 overflow: 0.252138 HPWL: 29827920\r\n[NesterovSolve] Iter: 350 overflow: 0.237423 HPWL: 29295647\r\n[NesterovSolve] Iter: 360 overflow: 0.208085 HPWL: 29375424\r\n[INFO GPL-0100] worst slack 5.68e-10\r\n[INFO GPL-0103] Weighted 29 nets.\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[ERROR GRT-0042] Pin clk does not have geometries in a valid routing layer.\r\nError: global_place.tcl, 51 GRT-0042\r\nElapsed time: 0:15.94[h:]min:sec. CPU time: user 15.82 sys 0.11 (99%). Peak memory: 145368KB.\r\nmake: *** [Makefile:529: results/sky130hd/gcd/base/3_3_place_gp.odb] Error 1\r\n\r\n```"}, {"user": "\r\n[pdn_gcd_sky130hd_base_2023-06-20_15-03.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11801921/pdn_gcd_sky130hd_base_2023-06-20_15-03.tar.gz)\r\n\r\n@gadfort \r\nAfter changing to `COVER` it fails at pdn stage for gcd design.\r\n```\r\n[WARNING PDN-0189] Supply pin VCCHIB of instance IO_FILL_IO_SOUTH_0_9 is not connected to any net.\r\n[WARNING PDN-0189] Supply pin VDDIO of instance IO_FILL_IO_SOUTH_0_9 is not connected to any net.\r\n[WARNING PDN-0189] message limit reached, this message will no longer print\r\n[INFO PDN-0001] Inserting grid: grid\r\n[WARNING PDN-0178] Remaining channel (535.1000, 211.9200) - (631.6900, 215.3650) on met4 for nets: VSS, VDD\r\n[WARNING PDN-0178] Remaining channel (779.3600, 211.9200) - (875.9500, 215.3650) on met4 for nets: VSS, VDD\r\n[ERROR PDN-0179] Unable to repair all channels.\r\nError: pdn.tcl, 5 PDN-0179\r\nElapsed time: 0:01.09[h:]min:sec. CPU time: user 0.99 sys 0.09 (99%). Peak memory: 109768KB.\r\nmake: *** [Makefile:487: results/sky130hd/gcd/base/2_6_floorplan_pdn.odb] Error 1\r\n```"}, {"user": "Missed to add `connect_by_abutment` in `pad.tcl`. Now \r\n```\r\nomponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING PDN-0189] Supply pin DRN_HVC of instance u_vzz_0 is not connected to any net.\r\n[WARNING PDN-0189] Supply pin SRC_BDY_HVC of instance u_vzz_0 is not connected to any net.\r\n[WARNING PDN-0189] Supply pin DRN_HVC of instance u_v18_0 is not connected to any net.\r\n[WARNING PDN-0189] Supply pin SRC_BDY_HVC of instance u_v18_0 is not connected to any net.\r\n[INFO PDN-0001] Inserting grid: grid\r\n[WARNING PDN-0178] Remaining channel (535.1000, 211.9200) - (631.6900, 215.3650) on met4 for nets: VSS, VDD\r\n[WARNING PDN-0178] Remaining channel (779.3600, 211.9200) - (875.9500, 215.3650) on met4 for nets: VSS, VDD\r\n[ERROR PDN-0179] Unable to repair all channels.\r\nError: pdn.tcl, 5 PDN-0179\r\nElapsed time: 0:01.08[h:]min:sec. CPU time: user 1.00 sys 0.08 (99%). Peak memory: 109768KB.\r\nmake: *** [Makefile:487: results/sky130hd/gcd/base/2_6_floorplan_pdn.odb] Error 1\r\n```"}, {"assistant": "The bondpads are not aligned properly, so they stick into the design area.\r\n\r\n![bumps](https://github.com/The-OpenROAD-Project/OpenROAD/assets/46405338/397a745d-6190-4151-8214-ba7ade693c2a)\r\n"}, {"user": "@gadfort \r\nI have removed `-offset`, now it is passed pdn stage. But fail at global placement.\r\n```\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[ERROR GRT-0042] Pin clk does not have geometries in a valid routing layer.\r\nError: global_place.tcl, 51 GRT-0042\r\nElapsed time: 0:16.40[h:]min:sec. CPU time: user 16.28 sys 0.11 (99%). Peak memory: 154780KB.\r\nmake: *** [Makefile:529: results/sky130hd/gcd/base/3_3_place_gp.odb] Error 1\r\n```\r\n[global_place_gcd_sky130hd_base_2023-06-21_05-34.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11813827/global_place_gcd_sky130hd_base_2023-06-21_05-34.tar.gz)\r\n"}, {"assistant": "Have you looked at this pin? The message could be better."}, {"user": "![Screenshot from 2023-06-22 11-24-46](https://github.com/The-OpenROAD-Project/OpenROAD/assets/85787913/ff2b4fa9-eea6-4922-8999-0c822c313746)\r\nHere is the close view of clk pin."}, {"assistant": "I don't know where the pin is in that image. What layer(s) are the pin shapes on?"}, {"user": "If you run latest test case able to view in gui. It's highlights in all layer if I disable layer by layer."}, {"assistant": "It looks to me like clk has no shapes:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/77cabfed-dc36-4b25-9136-4b3facd7a8b9)\r\n"}, {"assistant": "```\r\nPINS 54 ;\r\n - clk + NET clk + DIRECTION INPUT + USE SIGNAL ;\r\n```\r\nconfirms it"}, {"user": "> ```\r\n> PINS 54 ;\r\n> - clk + NET clk + DIRECTION INPUT + USE SIGNAL ;\r\n> ```\r\n> \r\n> confirms it\r\n\r\nAll other `PINS` too same format:\r\n```\r\nPINS 54 ;\r\n - clk + NET clk + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[0] + NET req_msg[0] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[10] + NET req_msg[10] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[11] + NET req_msg[11] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[12] + NET req_msg[12] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[13] + NET req_msg[13] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[14] + NET req_msg[14] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[15] + NET req_msg[15] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[16] + NET req_msg[16] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[17] + NET req_msg[17] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[18] + NET req_msg[18] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[19] + NET req_msg[19] + DIRECTION INPUT + USE SIGNAL ;\r\n - req_msg[1] + NET req_msg[1] + DIRECTION INPUT + USE SIGNAL ;\r\n```\r\nWhere it should get updated?"}, {"assistant": "I don't really know this design. It seems these signals should be going through the pads."}, {"user": "> I don't really know this design. It seems these signals should be going through the pads.\n\nI meant asking something we need to update our flow script to support chip level connections?\n\nIt is GCD example "}, {"assistant": "I suspect it is a configuration or netlist issue rather than a flow one."}, {"user": "Test case:\r\n```\r\n[ERROR GRT-0042] Pin clk does not have geometries in a valid routing layer.\r\nError: global_place.tcl, 51 GRT-0042\r\nElapsed time: 3:39.42[h:]min:sec. CPU time: user 218.83 sys 0.55 (99%). Peak memory: 704336KB.\r\nmake: *** [Makefile:538: results/sky130hd/gcd/base/3_3_place_gp.odb] Error 1\r\n```\r\n[global_place_gcd_sky130hd_base_2023-06-28_11-15.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11893509/global_place_gcd_sky130hd_base_2023-06-28_11-15.tar.gz)\r\n"}, {"user": "@maliberty \r\n`FOOTPRINT_TCL` called in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/4315c6cea124a86a1bcbe69c08dcbf6a2c587514/flow/scripts/floorplan.tcl#L64\r\n\r\nIn `3_3_place_gp.log` getting following warnings\r\n```\r\nOpenROAD v2.0-9070-gbb341ffb9 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 460 2720\r\n[INFO GPL-0004] CoreAreaLxLy: 210220 212160\r\n[INFO GPL-0005] CoreAreaUxUy: 1669800 1667360\r\n[WARNING GPL-0001] clk toplevel port is not placed!\r\n Replace will regard clk is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[0] toplevel port is not placed!\r\n Replace will regard req_msg[0] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[10] toplevel port is not placed!\r\n Replace will regard req_msg[10] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[11] toplevel port is not placed!\r\n Replace will regard req_msg[11] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[12] toplevel port is not placed!\r\n Replace will regard req_msg[12] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[13] toplevel port is not placed!\r\n Replace will regard req_msg[13] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[14] toplevel port is not placed!\r\n Replace will regard req_msg[14] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[15] toplevel port is not placed!\r\n Replace will regard req_msg[15] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[16] toplevel port is not placed!\r\n Replace will regard req_msg[16] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[17] toplevel port is not placed!\r\n Replace will regard req_msg[17] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[18] toplevel port is not placed!\r\n Replace will regard req_msg[18] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[19] toplevel port is not placed!\r\n Replace will regard req_msg[19] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[1] toplevel port is not placed!\r\n Replace will regard req_msg[1] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[20] toplevel port is not placed!\r\n Replace will regard req_msg[20] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[21] toplevel port is not placed!\r\n Replace will regard req_msg[21] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[22] toplevel port is not placed!\r\n Replace will regard req_msg[22] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[23] toplevel port is not placed!\r\n Replace will regard req_msg[23] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[24] toplevel port is not placed!\r\n Replace will regard req_msg[24] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[25] toplevel port is not placed!\r\n Replace will regard req_msg[25] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[26] toplevel port is not placed!\r\n Replace will regard req_msg[26] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[27] toplevel port is not placed!\r\n Replace will regard req_msg[27] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[28] toplevel port is not placed!\r\n Replace will regard req_msg[28] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[29] toplevel port is not placed!\r\n Replace will regard req_msg[29] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[2] toplevel port is not placed!\r\n Replace will regard req_msg[2] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[30] toplevel port is not placed!\r\n Replace will regard req_msg[30] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[31] toplevel port is not placed!\r\n Replace will regard req_msg[31] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[3] toplevel port is not placed!\r\n Replace will regard req_msg[3] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[4] toplevel port is not placed!\r\n Replace will regard req_msg[4] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[5] toplevel port is not placed!\r\n Replace will regard req_msg[5] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[6] toplevel port is not placed!\r\n Replace will regard req_msg[6] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[7] toplevel port is not placed!\r\n Replace will regard req_msg[7] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[8] toplevel port is not placed!\r\n Replace will regard req_msg[8] is placed in (0, 0)\r\n[WARNING GPL-0001] req_msg[9] toplevel port is not placed!\r\n Replace will regard req_msg[9] is placed in (0, 0)\r\n[WARNING GPL-0001] req_rdy toplevel port is not placed!\r\n Replace will regard req_rdy is placed in (0, 0)\r\n```\r\nThat means IO's are not placed. Then it fails\r\n```\r\n[ERROR GRT-0042] Pin clk does not have geometries in a valid routing layer.\r\nError: global_place.tcl, 51 GRT-0042\r\nElapsed time: 3:47.54[h:]min:sec. CPU time: user 226.55 sys 0.60 (99%). Peak memory: 704204KB.\r\n```\r\nWe need to call pin placement along with `FOOTPRINT_TCL` or move after https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/io_placement_random.tcl will resolve `[ERROR GRT-0042] Pin clk does not have geometries in a valid routing layer.`\r\n"}, {"assistant": "I don't think you will want to run pin-placement as that will not correctly handle the port IOs. Something looks to have gone wrong when placing the bond pads which should have created the bpins and marked these signals as special. Is it possible that the pads are not actually correctly connected to the circuit?"}, {"user": "@gadfort \r\nI don't know where I'm doing mistake. Created `gcd_pad.tcl` for gcd. Source files here: \r\n[gcd_pad.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12014674/gcd_pad.zip)\r\n\r\n\r\nSteps to run the flow:\r\n```\r\n1. unzip gcd_pad.zip `\r\n2. cd OpenROAD-flow-scripts/flow\r\n3. move `gcd_pad` inside `designs/sky130hd/\r\n4. make DESIGN_CONFIG=./designs/sky130hd/gcd_pad/config.mk\r\n```\r\nIt will reproduce the error.\r\nFixing this issue will be a good example for IO pad based connections. \r\n@maliberty fyi"}, {"user": "@gadfort \r\nHave you had chance to look into this design?"}, {"user": "@gadfort \r\nCan you help me to complete this test case?\r\nI found the issue starting from synthesis stage itself. The netlist not have all IO's as per source RTL.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/pad/test/skywater130_coyote_tc/coyote_tc.v how this netlist generated?\r\nMaybe the detailed steps on synthesis helps to replicate the same for gcd design. The `ios.v` I've used format from [here](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/designs/sky130hd/coyote_tc/ios.v) not generating valid netlist with all IO's."}, {"user": "Able to pass coyote_tc design for IO pad placement. coyote_tc is the main focus. So closing this issue."}]} +{"num": 3494, "messages": [{"user": "### Describe the bug\n\n[ERROR GRT-0183] Net net767: heap underflow during 3D maze routing.\r\n\r\nfrom https://jenkins.openroad.tools/job/OpenLane-Public/2142/execution/node/469/log/\n\n### Expected Behavior\n\nNo error in grt\n\n### Environment\n\n```shell\nSee jenkins\n```\n\n\n### To Reproduce\n\nSee jenkins CI\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@eder-matheus feel free to reassign if needed"}, {"assistant": "I ran `aes_core` with latest commit of OpenLane locally. Not failed during GRT. Maybe it is specific to CI."}, {"user": "I believe the CI uses the head of OR master. I'm guessing that OL is behind that."}, {"assistant": "Any update on this ?"}]} +{"num": 3495, "messages": [{"user": "### Describe the bug\n\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\ncd OpenROAD-flow-scripts\r\nsudo ./setup.sh\r\n./build_openroad.sh --local\r\nthe error occured\r\n\r\nin the tools/yosys/Makefile, i set ABCREV = default, the error still there\n\n### Expected Behavior\n\ni want to build openroad-flow-scripts locally\n\n### Environment\n\n```shell\ncentos\n```\n\n\n### To Reproduce\n\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\ncd OpenROAD-flow-scripts\r\nsudo ./setup.sh\r\n./build_openroad.sh --local\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Centos 07 or 08?\r\n\r\nAttach `build_openroad.log`"}, {"user": "CentOS 07\r\nbuild_openroad.log:\r\n\r\n[root@bogon OpenROAD-flow-scripts]# ./build_openroad.sh\r\n[INFO FLW-0027] Saving logs to build_openroad.log\r\n[INFO FLW-0028] ./build_openroad.sh \r\n[INFO FLW-0002] Updating git submodules.\r\n[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.\r\n[INFO FLW-0017] Compiling Yosys.\r\nmake: Entering directory '/root/Desktop/OpenRoad/OpenROAD-flow-scripts/tools/yosys'\r\n[ 0%] Building kernel/version_bc027b2.cc\r\n[ 12%] Building frontends/verilog/verilog_lexer.o\r\n[ 12%] Building abc/abc-bc027b2\r\nDebug: ABCREV=bc027b2\r\n[ 22%] Building passes/techmap/filterlib.o\r\n[ 22%] Building yosys-smtbmc\r\nERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\nmake: *** [Makefile:747: abc/abc-bc027b2] Error 1\r\nmake: *** Waiting for unfinished jobs....\r\nfrontends/verilog/verilog_lexer.l: In function \u2018int frontend_verilog_yylex(FRONTEND_VERILOG_YYSTYPE*, FRONTEND_VERILOG_YYLTYPE*)\u2019:\r\nfrontends/verilog/verilog_lexer.cc:199:36: warning: comparison of integer expressions of different signedness: \u2018int\u2019 and \u2018yy_size_t\u2019 {aka \u2018long unsigned int\u2019} [-Wsign-compare]\r\n for ( yyl = n; yyl < frontend_verilog_yyleng; ++yyl )\\\r\n ~~~~^~~~~~~~~~~~~~~~~~~~~~~~~\r\nfrontends/verilog/verilog_lexer.cc:210:9: note: in expansion of macro \u2018YY_LESS_LINENO\u2019\r\n YY_LESS_LINENO(yyless_macro_arg);\\\r\n ^~~~~~~~~~~~~~\r\nfrontends/verilog/verilog_lexer.l:413:3: note: in expansion of macro \u2018yyless\u2019\r\n yyless(len);\r\n ^~~~~~\r\nmake: Leaving directory '/root/Desktop/OpenRoad/OpenROAD-flow-scripts/tools/yosys'\r\n"}, {"assistant": "> ### Environment\r\n> ```shell\r\n> centos\r\n> ```\r\n\r\nPlease follow the Issue template, the `./etc/Env.sh` info is important. \r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/9001905/7bc9dd02-c423-424c-9d6f-0118a9e0e832)\r\n\r\nAlso, provide the log files for the first compile, without the modification:\r\n\r\n> in the tools/yosys/Makefile, i set ABCREV = default, the error still there\r\n"}, {"user": "[root@bogon OpenROAD]# ./etc/Env.sh \r\nUnknown git commit, this is not a git repository.\r\n\r\nPlease make sure that you have the latest code changes and add the commit\r\nhash in the description.\r\n\r\nkernel: Linux 3.10.0-1160.90.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\n./etc/Env.sh: line 57: cmake: command not found\r\n[root@bogon OpenROAD]# cat build/openroad-env.log \r\nUnknown git commit, this is not a git repository.\r\n\r\nPlease make sure that you have the latest code changes and add the commit\r\nhash in the description.\r\n\r\nkernel: Linux 3.10.0-1160.90.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\n./etc/Env.sh: line 57: cmake: command not found\r\n"}, {"assistant": "Don't use root login for installation "}, {"assistant": "If you run as you said:\r\n\r\n> ```\r\n> git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\n> cd OpenROAD-flow-scripts\r\n> sudo ./setup.sh\r\n> ./build_openroad.sh --local\r\n> ```\r\n\r\nThen the message below is not possible since you will be in a freshly cloned git repo.\r\n\r\n> Unknown git commit, this is not a git repository.\r\n\r\nThe root user and the message above lead me to think that you running this inside a docker image. Is this a correct assumption? Are you trying to compile again from inside docker?"}, {"user": "> Don't use root login for installation\r\n\r\ni use another user, but the error still there:\r\n\r\n[autumn@bogon OpenROAD-flow-scripts]$ ./build_openroad.sh --local\r\n[INFO FLW-0027] Saving logs to build_openroad.log\r\n[INFO FLW-0028] ./build_openroad.sh --local\r\n[INFO FLW-0002] Updating git submodules.\r\n[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.\r\n[INFO FLW-0017] Compiling Yosys.\r\nmake: Entering directory '/home/autumn/Desktop/OpenROAD-flow-scripts/tools/yosys'\r\n[ 0%] Building abc/abc-bafd2a7\r\nUnknown option: -C\r\nusage: git [--version] [--help] [-c name=value]\r\n [--exec-path[=]] [--html-path] [--man-path] [--info-path]\r\n [-p|--paginate|--no-pager] [--no-replace-objects] [--bare]\r\n [--git-dir=] [--work-tree=] [--namespace=]\r\n []\r\nERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\nmake: *** [Makefile:745: abc/abc-bafd2a7] Error 1\r\nmake: Leaving directory '/home/autumn/Desktop/OpenROAD-flow-scripts/tools/yosys'\r\n\r\nvi tools/yosys/Makefile \r\n\r\nABCREV = default\r\n\r\nthe default is setup in Makefile"}, {"assistant": "Please start with a fresh clone and provide the log files for the first compile, **without** any modification to ORFS or Yosys. \r\n\r\nIn other words, re-run all the following as a regular user from scratch\r\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\ncd OpenROAD-flow-scripts\r\nsudo ./setup.sh\r\n./build_openroad.sh --local\r\n```\r\nUpload `build_openroad.log` if there are any errors."}, {"assistant": "Am also facing similar error while building ORFS docker based with WSL/Ubuntu22.04\r\n```\r\n#8 946.1 Unknown option: -C\r\n#8 946.1 usage: git [--version] [--help] [-c name=value]\r\n#8 946.1 [--exec-path[=]] [--html-path] [--man-path] [--info-path]\r\n#8 946.1 [-p|--paginate|--no-pager] [--no-replace-objects] [--bare]\r\n#8 946.1 [--git-dir=] [--work-tree=] [--namespace=]\r\n#8 946.1 []\r\n#8 946.1 ERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\n#8 946.1 make: *** [Makefile:745: abc/abc-bafd2a7] Error 1\r\n#8 946.1 make: Leaving directory '/OpenROAD-flow-scripts/tools/yosys'\r\n#8 ERROR: process \"/bin/sh -c ./build_openroad.sh --no_init --local --threads ${numThreads}\" did not complete successfully: exit code: 2\r\n------\r\n > [4/4] RUN ./build_openroad.sh --no_init --local --threads 1:\r\n#8 946.1 [100%] Building abc/abc-bafd2a7\r\n#8 946.1 Unknown option: -C\r\n#8 946.1 usage: git [--version] [--help] [-c name=value]\r\n#8 946.1 [--exec-path[=]] [--html-path] [--man-path] [--info-path]\r\n#8 946.1 [-p|--paginate|--no-pager] [--no-replace-objects] [--bare]\r\n#8 946.1 [--git-dir=] [--work-tree=] [--namespace=]\r\n#8 946.1 []\r\n#8 946.1 ERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\n#8 946.1 make: *** [Makefile:745: abc/abc-bafd2a7] Error 1\r\n#8 946.1 make: Leaving directory '/OpenROAD-flow-scripts/tools/yosys'\r\n------\r\nDockerfile.builder:14\r\n--------------------\r\n 12 | WORKDIR /OpenROAD-flow-scripts\r\n 13 |\r\n 14 | >>> RUN ./build_openroad.sh --no_init --local --threads ${numThreads}\r\n 15 |\r\n--------------------\r\nERROR: failed to solve: process \"/bin/sh -c ./build_openroad.sh --no_init --local --threads ${numThreads}\" did not complete successfully: exit code: 2\r\n```\r\n\r\nLog attached: \r\n[build_openroad.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/11892785/build_openroad.log)\r\n"}, {"assistant": "@KingKeshav2002, please clean your workspace or use a clean clone.\r\n```\r\ngit clean -xdf\r\ngit submodule foreach git clean -xdf\r\ngit submodule foreach git checkout .\r\ngit submodule update --init\r\n./build_openroad.sh\r\n```"}, {"assistant": "> Am also facing similar error while building ORFS docker based with WSL/Ubuntu22.04\r\n> \r\n> ```\r\n> #8 946.1 Unknown option: -C\r\n> #8 946.1 usage: git [--version] [--help] [-c name=value]\r\n> #8 946.1 [--exec-path[=]] [--html-path] [--man-path] [--info-path]\r\n> #8 946.1 [-p|--paginate|--no-pager] [--no-replace-objects] [--bare]\r\n> #8 946.1 [--git-dir=] [--work-tree=] [--namespace=]\r\n> #8 946.1 []\r\n> #8 946.1 ERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\n> #8 946.1 make: *** [Makefile:745: abc/abc-bafd2a7] Error 1\r\n> #8 946.1 make: Leaving directory '/OpenROAD-flow-scripts/tools/yosys'\r\n> #8 ERROR: process \"/bin/sh -c ./build_openroad.sh --no_init --local --threads ${numThreads}\" did not complete successfully: exit code: 2\r\n> ------\r\n> > [4/4] RUN ./build_openroad.sh --no_init --local --threads 1:\r\n> #8 946.1 [100%] Building abc/abc-bafd2a7\r\n> #8 946.1 Unknown option: -C\r\n> #8 946.1 usage: git [--version] [--help] [-c name=value]\r\n> #8 946.1 [--exec-path[=]] [--html-path] [--man-path] [--info-path]\r\n> #8 946.1 [-p|--paginate|--no-pager] [--no-replace-objects] [--bare]\r\n> #8 946.1 [--git-dir=] [--work-tree=] [--namespace=]\r\n> #8 946.1 []\r\n> #8 946.1 ERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\n> #8 946.1 make: *** [Makefile:745: abc/abc-bafd2a7] Error 1\r\n> #8 946.1 make: Leaving directory '/OpenROAD-flow-scripts/tools/yosys'\r\n> ------\r\n> Dockerfile.builder:14\r\n> --------------------\r\n> 12 | WORKDIR /OpenROAD-flow-scripts\r\n> 13 |\r\n> 14 | >>> RUN ./build_openroad.sh --no_init --local --threads ${numThreads}\r\n> 15 |\r\n> --------------------\r\n> ERROR: failed to solve: process \"/bin/sh -c ./build_openroad.sh --no_init --local --threads ${numThreads}\" did not complete successfully: exit code: 2\r\n> ```\r\n> \r\n> Log attached: [build_openroad.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/11892785/build_openroad.log)\r\n\r\nMade a fresh clone of ORFS repo and started running `./build_openroad.sh --threads 1` has passed `yosys-abc` build and continuing to build OpenROAD now.\r\n@Simonliudan \r\nCan you do fresh installation and build with the `--threads 1` option?"}]} +{"num": 3499, "messages": [{"user": "### Description\n\nIn the liberty generated write_timing_model the area is not recorded. It should be included\n\n### Suggested Solution\n\nWrite the area from odb.\n\n### Additional Context\n\nmock-array can be used as a test case where Element.lib has no area."}, {"assistant": "I can work on it, if anyone didn't started yet."}]} +{"num": 3502, "messages": [{"user": "### Describe the bug\n\nError `[ERROR ODB-0385] Attempt to create instance with duplicate name: clkbuf_0_core_clk` during CTS. \n\n### Expected Behavior\n\nMight be an input fault, but it is not clear how to proceed. \n\n### OpenROAD Environment\n\n```shell\nGit commit: 7586d03811ca43cc2615077afb0f4821c81f82e2\r\nkernel: Linux 6.1.0-1014-oem\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8804-g7586d0381\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/karim/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\") \r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - SCMake Warning at src/CMakeLists.txt:233 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nuccess\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- Found Threads: TRUE \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 2609cc89eeb02a06ceca1890624d4fa1932d930b\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.t1cjyZ4lrR\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v6.1.0-1014-oem\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.6 (OK)\r\nContainer Engine: docker v20.10.22 (OK)\r\nOpenLane Git Version: aeef4d0572747b4fca9ff3910a3c35869c6384dc\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\naeef4d05 2023-06-22T12:53:38+03:00 Enhance IR Drop Analysis (#1864) - Kareem Farid - (HEAD -> master, origin/master, origin/HEAD)\r\n064db850 2023-06-21T13:04:22+03:00 set MAGTYPE to mag for lef write as maglef is and abstract view and (#1859) - Kareem Farid - (tag: 2023.06.22)\r\nd7059209 2023-06-21T09:14:52+03:00 Fix OpenROAD CI (#1868) - Vitor Bandeira - ()\r\n---\r\nGit Remotes\r\n\r\ndonn\thttps://github.com/donn/openlane.git (fetch)\r\ndonn\thttps://github.com/donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\n```\n\n\n### To Reproduce\n\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11833076/issue_reproducible.tar.gz)\r\n\n\n### Relevant log output\n\n```shell\n[WARNING CTS-0045] Creating fake entries in the LUT.\r\n Level 1\r\n Direction: Horizontal\r\n Sinks per sub-region: 2\r\n Sub-region size: 0.2654 X 0.4185\r\n[INFO CTS-0034] Segment length (rounded): 1.\r\n Key: 142164 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 1 delay: 1\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 3.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 1:2..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 1:2..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 1:1, 2:1..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 11:1, 13:1..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 2:1, 5:1..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 8:1, 12:1..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 3:1, 4:1..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[ERROR ODB-0385] Attempt to create instance with duplicate name: clkbuf_0_core_clk\r\nError: cts.tcl, 59 ODB-0385\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nOpenLane is not using the latest OpenROAD. However, when ran outside OpenLane, using the latest OpenROAD, same error appeared. "}, {"assistant": "My guess is the generated clock\r\n```\r\ncreate_generated_clock -name core_clk -source [get_pins {_208_/X}] -divide_by 1 [get_ports {core_clk}]\r\n```\r\nbut I'll take a look."}, {"assistant": "I don't understand what you are trying to do with your generated clock statement. It seems odd..."}]} +{"num": 3511, "messages": [{"user": "### Description\r\n\r\nUse https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1174, then create a `flow/settings.mk` file:\r\n\r\n```\r\nexport DESIGN_CONFIG=designs/asap7/mock-array/config.mk\r\n# Routing nearly by abutment parameters\r\nexport MOCK_ARRAY_TABLE = 8 8 4 4 4.11111111111111 4.11111111111111\r\nexport MACRO_BLOCKAGE_HALO = 0\r\n```\r\n\r\nMake this modification:\r\n\r\n```\r\n$ git diff\r\ndiff --git a/flow/designs/asap7/mock-array/config.py b/flow/designs/asap7/mock-array/config.py\r\nindex 85d61ab3..cfff892b 100644\r\n--- a/flow/designs/asap7/mock-array/config.py\r\n+++ b/flow/designs/asap7/mock-array/config.py\r\n@@ -16,7 +16,7 @@ placement_grid_y = 2.16\r\n #\r\n # pitch_x, pitch_y - placement pitch for each Element, in x and y direction\r\n # specification are in unit of placement grid\r\n-rows, cols, ce_x, ce_y, pitch_x, pitch_y = map(int, os.environ.get(\"MOCK_ARRAY_TABLE\").split())\r\n+rows, cols, ce_x, ce_y, pitch_x, pitch_y = map(float, os.environ.get(\"MOCK_ARRAY_TABLE\").split())\r\n \r\n # Element size is set to multiple of placement grid above\r\n ce_width = ce_x * placement_grid_x\r\n```\r\n\r\nRun make and look at the DRC errors:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/b7800f0c-6627-44e3-8b0f-c4f3a5a22c77)\r\n\r\nZooming in. If this was just routed as a straight vertical wire, then my hope is that the DRC errors would go away. I don't know if that is possible, but when closing the gap such that there is routing by abutment in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1176, it does appear to be possible to connect these pins.\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/05ecf638-c076-4f0a-9471-c09e825ce18d)\r\n\r\n\r\n\r\n### Suggested Solution\r\n\r\nNaively: use straight wires in tiny gaps in detailed routing.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "It would be better if the pins were on the routing tracks:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/24e896a6-dfd3-47fb-8019-e1a4e01f4ec1)\r\n"}, {"user": "> It would be better if the pins were on the routing tracks:\r\n\r\nWhat is the positioning constraint?\r\n\r\nI am using manual placement and I have tried to place the macro \"on the right grid'?"}, {"assistant": "The centerline of the pin should fall on the track ideally so that that are wire on track will go directly into the pin. Generally the router tries to use the tracks."}, {"user": "> The centerline of the pin should fall on the track ideally so that that are wire on track will go directly into the pin. Generally the router tries to use the tracks.\r\n\r\nI've been using multiples of 2.16 (45 * 0.048), isn't that correct?\r\n\r\nWhat else should I use?\r\n"}, {"assistant": "I'm guessing that 4.11111111111111 doesn't align to the tracks. Where did that value come from?"}, {"assistant": "15.359 is not a multiple of 2.16:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/f019225e-e023-42b8-b685-e4fb28eff23f)\r\n"}, {"assistant": "I'm not sure but in your diff you changed int to float. You never want to use floats for location calculations due to rounding issues. Integer DBUs are a best practice."}, {"assistant": "I think this is more of an issue in the construction of the array than in the router."}, {"user": "> I think this is more of an issue in the construction of the array than in the router.\r\n\r\nAgreed.\r\n\r\nI will rework mock array to use snaller gaps and integers and check that pins are centered."}]} +{"num": 3518, "messages": [{"user": "### Describe the bug\n\nIt seems like lemon source can't be downloaded.\r\n\r\n--2023-06-23 20:36:52-- (try: 2) http://lemon.cs.elte.hu/pub/sources/lemon-1.3.1.tar.gz\r\nConnecting to lemon.cs.elte.hu (lemon.cs.elte.hu)|157.181.227.195|:80... failed: Connection timed out.\r\nRetrying.\r\n\r\nI have tried, http://lemon.cs.elte.hu is not reachable. \r\n\r\nIs there a place I can get this from? I was successful in installing it last time (few months ago), but don't remember where did I get lemon from.\r\n\r\nI do have one lemon installed but that is the wrong one.\n\n### Expected Behavior\n\nlemon installation fails.\n\n### Environment\n\n```shell\nUbuntu 20.04 running under Microsoft WSL2\n```\n\n\n### To Reproduce\n\nwget http://lemon.cs.elte.hu/pub/sources/lemon-1.3.1.tar.gz\r\n\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "This was recently resolved by putting a copy in GH. Please update and try again."}, {"assistant": "@msingh9 \r\n\r\nTry below commands: \r\n```\r\ngit checkout master\r\ngit pull\r\ngit submodule update --init --recursive\r\n./etc/Build.sh --clean\r\n```"}, {"user": "Thank you @vijayank88 and @maliberty . I am past that issue. I saw this warning. while installation. I am not sure if this will be problem, if so, how do I fix this.\r\n\r\nCMake Warning at src/CMakeLists.txt:276 (add_executable):\r\n Cannot generate a safe runtime search path for target openroad because\r\n files in some directories may conflict with libraries in implicit\r\n directories:\r\n\r\n runtime library [libtcl8.6.so] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /root/miniconda3/lib\r\n runtime library [libz.so.1] in /usr/lib/x86_64-linux-gnu may be hidden by files in:\r\n /root/miniconda3/lib\r\n runtime library [libgomp.so.1] in /usr/lib/gcc/x86_64-linux-gnu/11 may be hidden by files in:\r\n /root/miniconda3/lib\r\n\r\n Some of these libraries may not be found correctly.\r\n"}, {"assistant": "@msingh9, could you try again but disable any python environment before trying to build?\r\n\r\n---\r\n\r\n@luarss, I think we should add a warning about not using python environments during the build process."}, {"user": "Okay, It failed so I am trying again with disabling python environment.\r\n\r\n[ 78%] Linking CXX static library libabc.a\r\ngmake[1]: *** [CMakeFiles/Makefile2:5017: src/gui/CMakeFiles/gui.dir/all] Error 2\r\n[ 78%] Built target libabc\r\ngmake: *** [Makefile:146: all] Error 2\r\n"}, {"user": "I don't see that warning once python env is disabled. But now I hit another error.\r\n\r\n/mnt/c/Users/msingh/OpenROAD/src/gui/src/staGui.cpp: In member function \u2018virtual void gui::TimingConeRenderer::drawObjects(gui::Painter&)\u2019:\r\n/mnt/c/Users/msingh/OpenROAD/src/gui/src/staGui.cpp:825:30: error: \u2018class sta::Unit\u2019 has no member named \u2018scaleAbbreviation\u2019; did you mean \u2018scaleAbreviation\u2019?\r\n 825 | = std::string(units->scaleAbbreviation()) + units->suffix();\r\n | ^~~~~~~~~~~~~~~~~\r\n | scaleAbreviation\r\n[ 77%] Building CXX object src/gui/CMakeFiles/gui.dir/src/ruler.cpp.o\r\ngmake[2]: *** [src/gui/CMakeFiles/gui.dir/build.make:386: src/gui/CMakeFiles/gui.dir/src/staGui.cpp.o] Error 1\r\ngmake[2]: *** Waiting for unfinished jobs....\r\ngmake[1]: *** [CMakeFiles/Makefile2:5017: src/gui/CMakeFiles/gui.dir/all] Error 2\r\ngmake: *** [Makefile:146: all] Error 2\r\n"}, {"assistant": "Most likely your sta submodule is out of date, try: git submodule update --init --recursive"}, {"user": "I am hitting another error now. \r\n\r\n"}, {"user": "/mnt/c/Users/msingh/OpenROAD/src/odb/test/cpp/TestAbstractLef.cc:13:10: fatal error: gmock/gmock.h: No such file or directory\r\n 13 | #include \"gmock/gmock.h\"\r\n | ^~~~~~~~~~~~~~~\r\ncompilation terminated.\r\ngmake[2]: *** [src/odb/test/cpp/CMakeFiles/OdbGTests.dir/build.make:90: src/odb/test/cpp/CMakeFiles/OdbGTests.dir/TestAbstractLef.cc.o] Error 1\r\ngmake[2]: *** Waiting for unfinished jobs....\r\n[ 71%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/aig/ivy/ivyRwr.c.o\r\n[ 71%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extCoords.cpp.o\r\n[ 71%] Building CXX object src/rcx/src/CMakeFiles/rcx_lib.dir/extFlow.cpp.o\r\n[ 71%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/aig/ivy/ivySeq.c.o\r\n[ 71%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/aig/ivy/ivyShow.c.o\r\ngmake[1]: *** [CMakeFiles/Makefile2:2303: src/odb/test/cpp/CMakeFiles/OdbGTests.dir/all] Error 2\r\n"}, {"assistant": "Can you point the link which you're using for the installation instruction?\r\nHow many cores your machine have?\r\n @msingh9 "}, {"assistant": "> 13 | #include \"gmock/gmock.h\"\r\n> | ^~~~~~~~~~~~~~~\r\n\r\n@msingh9, please update to the latest version. This error has been addressed in #3514 "}, {"user": "I am using https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/docs/user/Build.md.\r\n./etc/Build.sh -clean \r\nI pulled everything from master branch at https://github.com/The-OpenROAD-Project/OpenROAD.git.\r\nTrying again.."}, {"user": "Just an update, not sure if this is the problem at my end or anyone having the same issue. Now it is getting stuck at around 67% of installation. I am running under windows WSL. \r\n\r\n[ 67%] Building CXX object src/rcx/src/CMakeFiles/rcxUnitTest.dir/__/test/ext2dBoxTest.cpp.o\r\n[ 67%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/aig/saig/saigSynch.c.o\r\n[ 67%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/aig/saig/saigTempor.c.o\r\n[ 67%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/aig/saig/saigTrans.c.o\r\n[ 67%] Building CXX object third-party/abc/CMakeFiles/libabc.dir/src/aig/saig/saigWnd.c.o\r\n...\r\n"}, {"assistant": "@msingh9 could you upload the `build/openroad_build.log` file? Also, depending on your HW it might be a good idea to try with `-threads=1`"}, {"user": "It worked finally. Issue was on my machine in mounting some network drive which will time out and cause the hang. After disabling that mount, I was successfully able to build it. Only strange thing was the Openroad executable was not automatically copied to install directory, rather I had to manually copy from build/src/openroad. I was building this under WSL2 on windows."}, {"assistant": "@msingh9, that's great news. If you followed any guide/tutorial on the web, it could be beneficial to post it here so that if someone else gets a similar problem, we have documented it."}, {"user": "This is how I installed on my Windows-10 pro machine.\r\n1) Install WSL2 and Ubuntu 22.04 [Don't remember the detailed steps, but I followed this https://learn.microsoft.com/en-us/windows/wsl/install]\r\n2. I got hit by this issue (https://github.com/MicrosoftDocs/WSL/issues/547) which I resolved by issuing command 3/4 below.\r\n3. C:\\Windows\\system32> wsl --shutdown\r\n4. C:\\Windows\\system32> netsh winsock reset\r\n5. Now Ubuntu runs. You may have to reset and run those command with admin privilege. \r\n6. I had external network drive mounted in ubuntu, which make my installation hang. So, make sure unmount any network drive. you can mount them later.\r\n7. Then just follow installation steps from https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/docs/user/Build.md.\r\n8. I did \r\n git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\n cd OpenROAD\r\n mkdir build\r\n cd build\r\n cmake ..\r\n make\r\n11. openroad executable is in build/src/ directory. I copied to /usr/local/bin manually.\r\n12. \r\nThis is it."}, {"assistant": "\"make install\" will copy it"}]} +{"num": 3520, "messages": [{"user": "### Describe the bug\n\nSince https://github.com/The-OpenROAD-Project/OpenROAD/pull/3508 I'm unable to build normally due a missing header file that does not appear to get installed by the DependencyInstaller nor is automatically captured by the cmake googletest install.\r\n\r\n'''\r\n[ 25%] Built target rcx_lib\r\n[ 27%] Building CXX object src/dpl/test/CMakeFiles/dpl_test.dir/dpl_test.cc.o\r\n[ 27%] Swig compile ../common/odb.i for python\r\n[ 27%] Building CXX object src/odb/test/cpp/CMakeFiles/TestMaster.dir/TestMaster.cpp.o\r\n/home/pgadfort/OpenROAD/src/odb/test/cpp/TestAbstractLef.cc:13:10: fatal error: gmock/gmock.h: No such file or directory\r\n 13 | #include \"gmock/gmock.h\"\r\n | ^~~~~~~~~~~~~~~\r\n'''\r\n\r\nIf I turn off building with tests I'm able to \n\n### Expected Behavior\n\nOpenROAD builds correctly\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.25.0\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8836-g0ea657420\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found GTest: /home/pgadfort/.local/lib/cmake/GTest/GTestConfig.cmake (found version \"1.13.0\")\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- Found Threads: TRUE\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 2609cc89eeb02a06ceca1890624d4fa1932d930b\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/pgadfort/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\")\r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.e0UGkVHmmO\n```\n\n\n### To Reproduce\n\ncmake ..\r\nmake\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@QuantamHD FYI, I'm not sure why this is happening since it looks like googletest is present"}, {"assistant": "-- Found GTest: /home/pgadfort/.local/lib/cmake/GTest/GTestConfig.cmake\r\n\r\nThat looks like it might be picking up the system gtest.\r\n\r\nCan you try to remove this line https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/third-party/gtest/CMakeLists.txt#L1 \r\n\r\nThen remove your build folder and try again?\r\n"}, {"user": "@QuantamHD that seems to work for me. Is this related to: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3514?\r\nIt seems like the solution would be to not use the system gtest if that can cause problems."}, {"assistant": "Yeah I think we need to remove it. It seems like a lot of the OS packages are incomplete or broken."}]} +{"num": 3529, "messages": [{"user": "### Describe the bug\r\n\r\n`skywater130_coyote_tc.tcl` unit test fails\r\n```\r\n[ERROR PAD-0001] Unable to place u_rocc_mem_req_data_i.u_io\\[13\\].u_in (sky130_fd_io__top_gpiov2) at (5121.000um, 319.665um) - (5201.000um, 519.665um) as it overlaps with u_rocc_mem_req_data_i.u_io\\[12\\].u_in (sky130_fd_io__top_gpiov2)\r\nError: skywater130_coyote_tc.tcl, 109 PAD-0001\r\nwhile evaluating {source skywater130_coyote_tc.tcl }\r\n```\r\n`skywater130_caravel.tcl` unit test fails\r\n```\r\n[ERROR PAD-0001] Unable to place brk_vccd_0 (sky130_ef_io__disconnect_vccd_slice_5um) at (3384.000um, 355.000um) - (3389.000um, 552.965um) as it overlaps with brk_vdda_0 (sky130_ef_io__disconnect_vdda_slice_5um)\r\nError: skywater130_caravel.tcl, 131 PAD-0001\r\n```\r\n\r\n### Expected Behavior\r\n\r\nPass unit test\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 8aabb2ef822bacd5a6710da4b9c269e72f345ea3\r\nkernel: Linux 3.10.0-1160.90.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 8.3.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /opt/rh/devtoolset-8/root/usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-8870-g8aabb2ef8\r\n-- System name: Linux\r\n-- Compiler: GNU 8.3.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 8.3.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /opt/rh/devtoolset-8/root/usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/local/bin/python3.9 (found version \"3.9.6\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL readline library: /usr/lib64/libtclreadline.so\r\n-- TCL readline header: /usr/include\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version \"1.72.0\") \r\n-- boost: 1.72.0\r\n-- Found Python3: /usr/local/include/python3.9 (found version \"3.9.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.7\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.0.4\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.5\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 2609cc89eeb02a06ceca1890624d4fa1932d930b\r\n-- System name: Linux\r\n-- Compiler: GNU 8.3.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/local/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/vijayan/OPENROAD_FLOW/OpenROAD_FLOW/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found re2: /opt/or-tools/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.3.90\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.72.0/BoostConfig.cmake (found version \"1.72.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.7p3HmdcqUN\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n```\r\ncd src/pad/test\r\nopenroad\r\nsource skywater130_caravel.tcl\r\n```\r\n\r\n```\r\nsource skywater130_coyote_tc.tcl\r\n```\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nRefer `describe bug` section\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I see no error with 8aabb2ef822bacd5a6710da4b9c269e72f345ea3 (head).\r\n\r\nAlso\r\n```\r\nCMake Error at CMakeLists.txt:112 (message):\r\n Insufficient gcc version. Found 4.8.5, but require >= 8.3.0.\r\n```\r\nlooks concerning. 4.8.5 is ancient."}, {"user": "\r\nRecently this GCP machine got restarted. I need to use \r\n```\r\n# to enable gcc-8\r\nsource /opt/rh/devtoolset-8/enable\r\n# or to enable clang-7\r\nsource /opt/rh/llvm-toolset-7.0/enable\r\n```\r\nNow it is working fine."}, {"assistant": "I put those in my .bashrc."}]} +{"num": 3532, "messages": [{"user": "### Describe the bug\n\nDFT is missing the `messages(dtf)` call in CMake and has duplicated IDs.\r\nMPL is repeating messages across `mpl` and `mpl2`; should this be allowed?\r\nRSZ and dbSta have ORD messages, is this expected? Also, there are duplicate IDs.\n\n### Expected Behavior\n\nDo not repeat message IDs.\n\n### Environment\n\n```shell\nLatest commit.\n```\n\n\n### To Reproduce\n\n```\r\ncd src && ../etc/find_messages.py\r\n```\r\n\r\nAny reason for not running this instead of running it on each folder separately?\r\n\n\n### Relevant log output\n\n```shell\n> cd src && ../etc/find_messages.py > messages.txt\r\nError: DFT 0004 used 2 times\r\nError: DFT 0005 used 2 times\r\nError: MPL 0002 used 2 times\r\nError: MPL 0003 used 2 times\r\nError: MPL 0004 used 2 times\r\nError: MPL 0005 used 2 times\r\nError: MPL 0009 used 2 times\r\nError: ORD 0101 used 2 times\r\nError: ORD 0102 used 2 times\r\nError: ORD 0105 used 2 times\r\nError: ORD 1009 used 2 times\r\nError: ORD 1010 used 2 times\r\nError: ORD 1011 used 2 times\r\nError: ORD 1012 used 2 times\r\nError: ORD 1013 used 2 times\r\n\r\n\r\nDFT 0004 ClockDomain.cpp:52 Clock mix config requested is not supported\r\nDFT 0004 ScanCellFactory.cpp:137 Cell '{:s}' doesn't have a valid clock connected. Can't create a scan cell\r\nDFT 0005 ScanCellFactory.cpp:146 Cell '{:s}' is not a scan cell. Can't use it for scan architect\r\nDFT 0005 dft.i:80 Requested clock mixing config not valid\r\n\r\nORD 0101 Design.cc:80 Only one of the options -incremental and -floorplan_init can be set at a time\r\nORD 0101 Resizer.tcl:48 Use -layer or -via but not both.\r\nORD 0102 Design.cc:86 No technology has been read.\r\nORD 0102 Resizer.tcl:57 layer $layer_name not found.\r\nORD 0105 OpenRoad.cc:473 Can't open {}\r\nORD 0105 Resizer.tcl:98 via $layer_name not found.\r\nORD 1009 OpenRoad.tcl:193 -name is missing.\r\nORD 1009 dbReadVerilog.tcl:51 missing top_cell_name argument and no current_design.\r\nORD 1010 OpenRoad.tcl:196 Either -net or -all_clocks need to be defined.\r\nORD 1010 dbReadVerilog.tcl:58 no technology has been read.\r\nORD 1011 OpenRoad.tcl:202 No NDR named ${ndrName} found.\r\nORD 1011 dbReadVerilog.cc:425 LEF master {} has no liberty cell.\r\nORD 1012 OpenRoad.tcl:208 No net named ${netName} found.\r\nORD 1012 dbReadVerilog.cc:431 Liberty cell {} has no LEF master.\r\nORD 1013 OpenRoad.tcl:155 -masters is required.\r\nORD 1013 dbReadVerilog.cc:293 instance {} LEF master {} not found.\r\n\r\nMPL 0002 MacroPlacer.cpp:164 Some instances do not have Liberty models. TritonMP will place macros without connection information.\r\nMPL 0002 hier_rtlmp.cpp:867 Floorplan has not been initialized? Pin location error for {}.\r\nMPL 0003 MacroPlacer.cpp:808 Macro {} is unplaced, use global_placement to get an initial placement before macro placement.\r\nMPL 0003 hier_rtlmp.cpp:2577 There are no valid tilings for mixed cluster: {}\r\nMPL 0004 MacroPlacer.cpp:829 No macros found.\r\nMPL 0004 hier_rtlmp.cpp:2800 This no valid tilings for hard macro cluser: {}\r\nMPL 0005 MacroPlacer.cpp:833 Found {} macros.\r\nMPL 0005 hier_rtlmp.cpp:3549 [MultiLevelMacroPlacement] Failed on cluster: {}\r\nMPL 0009 MacroPlacer.cpp:196 {} pins {}.\r\nMPL 0009 hier_rtlmp.cpp:4989 Fail !!! Bus planning error !!!\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@fgaray please fix the DFT messages issue.\r\n\r\nI think we can leave mpl/mpl2 as we plan to remove mpl. This is further motivation to finish it off.\r\n\r\nrsz's ORD are in set_layer_rc which points to the fact that it doesn't really belong in rsz. Its not rsz specific info and grt also relies on it.\r\n\r\ndbSta is always a bit odd as its not really its own module nor a part of sta. Maybe its time to give it its own code. Until then ORD is as good as anything. Using STA might conflict with sta/"}, {"user": "> I think we can leave mpl/mpl2 as we plan to remove mpl. This is further motivation to finish it off.\r\n\r\nSounds good.\r\n\r\n> rsz's ORD are in set_layer_rc which points to the fact that it doesn't really belong in rsz. Its not rsz specific info and grt also relies on it.\r\n\r\nShould we move this to `utl` maybe?\r\n\r\n> dbSta is always a bit odd as its not really its own module nor a part of sta. Maybe its time to give it its own code. Until then ORD is as good as anything. Using STA might conflict with sta/\r\n\r\nShould we just update the IDs at least?\r\n\r\nLet me know if I should start any PRs. Also, should we be running the following at least on CI to capture similar issues?\r\n```\r\ncd src && ../etc/find_messages.py\r\n```"}, {"assistant": ">Should we move this to utl maybe?\r\n\r\nI think odb would be better as it avoids the need for loading setRC.tcl in various places through the flow.\r\n> Should we just update the IDs at least?\r\n\r\nYes, please make a PR for this.\r\n\r\n> cd src && ../etc/find_messages.py\r\n\r\nSeems like a good check in the CI"}]} +{"num": 3535, "messages": [{"user": "### Describe the bug\n\nThis is a follow up to https://github.com/The-OpenROAD-Project/OpenROAD/issues/1888.\r\nThat was closed because ispd19_test6 now routes without any violations, in May 2022 is left one macro pin violation.\r\n\r\nWhen I checked that it routes without error, I noticed that the runtime went from 30min in May 2022 to 50min in June 2023.\r\n\r\n[INFO DRT-0267] cpu time = 03:30:03, elapsed time = 00:29:38, memory = 6991.02 (MB), peak = 7285.50 (MB)\r\n[INFO DRT-0267] cpu time = 05:53:41, elapsed time = 00:50:15, memory = 7384.67 (MB), peak = 8466.27 (MB)\r\n\r\nSame guides, very similar wire length.\r\n\r\nIn 2022 reading route guides was an option of detailed_route, in 2023 it's a separate command.\r\nThe only difference I noticed is that the GCELLGRID is different, despite none of the inputs changed.\r\n\r\nMay2022: [INFO DRT-0176] GCELLGRID X -100 DO 905 STEP 3000 ;\r\nJune2023: [INFO DRT-0176] GCELLGRID X 0 DO 905 STEP 3000 ;\n\n### Expected Behavior\n\nIt might be worth looking into what caused runtime to go from 30min to 50min.\r\n[log_read_guide_Jun2023.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11874144/log_read_guide_Jun2023.gz)\r\n[log_read_guide_May2022.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11874145/log_read_guide_May2022.gz)\r\n\n\n### Environment\n\n```shell\nJun26 2023 OR built locally on M1 16GB MacOS\n```\n\n\n### To Reproduce\n\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\nset_thread_count 8\r\nread_guides ispd19_test6/ispd19_test6.guide \r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "2022: 20min route guides\r\n2022: 30min global route\r\n\r\n2023: 50min route guides\r\n2023: 47min global route using\r\nset_routing_layers -signal Metal2-Metal9 -clock Metal2-Metal9\r\nset_global_routing_layer_adjustment Metal2-Metal9 0.5\r\n"}, {"assistant": "I'll take a look but it is less compelling as the old result was worse than the new result (1 drv vs 0)."}, {"user": "I completely understand the \"correct routing first\" mantra.\r\n\r\nBut ... a 2.5x runtime increase to fix a single macro pin access ?\r\nThat's way too much of a runtime increase not to call it a regression.\r\n\r\nWhomever is working on the detail router should at least be aware of what the additional runtime is used for. \r\nAfter all it's the same technology and the same route guides that went from 20min to 50min."}, {"assistant": "With a one year window and a moderately long running test case, it will take a lot to isolate this to any particular change. \r\n\r\nIt possible that the old results were actually more incorrect if run through a signoff DRC engine rather than relying on the drt reported errors (we find and fix missed violations occasionally). "}, {"assistant": "I ran just iter0 and looked at the drcs. I find in one area in the old build (22b748a8b9add36813675bcbbcf482b6d264499e) it looks reasonable:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/1d171f61-ba97-43d2-9ae0-7fe0404342d4)\r\n\r\nwhile in the new build (3b67f4e01529f6add7eccb20e952fa1140ff972e) there are a lot of weird violations and jogs:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/28b5e3e3-8127-4f10-80f4-c2d4b983249f)\r\n\r\n@osamahammad21 any ideas?"}, {"assistant": "Should we grab a linux perf profile of both? Then run a diff?"}, {"assistant": "I think it is more algorithmic. I notice the oddities are periodic and it nearly matches the worker size which makes me think it is a boundary condition.\r\n\r\nThis diff could be related\r\n```\r\nMay2022: [INFO DRT-0176] GCELLGRID X -100 DO 905 STEP 3000 ;\r\nJune2023: [INFO DRT-0176] GCELLGRID X 0 DO 905 STEP 3000 ;\r\n```"}, {"assistant": "The worker is 10.5um:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/03677356-662f-4848-af33-71b823e42073)\r\n"}, {"assistant": "net100630 is a good example of the problem\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/487532ca-b471-4bac-9e21-15dce8233d44)\r\n"}, {"assistant": "The problem originates in GlobalRouter::updateDbCongestionFromGuides() which we only call when guides are read from a file. This will affect ispd benchmarks but not ORFS flows. That said we'll fix it."}, {"assistant": "Thanks for noticing this issue and keeping such old results to compare against."}, {"user": "a) I'm not sure why this issue got closed, see attached log from today Jun 28 after #3545 \r\n```\r\nMay 2022: 20min\r\nJun 2023: 50min\r\nJun 28th 2023: 61min\r\n\r\n[INFO DRT-0267] cpu time = 03:30:03, elapsed time = 00:29:38, memory = 6991.02 (MB), peak = 7285.50 (MB)\r\n[INFO DRT-0267] cpu time = 05:53:41, elapsed time = 00:50:15, memory = 7384.67 (MB), peak = 8466.27 (MB)\r\n[INFO DRT-0267] cpu time = 03:47:36, elapsed time = 01:01:44, memory = 7581.58 (MB), peak = 10308.50 (MB)\r\n```\r\ninterestingly, the cpu time is only 15min larger then in May2022 at the cost of 3GB more memory.\r\nbut the elapsed time as of today is 2x (same M1 16GB, nothing else running on it)\r\n\r\nb) do you track runtime for CI ?\r\n\r\nThis runtime increase for an ispd19 test case that run as part of your CI shouldn't come as a surprise, right ?\r\n\r\nc) how do these runtimes compare against CI or your developer machines ?\r\n\r\n[log_read_guide_Jun28_2023.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11900001/log_read_guide_Jun28_2023.gz)\r\n"}, {"assistant": "It ran in time comparable to the old result for me. I no longer see the GCELLGRID difference. Is that resolved in your run?\r\n\r\nRuntime CIs tend to be very noise due to varying machine loads. We don't have one but perhaps we need one for gross errors.\r\n\r\nI run on a 40 cpu machine and see 2023\r\n```\r\n[INFO DRT-0267] cpu time = 05:20:09, elapsed time = 00:15:21, memory = 6519.86 (\r\nMB), peak = 13292.98 (MB)\r\n```\r\n2022\r\n```\r\n[INFO DRT-0267] cpu time = 04:36:15, elapsed time = 00:14:27, memory = 7435.48 (\r\nMB), peak = 11682.37 (MB)\r\n```"}, {"user": "```\r\n[INFO DRT-0176] GCELLGRID X -100 DO 905 STEP 3000 ; \r\n```\r\nSame GELLGRID for May 2022 and Jun28 2023, so I'm running with the fix for read_guides.\r\nThe May 2022 runtime was read_guide 20min (and global_route 30min).\r\n\r\nQ: What was your 40cpu runtime before #3545 ?\r\n\r\nI ran again, now I'm getting 70min vs 61min this morning. See attached log.\r\nQuite some variation for elapsed time during the 1st-3rd iteration, cpu time seems close.\r\nI didn't expect that kind of variation on a single user machine, especially since a diff shows that the # of violations are identical between both runs.\r\n\r\nBut 61min or 70min runtimes are still a lot longer then the 30min in 2022 (same M1 16GB, updated MacOS).\r\nSince for you May2022 vs Jun28 2023 shows similar runtimes, I guess we'll call it a day.\r\n```\r\ngunzip -c log_read_guide_Jun28_2023.gz| grep \"cpu tim\"\r\n[INFO DRT-0267] cpu time = 00:03:20, elapsed time = 00:00:28, memory = 2870.88 (MB), peak = 3251.95 (MB)\r\n[INFO DRT-0267] cpu time = 00:04:02, elapsed time = 00:00:50, memory = 4577.19 (MB), peak = 7858.73 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 5164.72 (MB), peak = 7858.73 (MB)\r\n[INFO DRT-0267] cpu time = 01:32:06, elapsed time = 00:25:31, memory = 8547.78 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 01:13:28, elapsed time = 00:12:04, memory = 8093.03 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 00:46:28, elapsed time = 00:21:40, memory = 8508.78 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 00:15:04, elapsed time = 00:02:16, memory = 7538.39 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:24, elapsed time = 00:00:07, memory = 7581.31 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 7581.45 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:00, memory = 7581.52 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 7581.56 (MB), peak = 10308.50 (MB)\r\n[INFO DRT-0267] cpu time = 03:47:36, elapsed time = 01:01:44, memory = 7581.58 (MB), peak = 10308.50 (MB)\r\n\r\ngunzip -c log_read_guide_Jun28_2023_second_run.gz| grep \"cpu tim\" \r\n[INFO DRT-0267] cpu time = 00:03:03, elapsed time = 00:00:25, memory = 2871.16 (MB), peak = 3246.22 (MB)\r\n[INFO DRT-0267] cpu time = 00:03:41, elapsed time = 00:00:45, memory = 4717.86 (MB), peak = 7948.14 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 5391.06 (MB), peak = 7948.14 (MB)\r\n[INFO DRT-0267] cpu time = 01:25:52, elapsed time = 00:18:12, memory = 8039.05 (MB), peak = 8938.23 (MB)\r\n[INFO DRT-0267] cpu time = 01:09:07, elapsed time = 00:42:37, memory = 7382.05 (MB), peak = 8983.64 (MB)\r\n[INFO DRT-0267] cpu time = 00:48:51, elapsed time = 00:06:51, memory = 8021.25 (MB), peak = 8983.64 (MB)\r\n[INFO DRT-0267] cpu time = 00:15:44, elapsed time = 00:02:21, memory = 7642.38 (MB), peak = 8983.64 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:24, elapsed time = 00:00:07, memory = 7704.36 (MB), peak = 8983.64 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 7704.55 (MB), peak = 8983.64 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:00, memory = 7704.59 (MB), peak = 8983.64 (MB)\r\n[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 7704.62 (MB), peak = 8983.64 (MB)\r\n[INFO DRT-0267] cpu time = 03:40:04, elapsed time = 01:10:13, memory = 7704.64 (MB), peak = 8983.64 (MB)\r\n```\r\n[log_read_guide_Jun28_2023_second_run.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11900461/log_read_guide_Jun28_2023_second_run.gz)\r\n"}, {"assistant": "@stefanottili This might sound like a joke, but it isn't, can you try to put your macbook in your fridge/freezer, and see if that has any effect on the runtime? Your macbook might be thermal throttling, and OpenROAD may be doing something today that affects that. "}, {"assistant": "I don't have the log but it was more in line with your >2X slowdown"}, {"user": "```\r\n[INFO DRT-0267] cpu time = 04:20:38, elapsed time = 00:37:03, memory = 7934.11 (MB), peak = 9004.53 (MB)\r\n```\r\nWow, I didn't expect this. No need to use the fridge (even though the machine got \"hand warm\")\r\n\r\nAfter a shutdown/reboot, I got 37min elapsed time despite 4h20 vs 3h40 cpu time.\r\nThe # of violations per iteration are identical.\r\nSo in conclusion: don't trust any runtimes on M1 16GB MacBookAir MacOS Ventura without a reboot !\r\n\r\n[log_read_guide_Jun28_2023_third_run.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11900690/log_read_guide_Jun28_2023_third_run.gz)\r\n"}]} +{"num": 3536, "messages": [{"user": "### Describe the bug\n\nThis is a follow up to https://github.com/The-OpenROAD-Project/OpenROAD/issues/1888.\r\n\r\nI've been running ispd19_test6 without read_guides and saw the runtime go from 50min to 2h45.\r\nMatt suggested to run without M1, but that reduced the runtime only from 2h45 to 2h15.\r\n\r\nglobal_route seems to severely overestimate the routing resources available for the std cell area.\r\n\r\nM1/M2 power pins, M1 vertical, M2 horizontal, even at the low std cell utilization, there is really no M1/M2 routing resource available, only room for pin access.\r\nCredits to the detailed router to be able to resolve this, but at the expense of 2.5x times the runtime compared to the route guides (from a different tool).\r\n \r\nIt should also be noted that in May 2022 with route guides, the drt finished in 30min.\r\nSee https://github.com/The-OpenROAD-Project/OpenROAD/issues/3535\n\n### Expected Behavior\n\nglobal_route should block all of M1 and nearly all of M2 for anything but pin access.\r\n\r\n[log_groute_M1M9_Jun2023.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11874874/log_groute_M1M9_Jun2023.gz)\r\n[log_groute_M2M9_Jun2023.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11874875/log_groute_M2M9_Jun2023.gz)\r\n\n\n### Environment\n\n```shell\nJun 2023 OR build --local on M1 16GB MacOS\n```\n\n\n### To Reproduce\n\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\nset_thread_count 8\r\n#set_routing_layers -signal Metal2-Metal9 -clock Metal2-Metal9\r\n#read_guides ispd19_test6/ispd19_test6.guide \r\nglobal_route -verbose\r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Can you try \r\n```\r\nset_global_routing_layer_adjustment Metal2-Metal9 0.5\r\n```\r\nto see if it helps?"}, {"user": "That did help, 2h50 -> 0h46 !!\r\n[INFO DRT-0267] cpu time = 05:19:51, elapsed time = 00:46:20, memory = 6077.78 (MB), peak = 7793.19 (MB)\r\n\r\n[log_groute_M2M9_0.5_Jun2023.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11876386/log_groute_M2M9_0.5_Jun2023.gz)\r\n\r\nRevisiting the May log's:\r\nback then runtimes were 20min with route guides and 30min with global route, both leaving one macro pin access violation.\r\n\r\nfeature request: it would be very helpful if the log would contain the CMD's that were issued.\r\nAnd since they're currently not, here's the OR script I ran\r\n\r\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\nset_thread_count 8\r\nset_routing_layers -signal Metal2-Metal9 -clock Metal2-Metal9\r\nset_global_routing_layer_adjustment Metal2-Metal9 0.5\r\nglobal_route -verbose\r\n#read_guides ispd19_test6/ispd19_test6.guide \r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\nwrite_db route.db\r\n\r\n"}, {"assistant": "Would you check if the fix for #3535 resolves this?"}, {"user": "Why would it ? I'm not using read_guides.\r\nYou stated in https://github.com/The-OpenROAD-Project/OpenROAD/issues/3535\r\n\r\n> The problem originates in GlobalRouter::updateDbCongestionFromGuides() which we only call when guides are read from a file. This will affect ispd benchmarks but not ORFS flows. \r\n\r\nThis bug report has nothing to do with reading route guides.\r\n\r\nIt's all about groute being way too optimistic about the routing resources in M1/M2 in light of std cells with horizontal M1/M2 power pins, vertical M1 routing and M2 really only useful for short wires connecting M3 veritical down to the M1 pins."}, {"user": "Triggered by the (now closed) discussion about estimated congestion and the recent RUDY improvements https://github.com/The-OpenROAD-Project/OpenROAD/discussions/4372 I've revisited this testcase using OpenROAD v2.0-11827-geee2ebfc8 from Jan 12 2024.\r\n\r\nLooking at the routing congestions, the 3rd party route guide congestion and the groute congestion look very similar, great.\r\n\r\nBut the fundamental problem of groute has not yet been addressed.\r\nIt completely ignores the fact that for a design with std cells with M2 power rails, there are no routing resources in M1 or M2.\r\n\r\nAll the routing should be done in M3/4 and M5/6.\r\n```\r\n[INFO GRT-0096] Final congestion report:\r\nLayer Resource Demand Usage (%) Max H / Max V / Total Overflow\r\n---------------------------------------------------------------------------------------\r\nMetal1 4325352 327236 7.57% 0 / 0 / 0\r\nMetal2 6412381 1684746 26.27% 0 / 0 / 0\r\nMetal3 7418859 1459620 19.67% 0 / 0 / 0\r\nMetal4 7423216 490442 6.61% 0 / 0 / 0\r\nMetal5 4807823 158454 3.30% 0 / 0 / 0\r\nMetal6 3316645 87470 2.64% 0 / 0 / 0\r\nMetal7 5444456 119793 2.20% 0 / 0 / 0\r\nMetal8 5578624 31906 0.57% 0 / 0 / 0\r\nMetal9 799092 2027 0.25% 0 / 0 / 0\r\n---------------------------------------------------------------------------------------\r\nTotal 45526448 4361694 9.58% 0 / 0 / 0\r\n```\r\nLet's see whether detail route will be able to route the design as it did before, but I have the suspicion that it's wasting a lot of time to go up in the metal stack compared to the initial guides.\r\n\r\nI also get a lot of the following warnings ...\r\n```\r\n[INFO DRT-0169] Post process guides.\r\n..\r\n[WARNING DRT-0225] pin1 867 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0225] net135949 6 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0225] net145841 6 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0225] net38809 3 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0225] net4417 1 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0225] net10953 2 pin not visited, fall back to feedthrough mode.\r\n..\r\n[WARNING DRT-0225] message limit reached, this message will no longer print\r\n```\r\n...\r\n"}]} +{"num": 3539, "messages": [{"user": "### Description\n\nRevisiting a year old log files, it would have been very helpful to have the CMD's that were issued to be in the log file.\n\n### Suggested Solution\n\nwrite CMD's into the log file \n\n### Additional Context\n\n_No response_"}, {"assistant": "To implement: tcl's trace can be used to call into the logger"}, {"assistant": "I'd like to work on this."}, {"assistant": "Great!"}, {"assistant": "This is taking me a bit longer than I expected. Commands are entered directly to the embedded TCL interpreter, so the logger would need to be invoked within the interpreter. I have considered two approaches to do this.\r\n\r\nOne way would be to create a custom alias for the TCL eval command using Tcl_CreateAlias(). This way we can assign our own C++ function to this alias for logging the command name, then call the original eval so the command is still executed. A potential problem is that eval might be called by the interpreter in more cases then with our custom commands, so we may need to check that we are only logging the commands we want. We would need to maintain a list of all commands we want to log and search this list before logging any command from our new function. \r\n\r\nAnother way would be to create a new TCL function that is called before any of our custom commands. Perhaps there is a way to prepend this function to each TCL command upon initialization. I am not sure how this would work yet, but maybe we could change sta/etc/TclEncode.tcl to include this.\r\n\r\nI don't know how feasible either approach is, but the first one sounds simpler so I plan to try that first. If I can actually get the arguments of eval to be logged, then I will see if there is extra output that we don't need."}, {"assistant": "Just want to jump in that the other thing we could try is to add this functionality to TCL. It wouldn't immediately be available to users on standard distros, but the pre-built binaries could take advantage of it.\r\n\r\nhttps://core.tcl-lang.org/tcl/wiki?name=Index"}, {"assistant": "@QuantamHD the tcl_trace functionality is there. I briefly looked at this (adding a trace to utl) and it's possible to get the commands, but depending on the entry method (gui vs non-gui, cmd arg file vs command line) changes how the tracing worked so I didn't go any further. I don't think there is anything to add to Tcl, I think the solution is to fix how we interface with Tcl to make this possible. "}, {"assistant": "I am too busy to work on this right now unfortunately.\r\n\r\nI need to prioritize some other projects for a few months. If this still hasn't been resolved by then I can take another look at it."}]} +{"num": 3542, "messages": [{"user": "### Describe the bug\n\nTrying to use Pre-built binareis of OpenROAD from here: https://github.com/Precision-Innovations/OpenROAD/releases/tag/2023-06-26\r\n\r\nLast build I tried is \r\n```\r\n$ openroad -gui\r\nOpenROAD 7134b2d295137b28b4b9f3f02acbdcb18391a6cf \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n```\r\nOpenROAD GUI is working fine and tested with Ubuntu 20.04\r\n\r\nWith latest release `openroad_2.0_amd64-ubuntu22.04-2023-06-26.deb`\r\nOpenROAD GUI is crashing for both Ubuntu 20.04/22.04\r\n```\r\nOpenROAD b024b1f964dc6b656abc84e5fa65d10827f5ba33\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> gui::show\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000056416CA51F5B in openroad\r\n 1# 0x00007FA09B527520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# 0x00007FA09CEECEE5 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n 3# 0x00007FA09CEF5D73 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n 4# 0x00007FA09CEF989B in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n 5# 0x00007FA09CF241DA in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n 6# gui::MainWindow::MainWindow(QWidget*) in openroad\r\n 7# gui::startGui(int&, char*, Tcl_Interp, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n 8# 0x000056416CED2658 in openroad\r\n 9# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# 0x00007FA09F3C0028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# ord::tclAppInit(Tcl_Interp*) in openroad\r\n14# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# main in openroad\r\n16# 0x00007FA09B50ED90 in /lib/x86_64-linux-gnu/libc.so.6\r\n17# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n18# _start in openroad\r\nSegmentation fault\r\n```\n\n### Expected Behavior\n\nGUI should open smoothly.\n\n### Environment\n\n```shell\nUbuntu 20.04/22.04 \r\nTried with WSL based Ubuntu also.\n```\n\n\n### To Reproduce\n\nhttps://github.com/Precision-Innovations/OpenROAD/releases/tag/2023-06-26 download ubuntu 22.04 deb package and install with\r\n```\r\nsudo apt install openroad_2.0_amd64-ubuntu22.04-2023-06-26.deb \r\nopenroad -gui\r\n```\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@vijayank88 reports:\r\n```\r\nbuild_openroad.sh --local working fine. Only prebuilt binaries crash and final gds not generated\r\n```\r\n@vvbandeira any ideas?"}]} +{"num": 3549, "messages": [{"user": "### Describe the bug\r\n\r\nI use OpenLane + OpenROAD to create a pad ring using GF180MCU pad cells. \r\nWhen getting into phase \"26\", the detailed router gets invoked.\r\nThe detail router errors out with pin access errors on the pad openings of the IO cells (pin PAD), that are also defined as the modules main inputs / outputs.\r\n\r\n[ERROR DRT-0073] No access point for pad_din/PAD.\r\n[ERROR DRT-0073] No access point for pad_dout/PAD.\r\n[ERROR DRT-0073] No access point for pad_clk/PAD.\r\nError: droute.tcl, 38 DRT-0073\r\nchild process exited abnormally\r\n\r\nA work-around is to mark the nets connected to the PAD pin and module inputs/outputs as \"special net\".\r\n\r\nIf these nets are marked \"special nets\", the detailed router continues without pin access errors.\r\n\r\nPlease find attached a test case (ipython notebook) that can be executed in Google Colab to reproduce the issue:\r\n\r\n[OpenRoad__invalid_pin_access_check_on_pads.ipynb.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11915597/OpenRoad__invalid_pin_access_check_on_pads.ipynb.gz)\r\n\r\n/cc @proppy\r\n/cc @dhaentz1\r\n\r\n### Expected Behavior\r\n\r\nThe detailed router should know by the pin type and by its connection to the module I/Os, that it should not try to route to the PAD pins, even w/o explicitly marking the nets connecting the PAD pins as \"special nets\".\r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\nEnv.sh seems not to be included in my litex-hub package - please use the testcase notebook to extract data.\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\nenv.py seems to be broken in my setup - please use the testcase notebook to extract data.\r\n```\r\n\r\n\r\n### To Reproduce\r\n[_build.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11915647/_build.zip)\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\n[STEP 26]\r\n[INFO]: Running Detailed Routing (log: runs/RUN_2023.06.29_11.44.31/logs/routing/26-detailed.log)...\r\n[ERROR]: during executing openroad script /content/eda/share/openlane/scripts/openroad/droute.tcl\r\n[ERROR]: Log: runs/RUN_2023.06.29_11.44.31/logs/routing/26-detailed.log\r\n[ERROR]: Last 10 lines:\r\n[INFO DRT-0033] Via3 shape region query size = 2760.\r\n[INFO DRT-0033] Metal4 shape region query size = 25741.\r\n[INFO DRT-0033] Via4 shape region query size = 1506.\r\n[INFO DRT-0033] Metal5 shape region query size = 13841.\r\n[INFO DRT-0165] Start pin access.\r\n[ERROR DRT-0073] No access point for pad_din/PAD.\r\n[ERROR DRT-0073] No access point for pad_dout/PAD.\r\n[ERROR DRT-0073] No access point for pad_clk/PAD.\r\nError: droute.tcl, 38 DRT-0073\r\nchild process exited abnormally\r\n\r\n[ERROR]: Creating issue reproducible...\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@chaufe \r\nAttach `issue_reproducible` generated by the flow. \r\nGiven attachment is not valid test case."}, {"assistant": "What pin type are you seeing on the PAD pin? I'm looking at a proprietary PDK that just uses SIGNAL. If you use ICeWall it should mark these nets as special."}, {"user": "@vijayank88 \r\nI've added a _build.zip containing data created by or_issue.py \r\n\r\n@maliberty \r\nThe pin type is \"SIGNAL\", the pad is `CLASS PAD AREAIO`, but using `CLASS PAD INPUT` and `CLASS PAD INOUT` would give the same result. The I/O pads have already been instantiated in RTL, and only been placed using `place_pad`.\r\n\r\n"}, {"assistant": "There is no reason for the router to assume it can't route a signal net to pad."}, {"user": "How would the router know if it should try to route to the pad or not?\r\nAs a user, I would expected the pad to be only connected by a bond wire, or - if available - by a redistribution layer to a bump.\r\nIs there a special requirement OpenROAD has on the pad class or pin type so it would not try to route to the pad?"}, {"assistant": "In general, unless a net is\r\n\r\n1. Already routed\r\n2. Marked as special\r\n3. A supply net (power / ground)\r\n\r\nThe detail router will try to route it. The RDL router should be run before the detail router to ensure any pad / RDL connections have already been routed. I believe that RDL nets are generally marked as special, anyways."}, {"user": "@rovinski I agree with your points.\r\nBut I'm still wondering:\r\n1. Why does the detail router stop when checking pin access? It could as well leave the net unconnected but report it as a routing error / open net.\r\n2. Why does the net connected to the pad opening not get marked as a special net? (i.e. when calling `place_pad`) Of cause, this can be done by user code, but for most users switching from proprietary tool flows, this is at least a very unexpected task to do.\r\n\r\nI also think the issue has been introduced earlier this year. Earlier versions of OpenROAD would not complain about pin access on the pad opening even if the IO pad cells were just placed using the macro config file."}, {"assistant": "> Why does the detail router stop when checking pin access?\r\n\r\nI'm not sure; I believe it's mostly a design decision. The latter parts of the routing algorithm depend on having valid pin access points and so not finding any valid access points will lead to more trouble down the line.\r\n\r\n> It could as well leave the net unconnected but report it as a routing error / open net.\r\n\r\nI think we mostly abide by the philosophy of \"fail early\". It is a common problem among EDA tools that errors are lost in the noise, and then your job runs for hours or days without any hope that it will complete successfully. OR tries to detect problems early and error if there is no hope of a good solution.\r\n\r\n> Why does the net connected to the pad opening not get marked as a special net?\r\n\r\nI am not well-versed in the OpenLane flow, I mostly work with ORFS. Does OpenLane perform RDL routing for gf180? The PAD tool I believe marks the RDL nets as special nets. Otherwise, the nets have to be marked as special by the user. OR will never try to infer a net as special; it has to be explicit. I believe this is consistent with commercial tools."}, {"user": "For my case, this is an IO cell with bond pad, so no RDL routing is involved. \r\n(An I think there is no RDL in that PDK / metal stack)\r\n\r\nI still believe setting this net to _special_ should be done inside `place_pad`.\r\nKnowing the instance to be of `CLASS PAD AREA_IO`, having one of its pins being a top-level port, and being placed by `place_pad` (plus maybe a pin size check) should suffice for a good guess to decide if a net needs to be a special net that is not intended to be routed by drt.\r\n\r\nIn my experience with commercial tools, I never had to mark IO nets _special_."}, {"assistant": "Is the pin directly on the pad and the pad is either contained by the I/O cell or connected by abutment? If so I can see where the problem might come in. The pin access check is done before any abutment checks, so the pin access check doesn't know whether a pin is abutting and it can ignore the pin access check.\r\n\r\n> In my experience with commercial tools, I never had to mark IO nets _special_.\r\n\r\nIn all likelihood the nets did get handled by the detailed router but sometimes the tools are smart enough to recognize abutted pins. I have seen cases in both of the major P&R tools where abutted I/O pins were handled well and not well when forgetting to annotate them as special nets."}, {"assistant": "place_bondpad will mark the associated net as special. place_pad does not as it is simply placing the IO. Are you using the former?"}, {"user": "I'm using place_pad, as the bond pad is already part of the I/O cells for gf180mcuC I/Os. \r\nThere is no extra bond pad master inside gf180mcuC I/O IP."}, {"assistant": "@gadfort any thoughts on this case with an integrated bond pad?"}, {"assistant": "@maliberty probably needs a modification to ICeWall to indicate that the wirebond cells are integrated. I can take a look, but a runnable testcase would be very helpful. Are the ones attached working?"}, {"assistant": "I haven't tried."}]} +{"num": 3556, "messages": [{"user": "### Describe the bug\n\nI noticed longer runtimes using openroad vs openroad -threads 8 on a 8 core (4+4) M1.\r\n53min, 52min vs 40min, 45min\r\n\r\nThe Activity Monitor shows openroad using 18 threads vs 10\r\n\r\na) openroad by default uses 18 threads, 2 before drt, 2 + 16 = 18 during drt\r\nb) openroad -threads 8 uses 10 threads, 2 before drt, 2 + 8 = 10 during drt\r\n\r\nOpenRoad is reporting to be using 8 threads in both cases.\r\n```\r\nopenroad (Activity Monitor shows 2+16)\r\n\r\n 1 OpenROAD v2.0-8931-g74ada9e7c \r\n 4 [INFO ODB-0222] Reading LEF file: ispd19_test6/ispd19_test6.input.lef.gz\r\n 17 [INFO ODB-0134] Finished DEF file: ispd19_test6/ispd19_test6.input.def.gz \r\n 18 [INFO ORD-0030] Using 8 thread(s).\r\n```\r\n```\r\nopenroad -threads 8 (Activity Monitor shows 2+8)\r\n\r\n 1 OpenROAD v2.0-8931-g74ada9e7c\r\n 4 [INFO ORD-0030] Using 8 thread(s).\r\n 5 [INFO ODB-0222] Reading LEF file: ispd19_test6/ispd19_test6.input.lef.gz\r\n 18 [INFO ODB-0134] Finished DEF file: ispd19_test6/ispd19_test6.input.def.gz\r\n 19 [INFO ORD-0030] Using 8 thread(s).\r\n```\r\n\r\n\n\n### Expected Behavior\n\nuse 8 threads for drt by default on a 8 core cpu, not 16\n\n### Environment\n\n```shell\nJun28 2023 build_openroad.sh --local --latest using Homebrew packages\n```\n\n\n### To Reproduce\n\nrun openroad without/with -threads 8, monitor # of threads used during drt\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "```\r\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\nset_thread_count 8\r\nset_routing_layers -signal Metal2-Metal9 -clock Metal2-Metal9\r\nset_global_routing_layer_adjustment Metal2-Metal9 0.5\r\nglobal_route -verbose\r\n#read_guides ispd19_test6/ispd19_test6.guide \r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\nwrite_db route.db\r\nexit\r\n```\r\n\r\na) openroad ispd19_test6.or -log default\r\nit seems that for \"pin access\" computation openroad uses 8 threads and then adds another 8 for detail route.\r\nHow can one tell whether all 2+16 processes are 'doing something' ?\r\n\r\nb) openroad ispd19_test6.or -threads 8 -log threads\r\nit only uses 2+8 threads total and overall runtime is faster.\r\n"}, {"assistant": "Pin access and detail route are completely separate steps so even if the threads are created, only 8 of them should be active at a given time.\r\n\r\nDRT in general uses OpenMP dynamic scheduling which I believe creates a thread pool and only destroys thread contexts when requested or on exit. I would be only mildly surprised if you see 8 threads being created on top of the 8 already in the thread pool, but I would be very surprised if all 16 threads are trying to be active at the same time. That's not what I see on my machine on the latest master.\r\n\r\nA telltale sign that more threads than CPUs are active is if each thread's CPU utilization is <<~100% while running. Is that what you see?"}, {"user": "I noticed differences in runtime and number of threads reported.\r\n\r\na) running openroad with the set_thread_count 8 command leads to 16 threads and is slower then\r\nb) specifying -threads 8 on the command line, which reports 8 threads in activity monitor.\r\n\r\nQ1) why would using the same script without/with -threads 8 on the command line cause a different number of threads ?\r\nQ2) why would that lead to runtime differences ?\r\n\r\nI cannot tell wether all 16 threads are used during drt.\r\n\r\nDisclaimer with regard to runtimes is that I've seen huge variations on MacBook Air M1 Ventura when running openroad multiple times without a reboot."}, {"assistant": "I'm not really able to replicate this on my machine, it would be helpful if you provided a log or some kind of data which showcases this behavior. It could be as with #3535 that this is a machine or environment-specific issue."}, {"user": "There is nothing in the logs besides runtimes.\r\nThe \u201cactivity monitor\u201d shows nearly 800% cpu usage, ~8gb of memory (of 16gb) and # of threads, either 10 or 18.\r\n\r\n> I'm not really able to replicate this on my machine\r\n\r\nHow many #of threads do you see for a) and b) ?\r\nDoes \u2018top\u2019 give that info or what tool do you use on linux ?\r\n \r\nI was hoping that some other apple silicon user would chime in."}, {"assistant": "If the number of threads is unspecified then we use ```std::thread::hardware_concurrency()``` to set it. What does that return on your system?"}, {"user": "std::thread::hardware_concurrency() returns 8"}]} +{"num": 3563, "messages": [{"user": "### Describe the bug\n\nI have two similar test cases:\r\nA non-clock net is connected to the core side output of an IO pad, and to an input of an SRAM macro. Additionally, the net has fan-out to a set of registers. I have two testcases for OpenROAD to dump core, one within the `resizer.tcl` script, one within the `gpl.tcl` script. Based on the logs, I assume both test cases to have the same root cause, so I'm filing this as one issue only.\r\n\r\n**Testcase 1 (resizer):**\r\nWith only a few registers connected (two flops), the `resizer.tcl` script will dump core during `repairDesign`.\r\n\r\n**Testcase 2 (gpl):**\r\nWith more registers connected (162 flops), even the `gpl.tcl` script will dump core during `repairDesign`.\r\n\r\n**Workaround**\r\nAs a work-around, I have to manually add a buffer after the core-side output of the IO pad cell, and set the net between IO pad cell and buffer as `dont_touch`. OpenROAD will then create more buffers after output of the manually inserted buffer.\r\n\r\nFor both test cases, I have additionally attached an ipython notebook for Google Colab to reproduce the issue.\r\n\r\n/cc @proppy \r\n/cc @dhaentz1\r\n\r\n\r\n\n\n### Expected Behavior\n\nOpenROAD should buffer the net connected to the core-side output of the IO pad.\n\n### OpenROAD Environment\n\n```shell\nEnv.sh seems not to be included in my litex-hub package - please use the testcase notebook to extract data.\n```\n\n\n### OpenLane Environment\n\n```shell\nenv.py seems to be broken in my setup - please use the testcase notebook to extract data.\n```\n\n\n### To Reproduce\n\n**Testcase 1 (resizer):**\r\n[_build.coredump_resizer.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11934005/_build.coredump_resizer.zip)\r\n[OpenRoad__coredump_when_resizing_net_driven_by_pad_and_connected_to_sram_macro.ipynb.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11934022/OpenRoad__coredump_when_resizing_net_driven_by_pad_and_connected_to_sram_macro.ipynb.gz)\r\n\r\n\r\n**Testcase 2 (gpl):**\r\n[_build.coredump_gpl.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11934011/_build.coredump_gpl.zip)\r\n[OpenRoad__coredump_when_global_placing_highfanout_net_driven_by_pad_and_connected_to_sram_macro.ipynb.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11934027/OpenRoad__coredump_when_global_placing_highfanout_net_driven_by_pad_and_connected_to_sram_macro.ipynb.gz)\r\n\n\n### Relevant log output\n\n```shell\n**Testcase 1 (resizer):**\r\n[INFO]: Setting RC values...\r\n[INFO RSZ-0058] Using max wire length 6781um.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000B9BB0B in openroad\r\n 1# 0x00007F7E3FF54090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbNet::getITerms() in openroad\r\n 3# sta::dbNetwork::visitConnectedPins(sta::Net const*, sta::PinVisitor&, sta::NetSet&) const in openroad\r\n 4# sta::Network::connectedPinIterator(sta::Net const*) const in openroad\r\n 5# rsz::RepairDesign::hasInputPort(sta::Net const*) in openroad\r\n 6# rsz::RepairDesign::makeRepeater(char const*, int, int, sta::LibertyCell*, bool, int, sta::Vector&, float&, float&, float&, sta::Net*&, sta::Pin*&, sta::Pin*&) in openroad\r\n 7# rsz::RepairDesign::makeFanoutRepeater(sta::Vector&, sta::Vector&, odb::Rect, odb::Point, bool, bool, int, bool) in openroad\r\n 8# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n 9# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n10# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n11# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n12# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n13# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n14# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n15# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n16# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n17# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n18# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n19# rsz::RepairDesign::repairNet(sta::Net*, sta::Pin const*, sta::Vertex*, bool, bool, bool, int, bool, int&, int&, int&, int&, int&) in openroad\r\n20# rsz::RepairDesign::repairDesign(double, double, double, int&, int&, int&, int&, int&) in openroad\r\n21# rsz::RepairDesign::repairDesign(double, double, double) in openroad\r\n22# 0x0000000000CD5341 in openroad\r\n23# TclNRRunCallbacks in /content/eda/bin/../lib/libtcl8.6.so\r\n24# 0x00007F7E41A741BF in /content/eda/bin/../lib/libtcl8.6.so\r\n25# Tcl_EvalEx in /content/eda/bin/../lib/libtcl8.6.so\r\n26# Tcl_Eval in /content/eda/bin/../lib/libtcl8.6.so\r\n27# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n28# ord::tclAppInit(Tcl_Interp*) in openroad\r\n29# Tcl_MainEx in /content/eda/bin/../lib/libtcl8.6.so\r\n30# main in openroad\r\n31# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n32# 0x0000000000B85E22 in openroad\r\n\r\n\r\n\r\n**Testcase 2 (gpl):**\r\n[INFO]: Setting RC values...\r\n[INFO RSZ-0058] Using max wire length 6781um.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000B9BB0B in openroad\r\n 1# 0x00007F7E3FF54090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbNet::getITerms() in openroad\r\n 3# sta::dbNetwork::visitConnectedPins(sta::Net const*, sta::PinVisitor&, sta::NetSet&) const in openroad\r\n 4# sta::Network::connectedPinIterator(sta::Net const*) const in openroad\r\n 5# rsz::RepairDesign::hasInputPort(sta::Net const*) in openroad\r\n 6# rsz::RepairDesign::makeRepeater(char const*, int, int, sta::LibertyCell*, bool, int, sta::Vector&, float&, float&, float&, sta::Net*&, sta::Pin*&, sta::Pin*&) in openroad\r\n 7# rsz::RepairDesign::makeFanoutRepeater(sta::Vector&, sta::Vector&, odb::Rect, odb::Point, bool, bool, int, bool) in openroad\r\n 8# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n 9# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n10# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n11# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n12# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n13# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n14# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n15# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n16# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n17# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n18# rsz::RepairDesign::makeRegionRepeaters(rsz::LoadRegion&, int, int, sta::Pin const*, bool, bool, int, bool) in openroad\r\n19# rsz::RepairDesign::repairNet(sta::Net*, sta::Pin const*, sta::Vertex*, bool, bool, bool, int, bool, int&, int&, int&, int&, int&) in openroad\r\n20# rsz::RepairDesign::repairDesign(double, double, double, int&, int&, int&, int&, int&) in openroad\r\n21# rsz::RepairDesign::repairDesign(double, double, double) in openroad\r\n22# 0x0000000000CD5341 in openroad\r\n23# TclNRRunCallbacks in /content/eda/bin/../lib/libtcl8.6.so\r\n24# 0x00007F7E41A741BF in /content/eda/bin/../lib/libtcl8.6.so\r\n25# Tcl_EvalEx in /content/eda/bin/../lib/libtcl8.6.so\r\n26# Tcl_Eval in /content/eda/bin/../lib/libtcl8.6.so\r\n27# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n28# ord::tclAppInit(Tcl_Interp*) in openroad\r\n29# Tcl_MainEx in /content/eda/bin/../lib/libtcl8.6.so\r\n30# main in openroad\r\n31# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n32# 0x0000000000B85E22 in openroad\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@proppy I can reproduce the crash. It is happening when the repairDesign is trying to fix the rst_int net. I will debug more to figure out why but I was wondering if fanout fixing should be done on what seems to be the reset signal (the crash needs to be fixed regardless). "}, {"user": "@openroadie Thanks for the heads-up. Fanout fixing needs to be done for any net. Otherwise transition times may increase outside of the characterized range of the libraries making timing analysis results inaccurate. It may also be a reliability issue as too-high fanouts may imply high switching currents on the driver pin possibly causing local voltage fluctuations as well as electromigration problems.\r\nHere, `rst_int` is just an example for a synchronous input with multi-pin fanout.\r\n(But I agree that a reset net would need proper constraining and/or circuitry to be useful.)\r\n\r\nNot part of this test case but similar would be the shift-enable net for scan testing.\r\nThat is also a synchronous input net with high fanout (connected to all flops).\r\n\r\n"}, {"user": "Thanks for the fix. The core-dumps are gone now."}]} +{"num": 3566, "messages": [{"user": "### Describe the bug\r\n\r\nAfter reading a macro with `read_def -child`, the design seems to be flattened. This is apparent in netlists generated using `write_verilog` and `write_def`. However the generated spef contains references to the flattened macro. Hence, that spef cannot be read again properly. \r\n\r\n### Expected Behavior\r\n\r\nBe able to annotate a design that make use of `read_def -child` \r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 914d6d23c442175889a2cca9b8e6fc37fe66e244\r\nkernel: Linux 6.1.0-1015-oem\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9039-g914d6d23c\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.6\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - SCMake Warning at src/CMakeLists.txt:233 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nuccess\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 5b6a3edfb01b8250b2f03e1e338b44bd21f53d4d\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.sYdXahIlZc\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11947809/reproducible.tar.gz)\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\n[WARNING STA-0174] message limit reached, this message will no longer print\r\n[WARNING STA-0172] extract.spef line 2987, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3005, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3023, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3041, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3059, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3076, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3094, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3108, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3128, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3144, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3167, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3184, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3207, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3222, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3240, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3258, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3276, instance mprj not found.\r\n[WARNING STA-0172] extract.spef line 3290, instance mprj not found.\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\nIt seems that after running `extract_parasitics` it is still need to write a spef and read the same spef in order to annotate the design. I think this should be filled as a separate issue. "}, {"assistant": "What do you observe as different re: \"This is apparent in netlists generated using write_verilog and write_def.\"\r\n\r\nread_def -child is mostly for display in the gui and doesn't flatten anything afaik."}, {"user": "It appears that I was mistaken. Only write_verilog output has a full netlist. This is the netlist after reading the child macro. It has the inner macro components flattened. \r\n[result.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11995976/result.tar.gz)\r\n"}]} +{"num": 3569, "messages": [{"user": "### Describe the bug\r\n\r\nWhen over-constraining the `-filter` expression of `get_pins`, a core dump gets triggered.\r\nTo trigger the core-dump, I am using this example:\r\n`get_pins -quiet -filter \"direction == in && direction == out\" *`\r\n\r\nOther filter expression may also trigger a core dump.\r\n\r\nI have additionally attached an ipython notebook for Google Colab to reproduce the issue.\r\n\r\n/cc @proppy \r\n/cc @dhaentz1\r\n\r\n### Expected Behavior\r\n\r\nget_pins should return an empty list.\r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\nEnv.sh seems not to be included in my litex-hub package - please use the testcase notebook to extract data.\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\nenv.py seems to be broken in my setup - please use the testcase notebook to extract data.\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[_build.coredump_get_pins.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11949228/_build.coredump_get_pins.zip)\r\n[OpenRoad__coredump_upon_overconstrained_get_pins.ipynb.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11949620/OpenRoad__coredump_upon_overconstrained_get_pins.ipynb.gz)\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nSkip floorplanning - just trigger core dump ...\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000B9E2AB in openroad\r\n 1# 0x00007FB63E9A1090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# filter_pins(char const*, char const*, char const*, sta::Vector*) in openroad\r\n 3# 0x0000000000D6F97E in openroad\r\n 4# TclNRRunCallbacks in /content/eda/bin/../lib/libtcl8.6.so\r\n 5# 0x00007FB6404C11BF in /content/eda/bin/../lib/libtcl8.6.so\r\n 6# Tcl_EvalEx in /content/eda/bin/../lib/libtcl8.6.so\r\n 7# Tcl_Eval in /content/eda/bin/../lib/libtcl8.6.so\r\n 8# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n 9# ord::tclAppInit(Tcl_Interp*) in openroad\r\n10# Tcl_MainEx in /content/eda/bin/../lib/libtcl8.6.so\r\n11# main in openroad\r\n12# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n13# 0x0000000000B885C2 in openroad\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@chaufe thank you for the test case. I can reproduce the problem and will work on getting a fix in ASAP. "}, {"user": "Thanks for the fix. I can reproduce the issue is gone."}]} +{"num": 3570, "messages": [{"user": "### Describe the bug\n\nI am trying to read in tech LEF file for an older proprietary PDK, and I obtain following messages:\r\n\r\n```\r\n[INFO ODB-0222] Reading LEF file: xyz_tech.lef\r\n[INFO ODB-0388] unsupported LEF57_ARRAYSPACING property for layer VI1 :\" ARRAYSPACING WIDTH 0.56 CUTSPACING 0.13 ARRAYCUTS 3 SPACING 0.13 ; \"\r\n[INFO ODB-0388] unsupported LEF57_ARRAYSPACING property for layer VI2 :\" ARRAYSPACING WIDTH 0.56 CUTSPACING 0.13 ARRAYCUTS 3 SPACING 0.13 ; \"\r\n[INFO ODB-0388] unsupported LEF57_ARRAYSPACING property for layer VI3 :\" ARRAYSPACING WIDTH 0.56 CUTSPACING 0.13 ARRAYCUTS 3 SPACING 0.13 ; \"\r\n[INFO ODB-0388] unsupported LEF57_ARRAYSPACING property for layer VI4 :\" ARRAYSPACING WIDTH 0.56 CUTSPACING 0.13 ARRAYCUTS 3 SPACING 0.13 ; \"\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 78 technology vias\r\n[INFO ODB-0226] Finished LEF file: xyz_tech.lef\r\n```\r\n\n\n### Expected Behavior\n\n\r\nI would expect that:\r\n1. This message is a warning (not an INFO)\r\n2. Support of also older LEF/DEF versions (e.g. the mentioned 5.7)\r\n\n\n### Environment\n\n```shell\nGit commit: 914d6d23c442175889a2cca9b8e6fc37fe66e244\r\nkernel: Linux 4.18.0-425.10.1.el8_7.x86_64\r\nos: Red Hat Enterprise Linux 8.7 (Ootpa)\r\ncmake version 3.23.2\r\n-- The CXX compiler identification is GNU 8.5.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9039-g914d6d23c\r\n-- System name: Linux\r\n-- Compiler: GNU 8.5.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 8.5.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /tools/tropic/ts-python-env/virtualenvs/ts-python-env-yQ9mF3ZL-py3.8/bin/python3.8 (found version \"3.8.13\") found components: Interpreter \r\n-- Looking for pthread.h\r\n-- Looking for pthread.h - found\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL readline library: /usr/lib64/libtclreadline.so\r\n-- TCL readline header: /usr/include\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.13\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.0.4\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.14\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 5b6a3edfb01b8250b2f03e1e338b44bd21f53d4d\r\n-- System name: Linux\r\n-- Compiler: GNU 8.5.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.1\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /tmp/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found re2: /opt/or-tools/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.75C7FiYRpS\n```\n\n\n### To Reproduce\n\nUnfortunately, I can't provide the whole PDK lef.\r\n\r\nSmall extract from the techlef demonstrating the issue.\r\n\r\nIn property definitions section:\r\n\r\n```\r\n LAYER LEF57_SPACING STRING ;\r\n LAYER LEF57_SPACINGTABLE STRING ;\r\n LAYER LEF57_ARRAYSPACING STRING ;\r\n```\r\nThe definition of the LEF rule is in the message above.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort I suspect this world only affect pdn. Do you have any thoughts on support? "}, {"assistant": "@maliberty Don't we already support the 5.8 version of this https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/odb/src/lefin/ArraySpacingParser.cpp\r\n\r\nIf so, can't we just add a new parse handler for 5.7 and leverage the existing parser?"}, {"assistant": "@Blebowski If you want to give it a shot I'm pretty sure if you just add another else if for this property with the existing parser it will \"just work\" \u2122. \r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/fcc7e5351b0f24dd62a26ddc929e299cb60a3793/src/odb/src/lefin/lefin.cpp#L711"}, {"assistant": "@maliberty @QuantamHD I think we should be able to use the same underlying code with minimal changes. But first we need a parser."}, {"user": "\r\n\r\n\r\n> \r\nHi @QuantamHD , I will give it a try. Altough, I don't think it is a good idea trying to hack this \"quick and dirty\" way.\r\nIMHO, this would deserve looking at the property differences between various LEF versions. Then, it may be\r\ngood to adjust property parsing so that different LEF versions are handled correctly for all properties (if that\r\nis allowed by LEF spec). Let me see what I can do. Just a note, I don't really have much time lately, so it might\r\ntake some time to produce a patch.\r\n"}, {"user": "OK, this is weird. I am trying to search for `LEF57_` prefixed properties in LEF 5.7 RM, however, I see only `LEF58_` prefixed ones. The LEF file itself states that it uses LEF version 5.5, however, I am unable to find 5.5 RM. I am probably missing something, or properties with `LEF57_` prefix is something that is not really according to LEF/DEF RM. Anyone has a clue\r\nwhere this is coming from?"}, {"assistant": "I don't think there is any such property as LEF57_ARRAYSPACING. Even if you look at an older 5.7 manual like https://www.ispd.cc/contests/18/lefdefref.pdf you don't find it (just ARRAYSPACING). Where did this LEF come from?"}, {"user": "@maliberty, the file header states following:\r\n```\r\nLEF file generated by Abstract Generator version 5.5.9\r\n```"}, {"assistant": "What tool is that? From what I can see it writes invalid LEF."}, {"user": "I don't know, but apparently it is a tool from Cadence, e.g.:\r\n\r\nhttps://redirect.cs.umbc.edu/~tinoosh/cmpe641/slides/lect03_abstract.pdf\r\n\r\nhttps://www.youtube.com/watch?v=c0IgLac4guI"}, {"assistant": "With no document defining this property it seem a bit odd to \"support\" it. Are you using an older version of Virtuoso?"}, {"user": "Yup, I agree. I did not generate the LEF file, we have it delivered from fab. The date says 2014, so if it is a bug, it is possible that newer version of Virtuoso will have the issue fixed. I need to ask our fab if they can provide more up to date version."}, {"assistant": "Worst case I would make a local copy and just change it to LEF58 and see if that works."}, {"user": "OK, I will give it a try."}, {"user": "After trying the \"hack\" to replace the `LEF57_` with `LEF58_` the LEF file loads in correctly, so I will close the issue."}]} +{"num": 3571, "messages": [{"user": "### Describe the bug\n\nCalling `make_ports dummy_port input` triggers a core-dump.\r\n\r\nI have additionally attached an ipython notebook for Google Colab to reproduce the issue.\r\n\r\n/cc @proppy \r\n/cc @dhaentz1\n\n### Expected Behavior\n\n`make_ports` should not core-dump but trigger an error message if required.\n\n### OpenROAD Environment\n\n```shell\nEnv.sh seems not to be included in my litex-hub package - please use the testcase notebook to extract data.\n```\n\n\n### OpenLane Environment\n\n```shell\nenv.py seems to be broken in my setup - please use the testcase notebook to extract data.\n```\n\n\n### To Reproduce\n\n[_build.core_dump__make_port.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11949738/_build.core_dump__make_port.zip)\r\n[OpenRoad__make_port_dumps_core.ipynb.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11949743/OpenRoad__make_port_dumps_core.ipynb.gz)\r\n\n\n### Relevant log output\n\n```shell\nCreate a port ...\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000B9E2AB in openroad\r\n 1# 0x00007FD1088FB090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# sta::ConcreteInstance::addPin(sta::ConcretePin*) in openroad\r\n 3# sta::ConcreteNetwork::makePin(sta::Instance*, sta::Port*, sta::Net*) in openroad\r\n 4# sta::Sta::makePortPin(char const*, char const*) in openroad\r\n 5# 0x0000000000D5F7FB in openroad\r\n 6# TclNRRunCallbacks in /content/eda/bin/../lib/libtcl8.6.so\r\n 7# 0x00007FD10A41B1BF in /content/eda/bin/../lib/libtcl8.6.so\r\n 8# Tcl_EvalEx in /content/eda/bin/../lib/libtcl8.6.so\r\n 9# Tcl_Eval in /content/eda/bin/../lib/libtcl8.6.so\r\n10# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n11# ord::tclAppInit(Tcl_Interp*) in openroad\r\n12# Tcl_MainEx in /content/eda/bin/../lib/libtcl8.6.so\r\n13# main in openroad\r\n14# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n15# 0x0000000000B885C2 in openroad\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I am little confused about this issue. If STA is integrated into Openroad and has callbacks to stay in sync with the Openroad DB (odb) ... how is it possible to create a port via what seems to be a pure STA command (since I don't think the call back mechanism is bi-directional). \r\n\r\ncc: @tspyrou @maliberty"}, {"assistant": "dbNetwork::connect makes a bterm (called from dbSta::connectPin) so it seems bidirectional."}, {"assistant": "Thanks. Let me take a look at that. "}]} +{"num": 3583, "messages": [{"user": "### Description\n\nIf global routing is having problmes converging, it can run for many hours.\r\n\r\nHowever, even after a few minutes, a DRC report could contain valuable information about where the routing problems are.\r\n\n\n### Suggested Solution\n\nSave out DRC reports \"occasionally\". This should be in some idempotent interval, i.e. wall clock time or type of machine that global routing is running on should not matter.\r\n\r\nFurthermore, this should be the default behavior. Spelunking in .tcl scripts shouldn't be required to get information on why global routing is struggling.\n\n### Additional Context\n\n_No response_"}, {"user": "@maliberty How about installing a ctrl-c handler that completes the current iteration and saves a report, then exits?\r\n\r\nThis doesnt require Tcl spelunking, doesnt affect existing execution, is idempotent, it is a report that is in reaction to something the user did so it is easily understood that this is not a normal report, doesnt require documentation...\r\n\r\n```\r\n#include \r\n#include \r\n#include \r\n#include \r\n\r\nstd::atomic quit(false);\r\n\r\nvoid signalHandler( int signum ) {\r\n std::cout << \"Interrupt signal (\" << signum << \") received.\\n\";\r\n\r\n // unregister signal handler\r\n signal(SIGINT, SIG_DFL);\r\n\r\n quit.store(true);\r\n}\r\n\r\nint main () {\r\n if(isatty(STDIN_FILENO)) {\r\n // register signal SIGINT and signal handler\r\n signal(SIGINT, signalHandler); \r\n std::cout << \"Running in interactive mode.\\n\";\r\n } else {\r\n std::cout << \"Running in non-interactive mode.\\n\";\r\n }\r\n\r\n while(!quit.load()) {\r\n std::cout << \"Working....\" << std::endl;\r\n sleep(1);\r\n }\r\n\r\n std::cout << \"Terminating at a safe point\" << std::endl;\r\n\r\n return 0;\r\n}\r\n```\r\n\r\n"}, {"assistant": "In general it isn't safe to issue a message from a signal handler (see https://stackoverflow.com/questions/16891019/how-to-avoid-using-printf-in-a-signal-handler). It is also non-standard that ctrl-c make run another 10+ minutes. I know of no other programs that do so.\r\n\r\nI think it better to just write the report on each iteration. We could have some upper limit on the number of violations to prevent it from generating huge reports on the early iterations (say 100k)."}, {"assistant": "I think that's acceptable @maliberty, but dd is an example where you can interrupt the program for a status report. https://askubuntu.com/a/215521"}, {"assistant": "dd gives an immediate result - I've seen that in other programs. This would be quite different where the response occurs after an unbounded amount of time.\r\n\r\nIt also not a status report - it still eventually exits the program."}, {"user": "> dd gives an immediate result - I've seen that in other programs. This would be quite different where the response occurs after an unbounded amount of time.\r\n> \r\n> It also not a status report - it still eventually exits the program.\r\n\r\nThe approach may require some care and not be entirely typical, but it is not unprecedented. I'm fine with any solution to this reporting problem where I don't have to configure the build or go spelunking in .tcl.\r\n\r\nI haven't checked, but I asked chatgpt...\r\n\r\n\r\nMySQL Server: MySQL Server is a highly popular relational database management system. When you send a SIGINT signal to MySQL, it doesn't immediately terminate. It attempts to finish active transactions, roll back uncommitted transactions, and clean up the system, in order to maintain data consistency before shutting down.\r\n\r\nApache HTTP Server: As a popular web server application, Apache has to handle many active connections simultaneously. When a SIGINT signal is sent, it tries to gracefully stop by allowing active connections to complete while not accepting new connections.\r\n\r\nTensorFlow: This machine learning library used for high performance numerical computation can run processes that take a significant amount of time, such as training neural networks. When interrupted, it might need to save the state of the model before exiting.\r\n\r\nOracle Database: Much like MySQL, when an Oracle Database server process receives a SIGINT, it goes through a sequence of steps to ensure data consistency and integrity. This includes committing or rolling back transactions and writing necessary logs."}, {"assistant": "I think dumping out a report per iteration, or some multiple, is fine. I feel this is heading in the wrong direction. It will only work interactively, only in drt, and differently from every other command in OR. It will be obscure as well."}, {"assistant": "Agreed Matt I think dumping the DRC report with an option up front would be good. I think we could lean on the GUI for more interactive results "}, {"user": "https://github.com/The-OpenROAD-Project/OpenROAD/pull/3595 merged.\r\n\r\nNow the question remains as to how to support this in ORFS In a way where potential benefactors will actually think of and find out how to use this feature.\r\n\r\nIdeas:\r\n\r\n- Verbose feature in ORFS? `make VERBOSE=1`?\r\n- Hack GLOBAL_ROUTING_ARGS? The user would have to find this option, find out what current GLOBAL_ROUTING_ARGS and add this argument, temporarily. What about detailed routing, where progress .rpt files would be similarly useful? Hacking detailed routing args is even harder than GLOBAL_ROUTING_ARGS."}, {"assistant": "#3595 was a change in detailed routing not global routing. I think you are mixing issues."}, {"user": "> #3595 was a change in detailed routing not global routing. I think you are mixing issues.\r\n\r\nI am, but the same usability concern applies."}, {"assistant": "Ok but they are different tasks that will likely be handled by different people so let's not mix the two together."}, {"user": "> Ok but they are different tasks that will likely be handled by different people so let's not mix the two together.\r\n\r\nSure, but a consistent user experience is desireable."}, {"assistant": "done"}]} +{"num": 3587, "messages": [{"user": "### Describe the bug\n\nI just clicked the separate button of the scripting module of the GUI. And I could not pull it back. In this case, the scripting module could not work. The close button and the separate button don't work. I guess the other modules, like the display control, also have the same bug. \r\nI have tried to rebuild the project and even deleted the project and cloned it again, the GUI seem to have the same configuration. The modules that have been separated stay still. \r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/99338319/4ce646db-63a0-4aaa-899a-d1316c598f25)\r\n\n\n### Expected Behavior\n\nThe separated modules can be embedded into the GUI.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 20.04.5 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\n[ 11%] Creating directories for 'googletest-populate'\r\n[ 22%] Performing download step (download, verify and extract) for 'googletest-populate'\r\n-- Downloading...\r\n dst='/tmp/tmp.8pQWFCoHdw/_deps/googletest-subbuild/googletest-populate-prefix/src/v1.13.0.zip'\r\n timeout='none'\r\n inactivity timeout='none'\r\n-- Using src='https://github.com/google/googletest/archive/refs/tags/v1.13.0.zip'\r\nCMake Error at googletest-subbuild/googletest-populate-prefix/src/googletest-populate-stamp/download-googletest-populate.cmake:170 (message):\r\n Each download failed!\r\n\r\n error: downloading 'https://github.com/google/googletest/archive/refs/tags/v1.13.0.zip' failed\r\n status_code: 92\r\n status_string: \"Stream error in the HTTP/2 framing layer\"\r\n log:\r\n --- LOG BEGIN ---\r\n Trying 20.205.243.166:443...\r\n\r\n Connected to github.com (20.205.243.166) port 443 (#0)\r\n\r\n ALPN: offers h2\r\n\r\n ALPN: offers http/1.1\r\n\r\n [5 bytes data]\r\n\r\n TLSv1.3 (OUT), TLS handshake, Client hello (1):\r\n\r\n [512 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n TLSv1.3 (IN), TLS handshake, Server hello (2):\r\n\r\n [122 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (IN), TLS handshake, Encrypted Extensions (8):\r\n\r\n [19 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (IN), TLS handshake, Certificate (11):\r\n\r\n [2459 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (IN), TLS handshake, CERT verify (15):\r\n\r\n [78 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (IN), TLS handshake, Finished (20):\r\n\r\n [36 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n TLSv1.3 (OUT), TLS change cipher, Change cipher spec (1):\r\n\r\n [1 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (OUT), TLS handshake, Finished (20):\r\n\r\n [36 bytes data]\r\n\r\n SSL connection using TLSv1.3 / TLS_AES_128_GCM_SHA256\r\n\r\n ALPN: server accepted h2\r\n\r\n Server certificate:\r\n\r\n subject: C=US; ST=California; L=San Francisco; O=GitHub, Inc.; CN=github.com\r\n start date: Feb 14 00:00:00 2023 GMT\r\n expire date: Mar 14 23:59:59 2024 GMT\r\n subjectAltName: host \"github.com\" matched cert's \"github.com\"\r\n issuer: C=US; O=DigiCert Inc; CN=DigiCert TLS Hybrid ECC SHA384 2020 CA1\r\n SSL certificate verify result: unable to get local issuer certificate (20), continuing anyway.\r\n\r\n Using HTTP2, server supports multiplexing\r\n\r\n Copying HTTP/2 data in stream buffer to connection buffer after upgrade:\r\n len=0\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n h2h3 [:method: GET]\r\n\r\n h2h3 [:path: /google/googletest/archive/refs/tags/v1.13.0.zip]\r\n\r\n h2h3 [:scheme: https]\r\n\r\n h2h3 [:authority: github.com]\r\n\r\n h2h3 [user-agent: curl/7.83.1]\r\n\r\n h2h3 [accept: */*]\r\n\r\n Using Stream ID: 1 (easy handle 0x556ad70395b0)\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n GET /google/googletest/archive/refs/tags/v1.13.0.zip HTTP/2\r\n\r\n Host: github.com\r\n\r\n user-agent: curl/7.83.1\r\n\r\n accept: */*\r\n\r\n\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (IN), TLS handshake, Newsession Ticket (4):\r\n\r\n [57 bytes data]\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (IN), TLS handshake, Newsession Ticket (4):\r\n\r\n [57 bytes data]\r\n\r\n old SSL session ID is stale, removing\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (IN), TLS alert, close notify (256):\r\n\r\n [2 bytes data]\r\n\r\n HTTP/2 stream 1 was not closed cleanly before end of the underlying stream\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n Closing connection 0\r\n\r\n [5 bytes data]\r\n\r\n [1 bytes data]\r\n\r\n TLSv1.3 (OUT), TLS alert, close notify (256):\r\n\r\n [2 bytes data]\r\n\r\n\r\n\r\n --- LOG END ---\r\n\r\n\r\n\r\n\r\nmake[2]: *** [CMakeFiles/googletest-populate.dir/build.make:100: googletest-populate-prefix/src/googletest-populate-stamp/googletest-populate-download] Error 1\r\nmake[1]: *** [CMakeFiles/Makefile2:83: CMakeFiles/googletest-populate.dir/all] Error 2\r\nmake: *** [Makefile:91: all] Error 2\r\n\r\nCMake Error at /usr/local/share/cmake-3.24/Modules/FetchContent.cmake:1604 (message):\r\n Build step for googletest failed: 2\r\nCall Stack (most recent call first):\r\n /usr/local/share/cmake-3.24/Modules/FetchContent.cmake:1744:EVAL:2 (__FetchContent_directPopulate)\r\n /usr/local/share/cmake-3.24/Modules/FetchContent.cmake:1744 (cmake_language)\r\n /usr/local/share/cmake-3.24/Modules/FetchContent.cmake:1958 (FetchContent_Populate)\r\n third-party/gtest/CMakeLists.txt:8 (FetchContent_MakeAvailable)\r\n\r\n\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9036-g12e051bf4\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nMay no error here. Because it can run the whole flow. \n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/99338319/5cd313ee-72ec-4f03-a006-b09e6e1f0e57)\r\n The same as the display control module. They could not pull back and work. \n\n### Additional Context\n\n_No response_"}, {"assistant": "Have tried clicking the leftmost of the buttons in the upper right of the window? It should re-dock.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/3b17a32f-3428-4d4d-8fee-c9e494401d25)\r\n\r\nYou can also removing ~/.config/OpenRoad Project/ on linux to reset the window between runs."}, {"assistant": "no response"}]} +{"num": 3588, "messages": [{"user": "### Describe the bug\r\n\r\n>>>>>>>> I've noticed a significant slowdown in OpenROAD over the last two weeks.\r\n>>>>>>>> I'm trying to narrow down what might be to blame.\r\n>>>>>>>> But for reference two weeks ago our ZeroSOC example took:\r\n>>>>>>>> v2.0-8780 - a7f84d55d849dd56b4b06b8e3ef36fb68ee500f5\r\n>>>>>>>> Place: 02:46.110\r\n>>>>>>>> CTS: 02:59.520\r\n>>>>>>>> Route: 22:46.849\r\n>>>>>>>>\r\n>>>>>>>> Now:\r\n>>>>>>>> v2.0-8997 - ffa127cb22da12c0fd1fe787e2119651cad06806\r\n>>>>>>>> Place: 43:50.960 (a 21x slowdown)\r\n>>>>>>>> CTS: 23:57.950 (a 11x slowdown)\r\n>>>>>>>> Route: 17:53.140 (5 mins faster)\r\n\r\n### Expected Behavior\r\n\r\nThe runtime should remain more or less the same as before. \r\n\r\n### Environment\r\n\r\n```shell\r\nN/A for now.\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nN/A for now\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nN/A for now\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\nN/A for now\r\n\r\n### Additional Context\r\n\r\n_No response_\r\n\r\n\r\ncc: @maliberty @gadfort @louiic @tspyrou @vvbandeira "}, {"user": "![sc1](https://github.com/The-OpenROAD-Project/OpenROAD/assets/114895267/f4b975e1-04d6-4545-851f-42d1531e5dd5)\r\n\r\nHere is a runtime comparison between the following SHAs:\r\n\r\na7f84d55d849dd56b4b06b8e3ef36fb68ee500f5\r\nffa127cb22da12c0fd1fe787e2119651cad06806\r\n\r\nAs you can see, the differences in runtime for various functions are comparable barring some noise. "}, {"assistant": "Can you get a linux perf profile for both runs, and then run them in [perf diff ](https://manpages.ubuntu.com/manpages/jammy/man1/perf-diff.1.html)?\r\n\r\nThe fact that both CTS and GPL have slowed down makes me think it's an STA related issue."}, {"user": "@QuantamHD Please see screenshot above."}, {"user": "@gadfort Try as I might, I just do not see any slowdown. I have tried the ZeroAsic design as well as ORFS asap7/aes and asap7/riscv32. I double checked that we are running timing driven placement for my runs and I am comparing the latest master against 3ec606c02"}, {"assistant": "@openroadie I'm still debugging my way through this since you don't see the same issue. I've discovered the issue is only present (atleast in my testing) with the padring present. If I don't call `connect_by_abutment` the slowdown appears to go away. The only thing that code is going is make sure a bunch of iterms are connected to nets. I'm trying see if anything is happening that in that code that shouldn't. I'll update once I have more information."}]} +{"num": 3590, "messages": [{"user": "### Describe the bug\n\nSta doesn't handle when a Pin is deleted, and is present in a Clock's leaf_pins_ list, it isn't removed. This subsequently leads to a crash.\r\n\r\nIn this particular test case the problem manifests that the deleted dbITerm is reused by the db during repair_clock_inverters when the inverter is cloned and destroyed. This unrelated dbITerm (a VSS pin) then appears to be part of the clock definition which makes no sense and leads to the subsequent failure.\n\n### Expected Behavior\n\nNo crash. The Pin should be removed from the Clock. Ideally repair_clock_nets would actually clone the constraint.\n\n### Environment\n\n```shell\nHead of master OR (commit 12e051bf46754b25b033f97905419f7ab4533ecf)\n```\n\n\n### To Reproduce\n\nRun ```openroad test.tcl``` in the attached test case.\r\n\r\nThe test case is a minimal reproducer extracted from a much larger full chip run. It was also converted ng45 from a closed PDK. By itself it is a bit odd but shows the issue.\n\n### Relevant log output\n\n```shell\n[INFO CTS-0046] Number of wire segments: 1632.\r\n[INFO CTS-0047] Number of keys in characterization LUT: 1632.\r\n[INFO CTS-0048] Actual min input cap: 1.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000003D56615 in openroad\r\n 1# 0x00007F26B148A400 in /lib64/libc.so.6\r\n 2# sta::Vertex::bfsInQueue(sta::BfsIndex) const in openroad\r\n 3# sta::BfsIterator::enqueue(sta::Vertex*) in openroad\r\n 4# sta::ClkNetwork::findClkPins(bool, sta::Map, std::less >&) in openroad\r\n 5# sta::ClkNetwork::findClkPins() in openroad\r\n 6# sta::ClkNetwork::ensureClkNetwork() in openroad\r\n 7# sta::Sta::ensureClkNetwork() in openroad\r\n 8# sta::dbSta::findClkNets() in openroad\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "[repro.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/11988726/repro.zip)\r\n"}]} +{"num": 3591, "messages": [{"user": "### Describe the bug\r\n\r\n```\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0007] Random pin placement.\r\n[WARNING PPL-0096] Pin group of size 8 does not fit constraint region. Adding to fallback mode.\r\n\r\n[WARNING PPL-0096] Pin group of size 8 does not fit constraint region. Adding to fallback mode.\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = int; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = int&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x00005580A3390F2B in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F22CF642520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00005580A338F198 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# ppl::IOPlacer::randomPlacement(std::vector >, std::vector >, bool, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# ppl::IOPlacer::randomPlacement() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# ppl::IOPlacer::run(bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# 0x00005580A34E4D47 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# 0x00007F22D3B48028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n15# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n16# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# main in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# 0x00007F22CF629D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n19# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n20# _start in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n```\r\n\r\n### Expected Behavior\r\n\r\nno crash\r\n\r\n### Environment\r\n\r\n```shell\r\nlatest master\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nTo reproduce check out https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1210, create `settings.mk`, run `make verilog` and then `make`\r\n\r\n```\r\nexport DESIGN_CONFIG=designs/asap7/mock-array/config.mk\r\nexport MOCK_ARRAY_DATAWIDTH=8\r\nexport MOCK_ARRAY_TABLE=8 8 4 4 5 5\r\nexport MOCK_ARRAY_SCALE=20\r\n```\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "To reproduce, less \"objects\" folder, which was too big....\r\n\r\n[io_placement_random_mock-array_Element_asap7_base_2023-07-08_17-00.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11990906/io_placement_random_mock-array_Element_asap7_base_2023-07-08_17-00.tar.gz)\r\n"}]} +{"num": 3592, "messages": [{"user": "### Describe the bug\r\n\r\nTo reproduce, use https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1210, create `settings.mk`\r\n\r\n```\r\nexport DESIGN_CONFIG?=designs/asap7/mock-array/config.mk\r\nexport MOCK_ARRAY_DATAWIDTH?=8\r\nexport MOCK_ARRAY_TABLE?=8 8 4 4 5 5\r\nexport MOCK_ARRAY_SCALE?=320\r\n```\r\n\r\nRun `make verilog` and then `make`\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/c8dea0c7-9fd5-413e-9076-00db6a41bbe4)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/4504caf0-0d62-4076-a060-4e42cbcfbfa8)\r\n\r\n\r\n### Expected Behavior\r\n\r\nDetailed route errors don't happen with smaller multiples of MOCK_ARRAY_SCALE.\r\n\r\n### Environment\r\n\r\n```shell\r\nlastet master\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above.\r\n\r\n`make detail_route_issue` generated a file that's 500mBytes...\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Also happens with:\r\n\r\n```\r\nexport DESIGN_CONFIG?=designs/asap7/mock-array/config.mk\r\nexport MOCK_ARRAY_DATAWIDTH?=8\r\nexport MOCK_ARRAY_TABLE?=8 8 4 4 5 5\r\nexport MOCK_ARRAY_SCALE?=80\r\n```\r\n\r\nstripped down `make detail_route_issue` \r\n[detail_route_mock-array_asap7_8-8-80-8-8-4-4-5-5_2023-07-08_22-04.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/11992332/detail_route_mock-array_asap7_8-8-80-8-8-4-4-5-5_2023-07-08_22-04.tar.gz)\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/73c87be4-ab37-4582-8f04-8ef92a5cdd1e)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/85fbbce6-a673-427c-8455-1e21bbfb9cc4)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/36c631a3-b291-401f-ac33-91db50487ac0)\r\n"}, {"assistant": "Are you sure the pins are aligned? "}, {"user": "> Are you sure the pins are aligned?\r\n\r\nI dont know how to check... thought positioning macros on mutiples of 0.048 would take care of it...\r\n\r\nCould you provide some \"pin alignment check for dummies\" instructions?\r\n\r\nIf you teach me how to fish, I should be filing fewer.bogus ssues...."}, {"user": "@maliberty I believe that this is showing that the pins are misaligned by 1nm. That's not intentional, the pins should be on multiples of 0.048, rounding error somewhere?\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/0d07e20d-18cf-4e98-85ab-8a5b0dd57cfb)\r\n"}, {"assistant": "It's really best to work in integer dbu not microns. I expect it related to your script changes"}, {"user": "> It's really best to work in integer dbu not microns. I expect it related to your script changes\r\n\r\nAgreed. I can't get to the `set units [$block getDefUnits]` API from my Python code though.\r\n"}, {"user": "Should be fixed by https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1212, will re-open if needed."}]} +{"num": 3599, "messages": [{"user": "### Description\r\n\r\nUsing https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1212\r\n\r\n\r\nCreate:\r\n\r\n```\r\nexport DESIGN_CONFIG?=designs/asap7/mock-array/config.mk\r\nexport MOCK_ARRAY_DATAWIDTH?=8\r\nexport MOCK_ARRAY_TABLE?=8 8 4 4 5 5\r\nexport MOCK_ARRAY_SCALE?=640\r\n```\r\n\r\nRun `make verilog` and then `make`.\r\n\r\nThese are the running times reported for a 12 thread machine:\r\n\r\nStill waiting for report after ca. 1 hour...\r\n\r\n```\r\nLog Elapsed seconds\r\n1_1_yosys 1\r\n2_1_floorplan 1\r\n2_2_floorplan_io 1\r\n2_3_tdms_place 1\r\n2_5_tapcell 1\r\n2_6_pdn 222\r\n3_1_place_gp_skip_io 11\r\n3_2_place_iop 3\r\n3_3_place_gp 42\r\n3_4_resizer 16\r\n3_5_opendp 23\r\n4_1_cts 27\r\n4_2_cts_fillcell 25\r\n5_1_fastroute 42\r\n5_2_TritonRoute 1065\r\n6_1_merge 47\r\n6_report 5665\r\n```\r\n\r\nTail of log:\r\n\r\n```\r\n[WARNING PSM-0030] VSRC location at (131.200um, 971.200um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (129.882um, 965.404um).\r\n[WARNING PSM-0030] VSRC location at (971.200um, 971.200um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (967.818um, 965.404um).\r\n[INFO PSM-0031] Number of PDN nodes on net VSS = 13251525.\r\n[no further output after 1 hour... then some output before reporting completes relatively quickly.]\r\n```\r\n\r\n\r\n### Suggested Solution\r\n\r\nFind and fix some low-hanging fruit in the scaling of reporting stage.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "There are a number of different steps. Can you narrow it down? "}, {"user": "> There are a number of different steps. Can you narrow it down?\r\n\r\nThe log pause is not indicative enough? Anyone working on this will have to run this locally anyway at which point they can drill down..."}, {"user": "Some quick debugger suspend/resume profiling.\r\n\r\nThis is the step that takes a long time.\r\n\r\n```\r\n(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init ./scripts/final_report.tcl -metrics ./logs/asap7/mock-array/base/6_report.json) 2>&1 | tee ./logs/asap7/mock-array/base/6_report.log\r\nOpenROAD v2.0-9055-ge2044988a \r\n```\r\n\r\nThis seems to be where the time is going. There are a LOT of these iterations and perhaps an N^2 algorithm?\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/7b25374a-9203-4807-b9b2-78764c9e8a6e)\r\n\r\n\r\nEach iteration above, is inside another iteration:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/e71d65e3-cd25-43cf-bd1c-be5d329277f3)\r\n"}, {"user": "@maliberty Is the above good enough to start working on the problem?"}, {"assistant": "PSM is doing a matrix solve and that will not be linear in design area. The matrix size will be linear in design area. Smarter gridding would help some. You can skip that step if you don't care about it (which I guess you don't for prototyping)."}, {"user": "@maliberty I see the following on my 96 thread workstation, using https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1212\r\n\r\n```\r\nLog Elapsed seconds\r\n1_1_yosys 2\r\n2_1_floorplan 1\r\n2_2_floorplan_io 1\r\n2_3_tdms_place 1\r\n2_4_mplace 1\r\n2_5_tapcell 1\r\n2_6_pdn 223\r\n3_1_place_gp_skip_io 13\r\n3_2_place_iop 5\r\n3_3_place_gp 51\r\n3_4_resizer 20\r\n3_5_opendp 27\r\n4_1_cts 32\r\n4_2_cts_fillcell 28\r\n5_1_fastroute 52\r\n5_2_TritonRoute 535\r\n6_1_merge 64\r\n6_report 6224\r\n```\r\n"}, {"user": "@maliberty I think this issue documents a performance problem reasonably well, but I don't need it urgently fixed. Mark as \"help wanted\"?"}, {"assistant": "Yes I see the psm has quite a bit of inefficiency in building the matrix, not just solving it. That can be improved."}, {"assistant": "@gadfort has offered to work on psm (he has similar issues and more concerns)"}]} +{"num": 3602, "messages": [{"user": "### Describe the bug\r\n\r\n\r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x00005604A7E3BF2B in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F6DF9C42520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# sta::Vertex::bfsInQueue(sta::BfsIndex) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# sta::BfsIterator::enqueue(sta::Vertex*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# 0x00005604A82479A8 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# sta::ClkNetwork::ensureClkNetwork() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# sta::Sta::searchPreamble() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::STAGuiInterface::getTimingPaths(std::set, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&, std::set, std::allocator > const&) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# gui::TimingPathsModel::populatePaths(std::set, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&, std::set, std::allocator > const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# gui::TimingPathsModel::populateModel(std::set, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&, std::set, std::allocator > const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# gui::TimingWidget::populatePaths() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# 0x00007F6DFACF17C8 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n12# QAbstractButton::clicked(bool) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n13# 0x00007F6DFBA6239E in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n14# 0x00007F6DFBA63FC4 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n15# QAbstractButton::mouseReleaseEvent(QMouseEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n16# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n17# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n18# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n19# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n20# QApplicationPrivate::sendMouseEvent(QWidget*, QMouseEvent*, QWidget*, QWidget*, QWidget**, QPointer&, bool, bool) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n21# 0x00007F6DFB9C8D40 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n22# 0x00007F6DFB9CBFD5 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n23# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n24# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n25# QGuiApplicationPrivate::processMouseEvent(QWindowSystemInterfacePrivate::MouseEvent*) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n26# QWindowSystemInterface::sendWindowSystemEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n27# 0x00007F6DF58F8D6E in /lib/x86_64-linux-gnu/libQt5XcbQpa.so.5\r\n28# g_main_context_dispatch in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n29# 0x00007F6DF9F71258 in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n30# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n31# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n32# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n33# QCoreApplication::exec() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n34# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n35# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n36# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n37# main in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n38# 0x00007F6DF9C29D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n39# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n40# _start in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\nmake: *** [Makefile:873: gui_6_final.odb] Segmentation fault (core dumped)\r\n```\r\n\r\n### Expected Behavior\r\n\r\nno crash\r\n\r\n### Environment\r\n\r\n```shell\r\nlatest master\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nUse https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1220\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array/\r\nmake gui_final\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d3addf18-e3bf-4b4c-bf05-21546e313368)\r\n\r\n\r\nThen type:\r\n\r\n```\r\nread_def -child results/asap7/mock-array_Element/base/6_final.def\r\n```\r\n\r\nClick \"update\" on timing\r\n\r\nCrash\r\n\r\n### Relevant log output\r\n\r\nHad to strip down severely, but I hope `make gui_final` still works...\r\n\r\n[detail_route_mock-array_asap7_base_2023-07-10_18-38.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12004355/detail_route_mock-array_asap7_base_2023-07-10_18-38.tar.gz)\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "We can fix the crash but we don't support timing across physical hierarchy."}, {"user": "> We can fix the crash but we don't support timing across physical hierarchy.\r\n\r\nVery good. Will there be any indication in the GUI that this isn't supported? Just curious.\r\n\r\nI just think it is useful to have all the information that is available and peruse the routing by abutment, look at the timing, etc."}, {"assistant": "You'll still get timing paths but they will go through element pins without showing the internals of the block in the path."}, {"user": "I have found another, unrelated, bug https://github.com/The-OpenROAD-Project/OpenROAD/issues/3609 on \"Update\" timing, I accidentally posted some information to this issue and reopened this issue."}]} +{"num": 3609, "messages": [{"user": "### Describe the bug\r\n\r\n```\r\nLoading spef\r\nCritical: /OpenROAD-flow-scripts/tools/OpenROAD/src/sta/search/PathEnum.cc line 574, diversion path not found\r\nSignal 11 received\r\nStack trace:\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000D4AE07 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FE06C808400 in /lib64/libc.so.6\r\n 2# QPen::QPen(Qt::PenStyle) in /lib64/libQt5Gui.so.5\r\n 3# QPaintEngineExPrivate::QPaintEngineExPrivate() in /lib64/libQt5Gui.so.5\r\n 4# 0x00007FE06E05F519 in /lib64/libQt5Gui.so.5\r\n 5# QRasterPaintEngine::QRasterPaintEngine(QPaintDevice*) in /lib64/libQt5Gui.so.5\r\n 6# QImage::paintEngine() const in /lib64/libQt5Gui.so.5\r\n 7# QPainter::begin(QPaintDevice*) in /lib64/libQt5Gui.so.5\r\n 8# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# gui::RenderThread::run() in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# 0x00007FE06D98EE71 in /lib64/libQt5Core.so.5\r\n11# 0x00007FE07128DEA5 in /lib64/libpthread.so.0\r\n12# clone in /lib64/libc.so.6\r\nmake: *** [gui_6_final.odb] Segmentation fault (core dumped)\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nno crash\r\n\r\n### Environment\r\n\r\n```shell\r\nlatest master\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n1. load design. I can't share what crashes, but I will look into whittling down a test case. Possibly helpful: I was using `set_dont_touch` on some nets to avoid hold cells in abutted macros.\r\n2. click \"Update\" on timing\r\n\r\nSegfault above.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Still crashes with b28bd5735, no stack trace this time...\r\n\r\n\r\n\r\n```\r\nOpenROAD v2.0-9148-gb28bd5735 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nLoading spef\r\nCritical: /home/oyvind/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/search/PathEnum.cc line 574, diversion path not found\r\nSignal 11 received\r\nStack trace:\r\nmake: *** [/home/oyvind/OpenROAD-flow-scripts//flow//Makefile:873: gui_6_final.odb] Error 1\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/35f45015-6783-4912-a88c-63e557c25441)\r\n"}, {"user": "@maliberty Can I use deltaDebug.py to create an example?"}, {"assistant": "I dont think there is a tcl equivalent to the update button (@gadfort ?)"}, {"assistant": "This is a totally different stack (no sta) and an odd one that is inside of Qt. I have no guesses"}, {"assistant": "@maliberty no there is not. It would be cool to be able to do something similar to klayout where you can trigger any Qt object, but at the moment that is not available."}, {"user": "> This is a totally different stack (no sta) and an odd one that is inside of Qt. I have no guesses\r\n\r\nOuch. Any thoughts on how to reproduce a test-case? Do a meeting to debug?"}, {"assistant": "It looks like the Qt stack trace is related to the fact that STA is crashing"}, {"assistant": "@gadfort would it be easy to hack a way just to enable deltaDebug - it doesn't need to be good enough to commit."}, {"assistant": "@maliberty I suspect it is, you just need to add a tcl swig thing and associated functions needed to call the update button in the timing widget"}, {"user": "@maliberty Some new tidbits... I have been using the `set_dont_touch` flag. When I tried #3611, I got the segfault below, but I was able to move on by disabling this code:\r\n\r\n\r\n```\r\n$ git diff\r\ndiff --git a/src/odb/src/db/dbITerm.cpp b/src/odb/src/db/dbITerm.cpp\r\nindex 92365272e..087c976e3 100644\r\n--- a/src/odb/src/db/dbITerm.cpp\r\n+++ b/src/odb/src/db/dbITerm.cpp\r\n@@ -526,23 +526,23 @@ void dbITerm::disconnect()\r\n return;\r\n \r\n _dbInst* inst = iterm->getInst();\r\n- if (inst->_flags._dont_touch) {\r\n- inst->getLogger()->error(\r\n- utl::ODB,\r\n- 370,\r\n- \"Attempt to disconnect iterm of dont_touch instance {}\",\r\n- inst->_name);\r\n- }\r\n+ // if (inst->_flags._dont_touch) {\r\n+ // inst->getLogger()->error(\r\n+ // utl::ODB,\r\n+ // 370,\r\n+ // \"Attempt to disconnect iterm of dont_touch instance {}\",\r\n+ // inst->_name);\r\n+ // }\r\n \r\n _dbBlock* block = (_dbBlock*) iterm->getOwner();\r\n _dbNet* net = block->_net_tbl->getPtr(iterm->_net);\r\n \r\n- if (net->_flags._dont_touch) {\r\n- inst->getLogger()->error(utl::ODB,\r\n- 372,\r\n- \"Attempt to disconnect iterm of dont_touch net {}\",\r\n- net->_name);\r\n- }\r\n+ // if (net->_flags._dont_touch) {\r\n+ // inst->getLogger()->error(utl::ODB,\r\n+ // 372,\r\n+ // \"Attempt to disconnect iterm of dont_touch net {}\",\r\n+ // net->_name);\r\n+ // }\r\n \r\n for (auto callback : block->_callbacks)\r\n callback->inDbITermPreDisconnect(this);\r\n```\r\n\r\n\r\n```\r\n___________________________________\r\nReading base odb file\r\nInsts level debugging\r\nNumber of Insts 344095\r\nNumber of Nets 61976\r\nNumber of elements to be cut is 172047, [C#]\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000056018FE9FA4B in openroad\r\n 1# 0x00007FD64F642520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# void utl::Logger::log(utl::ToolId, spdlog::level::level_enum, int, std::__cxx11::basic_string, std::allocator > const&, char* const&) in openroad\r\n 3# void utl::Logger::error(utl::ToolId, int, std::__cxx11::basic_string, std::allocator > const&, char* const&) in openroad\r\n 4# odb::dbITerm::disconnect() in openroad\r\n 5# odb::dbInst::destroy(odb::dbInst*) in openroad\r\n 6# 0x0000560191847F6F in openroad\r\n 7# 0x00007FD64FF2B6B8 in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n 8# _PyObject_Call in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n 9# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n10# 0x00007FD64FFC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n11# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n12# 0x00007FD64FFC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n13# 0x00007FD64FEE7618 in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n14# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n15# 0x00007FD64FFC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n16# 0x00007FD64FEE7618 in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n17# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n18# 0x00007FD64FFC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n19# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n20# 0x00007FD64FFC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n21# PyEval_EvalCode in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n22# 0x00007FD6500107BD in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n23# _PyRun_SimpleFileObject in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n24# _PyRun_AnyFileObject in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n25# Py_RunMain in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n26# Py_Main in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n27# main in openroad\r\n28# 0x00007FD64F629D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n29# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n30# _start in openroad\r\n74924 Segmentation fault (core dumped) \"$@\"\r\n```"}, {"user": "@maliberty What is the Tcl command to quit the GUI? In deltaDebug.py I have to rely on timeout for now. I could speed it up quite a bit if I could add a quit command in tcl."}, {"user": "> @maliberty What is the Tcl command to quit the GUI? In deltaDebug.py I have to rely on timeout for now. I could speed it up quite a bit if I could add a quit command in tcl.\r\n\r\n`exit` :-)"}, {"user": "More crashes in deltaDebug:\r\n```\r\nReading base odb file\r\nNets level debugging\r\nNumber of Insts 12\r\nNumber of Nets 61976\r\nNumber of elements to be cut is 30988, [C#]\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055C47D2D49FB in openroad\r\n 1# 0x00007F16D3242520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbNet::destroy(odb::dbNet*) in openroad\r\n 3# 0x000055C47EC7CB8F in openroad\r\n 4# 0x00007F16D3B2B6B8 in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n 5# _PyObject_Call in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n 6# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n 7# 0x00007F16D3BC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n 8# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n 9# 0x00007F16D3BC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n10# 0x00007F16D3AE7618 in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n11# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n12# 0x00007F16D3BC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n13# 0x00007F16D3AE7618 in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n14# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n15# 0x00007F16D3BC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n16# _PyEval_EvalFrameDefault in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n17# 0x00007F16D3BC681F in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n18# PyEval_EvalCode in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n19# 0x00007F16D3C107BD in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n20# _PyRun_SimpleFileObject in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n21# _PyRun_AnyFileObject in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n22# Py_RunMain in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n23# Py_Main in /lib/x86_64-linux-gnu/libpython3.10.so.1.0\r\n24# main in openroad\r\n25# 0x00007F16D3229D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n26# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n27# _start in openroad\r\n```\r\n"}, {"user": "Avoiding crash above:\r\n\r\n```\r\n$ git diff\r\ndiff --git a/src/odb/src/db/dbITerm.cpp b/src/odb/src/db/dbITerm.cpp\r\nindex 92365272e..087c976e3 100644\r\n--- a/src/odb/src/db/dbITerm.cpp\r\n+++ b/src/odb/src/db/dbITerm.cpp\r\n@@ -526,23 +526,23 @@ void dbITerm::disconnect()\r\n return;\r\n \r\n _dbInst* inst = iterm->getInst();\r\n- if (inst->_flags._dont_touch) {\r\n- inst->getLogger()->error(\r\n- utl::ODB,\r\n- 370,\r\n- \"Attempt to disconnect iterm of dont_touch instance {}\",\r\n- inst->_name);\r\n- }\r\n+ // if (inst->_flags._dont_touch) {\r\n+ // inst->getLogger()->error(\r\n+ // utl::ODB,\r\n+ // 370,\r\n+ // \"Attempt to disconnect iterm of dont_touch instance {}\",\r\n+ // inst->_name);\r\n+ // }\r\n \r\n _dbBlock* block = (_dbBlock*) iterm->getOwner();\r\n _dbNet* net = block->_net_tbl->getPtr(iterm->_net);\r\n \r\n- if (net->_flags._dont_touch) {\r\n- inst->getLogger()->error(utl::ODB,\r\n- 372,\r\n- \"Attempt to disconnect iterm of dont_touch net {}\",\r\n- net->_name);\r\n- }\r\n+ // if (net->_flags._dont_touch) {\r\n+ // inst->getLogger()->error(utl::ODB,\r\n+ // 372,\r\n+ // \"Attempt to disconnect iterm of dont_touch net {}\",\r\n+ // net->_name);\r\n+ // }\r\n \r\n for (auto callback : block->_callbacks)\r\n callback->inDbITermPreDisconnect(this);\r\ndiff --git a/src/odb/src/db/dbNet.cpp b/src/odb/src/db/dbNet.cpp\r\nindex 45030a3a5..73dbe6c81 100644\r\n--- a/src/odb/src/db/dbNet.cpp\r\n+++ b/src/odb/src/db/dbNet.cpp\r\n@@ -2941,8 +2941,8 @@ void dbNet::destroy(dbNet* net_)\r\n _dbBlock* block = (_dbBlock*) net->getOwner();\r\n \r\n if (net->_flags._dont_touch) {\r\n- net->getLogger()->error(\r\n- utl::ODB, 364, \"Attempt to destroy dont_touch net {}\", net->_name);\r\n+ // net->getLogger()->error(\r\n+ // utl::ODB, 364, \"Attempt to destroy dont_touch net {}\", net->_name);\r\n }\r\n \r\n dbSet iterms = net_->getITerms();\r\n@@ -2979,12 +2979,12 @@ void dbNet::destroy(dbNet* net_)\r\n }\r\n \r\n if (block->_journal) {\r\n- debugPrint(block->getImpl()->getLogger(),\r\n- utl::ODB,\r\n- \"DB_ECO\",\r\n- 1,\r\n- \"ECO: destroy net, id: {}\",\r\n- net->getId());\r\n+ // debugPrint(block->getImpl()->getLogger(),\r\n+ // utl::ODB,\r\n+ // \"DB_ECO\",\r\n+ // 1,\r\n+ // \"ECO: destroy net, id: {}\",\r\n+ // net->getId());\r\n block->_journal->beginAction(dbJournal::DELETE_OBJECT);\r\n block->_journal->pushParam(dbNetObj);\r\n block->_journal->pushParam(net->getId());\r\n```"}, {"assistant": "Delta debug just needs to be taught to skip don't touch objects when deleting "}, {"assistant": "@oharboe is this still relevant?"}, {"user": "> @oharboe is this still relevant?\r\n\r\nFixed this summer. Thanks!"}]} +{"num": 3615, "messages": [{"user": "### Describe the bug\n\nWhen calling `set_dont_use` it's erroring our with the following message:\r\n`can't read \"warn_error\": no such variable`\r\n\r\nThis may relate to https://github.com/The-OpenROAD-Project/OpenSTA/blob/7507ce1c51c76e868dba253d8579e34c7195ca2d/tcl/CmdArgs.tcl#L608\n\n### Expected Behavior\n\nDoes not error out on unknown variable, but instead generate a proper error message or ignore the likely missing cell.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.26.4\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9148-gb28bd5735\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.6\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: d26f41708ed89d467c033334b0a3895558c003b4\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/pgadfort/OpenROAD-build/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\")\r\n-- GUI is enabled\r\n-- Charts widget is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done (30.5s)\r\n-- Generating done (0.5s)\n```\n\n\n### To Reproduce\n\n[sc_issue_gcd_job0_skywater130_demo_orfs_floorplan0_20230713-165321.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12044414/sc_issue_gcd_job0_skywater130_demo_orfs_floorplan0_20230713-165321.tar.gz)\r\n\r\n```\r\ntar xvf sc_issue_gcd_job0_skywater130_demo_orfs_floorplan0_20230713-165321.tar.gz\r\ncd sc_issue_gcd_job0_skywater130_demo_orfs_floorplan0_20230713-165321\r\n./run.sh\r\n```\n\n### Relevant log output\n\n```shell\n[INFO ODB-0223] Created 11 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/pgadfort/siliconcompiler/third_party/pdks/skywater/skywater130/pdk/v0_0_2/apr/sky130_fd_sc_hd.tlef\r\nReading lef: /home/pgadfort/siliconcompiler/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lef/sky130_fd_sc_hd_merged.lef\r\n[INFO ODB-0222] Reading LEF file: /home/pgadfort/siliconcompiler/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lef/sky130_fd_sc_hd_merged.lef\r\n[INFO ODB-0225] Created 437 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/pgadfort/siliconcompiler/third_party/pdks/skywater/skywater130/libs/sky130hd/v0_0_2/lef/sky130_fd_sc_hd_merged.lef\r\nReading netlist verilog: inputs/gcd.vg\r\nReading SDC: /home/pgadfort/scgallery/scgallery/designs/gcd/constraints/sky130hd.sdc\r\nError: sc_apr.tcl, 347 can't read \"warn_error\": no such variable\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort Looks like a malformed list that is being passed into get_lib_cell_args. \r\n\r\n\r\nin sc_apr.tcl: 347\r\n\r\nIf I do:\r\nputs $sc_dontuse\r\n**{sky130_fd_sc_hd__probe_p_8 sky130_fd_sc_hd__probec_p_8} sky130_fd_sc_hd__lpflow_bleeder_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_16 sky130_fd_sc_hd__lpflow_clkbufkapwr_2 sky130_fd_sc_hd__lpflow_clkbufkapwr_4 sky130_fd_sc_hd__lpflow_clkbufkapwr_8 sky130_fd_sc_hd__lpflow_clkinvkapwr_1 sky130_fd_sc_hd__lpflow_clkinvkapwr_16 sky130_fd_sc_hd__lpflow_clkinvkapwr_2 sky130_fd_sc_hd__lpflow_clkinvkapwr_4 ...sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 # Missing a closing brace here**\r\n\r\nIf I manually re-specify the list with all the cells right before:\r\n\r\nset_dont_use $sc_dontuse\r\n\r\nThen this error does not occur any more. I think this issue can be closed if you agree. \r\n\r\n\r\n"}, {"user": "@openroadie I see the issue, but the error stems from an error in the evaluation. So I can fix the path, but the error is still there. It should be fixed in OpenSTA so it correctly fails with an error message"}, {"user": "I'm still getting:\r\nopenroad> set_dont_use $sc_dontuse \r\nwrong # args: should be \"sta::sta_warn_error msg_id warn_error msg\"\r\nwhen I call it with an invalid search pattern"}, {"user": "@openroadie FYI"}, {"assistant": "@vvbandeira FYI"}, {"assistant": "@gadfort, which commit of OpenROAD and OpenSTA are you using?"}, {"user": "v2.0-9504-gd43619e08 <- this has some edits beyond the current head of master"}, {"assistant": "@gadfort, I have compiled this version and run `./src/rsz/test/regression set_dontuse1` which passed, the log shows: \r\n```\r\n[WARNING STA-0305] object 'non_existent_cell' not found.\r\n```\r\nCould you share the contents of `$sc_dontuse`? Maybe this is another corner case."}, {"user": "@vvbandeira I don't see that test in: https://github.com/The-OpenROAD-Project/OpenROAD/tree/2313c4895460a815bf1bce666ded4a52e34074f2/src/rsz/test is it possible it's local to your setup only? Do you mean set_dont_touch1?\r\nI just recompiled, if I switch the line in set_dont_touch1 from `set_dont_touch` to `set_dontuse` I can trigger it still.\r\n "}, {"assistant": "@gadfort, apologies for the confusion I mistyped, `set_dont_touch1` is the correct test.\r\nThe commit and `rsz` test I am referring to are these: `d43619e08` and test [link](https://github.com/gadfort/OpenROAD/blob/d43619e08c7d106e016d86249666e8f531b5727a/src/rsz/test/set_dont_touch1.tcl) from your fork.\r\n\r\n---\r\n\r\nCould you explain in more detail this:\r\n> I just recompiled, if I switch the line in set_dont_touch1 from set_dont_touch to set_dontuse I can trigger it still.\r\n\r\nI get `Error: set_dont_touch1.tcl, 8 invalid command name \"set_dontuse\"`. I don't think `set_dontuse` is a valid command -- if this was because of my typo, please ignore.\r\n\r\n---\r\n\r\nThe `$sc_dontuse` contents I was asking for was from [this post](https://github.com/The-OpenROAD-Project/OpenROAD/issues/3615#issuecomment-1652114956) where you run:\r\n> openroad> set_dont_use $sc_dontuse\r\n\r\nMaybe the contents of `$sc_dontuse` have something special that triggers the error? I still cannot reproduce the error on my side. Do you have a test case or minimal example I can run on my side?"}, {"user": "@vvbandeira the command is `set_dont_use` I missed the `_` when typing it out.\r\nThere is a typo the glob for one of the cells in `$sc_dontuse`.\r\n\r\nThis triggers it for me:\r\n```\r\n# set_dont_use on cell that does not exists\r\n\r\nsource \"helpers.tcl\"\r\n\r\nread_liberty Nangate45/Nangate45_typ.lib\r\nread_lef Nangate45/Nangate45.lef\r\nread_def \"gcd_nangate45_placed.def\"\r\nset_dont_use \"non_existent_cell\"\r\n```"}]} +{"num": 3616, "messages": [{"user": "### Description\r\n\r\nThe `dont_touch` nets can contain significant confidential information.\r\n\r\n### Suggested Solution\r\n\r\nAlthough deltaDebug.py will work correctly on designs that have `dont_touch` after https://github.com/The-OpenROAD-Project/OpenROAD/pull/3614, it would be better if these nets can be removed entirely to whittle away confidential information.\r\n\r\nRef https://github.com/The-OpenROAD-Project/OpenROAD/issues/3609#issuecomment-1633157986, I believe what is needed is to create a test-case and verify that the commented out code below doesn't crash.\r\n\r\n```\r\n$ git diff\r\ndiff --git a/src/odb/src/db/dbITerm.cpp b/src/odb/src/db/dbITerm.cpp\r\nindex 92365272e..087c976e3 100644\r\n--- a/src/odb/src/db/dbITerm.cpp\r\n+++ b/src/odb/src/db/dbITerm.cpp\r\n@@ -526,23 +526,23 @@ void dbITerm::disconnect()\r\n return;\r\n \r\n _dbInst* inst = iterm->getInst();\r\n- if (inst->_flags._dont_touch) {\r\n- inst->getLogger()->error(\r\n- utl::ODB,\r\n- 370,\r\n- \"Attempt to disconnect iterm of dont_touch instance {}\",\r\n- inst->_name);\r\n- }\r\n+ // if (inst->_flags._dont_touch) {\r\n+ // inst->getLogger()->error(\r\n+ // utl::ODB,\r\n+ // 370,\r\n+ // \"Attempt to disconnect iterm of dont_touch instance {}\",\r\n+ // inst->_name);\r\n+ // }\r\n \r\n _dbBlock* block = (_dbBlock*) iterm->getOwner();\r\n _dbNet* net = block->_net_tbl->getPtr(iterm->_net);\r\n \r\n- if (net->_flags._dont_touch) {\r\n- inst->getLogger()->error(utl::ODB,\r\n- 372,\r\n- \"Attempt to disconnect iterm of dont_touch net {}\",\r\n- net->_name);\r\n- }\r\n+ // if (net->_flags._dont_touch) {\r\n+ // inst->getLogger()->error(utl::ODB,\r\n+ // 372,\r\n+ // \"Attempt to disconnect iterm of dont_touch net {}\",\r\n+ // net->_name);\r\n+ // }\r\n \r\n for (auto callback : block->_callbacks)\r\n callback->inDbITermPreDisconnect(this);\r\ndiff --git a/src/odb/src/db/dbNet.cpp b/src/odb/src/db/dbNet.cpp\r\nindex 45030a3a5..73dbe6c81 100644\r\n--- a/src/odb/src/db/dbNet.cpp\r\n+++ b/src/odb/src/db/dbNet.cpp\r\n@@ -2941,8 +2941,8 @@ void dbNet::destroy(dbNet* net_)\r\n _dbBlock* block = (_dbBlock*) net->getOwner();\r\n \r\n if (net->_flags._dont_touch) {\r\n- net->getLogger()->error(\r\n- utl::ODB, 364, \"Attempt to destroy dont_touch net {}\", net->_name);\r\n+ // net->getLogger()->error(\r\n+ // utl::ODB, 364, \"Attempt to destroy dont_touch net {}\", net->_name);\r\n }\r\n \r\n dbSet iterms = net_->getITerms();\r\n@@ -2979,12 +2979,12 @@ void dbNet::destroy(dbNet* net_)\r\n }\r\n \r\n if (block->_journal) {\r\n- debugPrint(block->getImpl()->getLogger(),\r\n- utl::ODB,\r\n- \"DB_ECO\",\r\n- 1,\r\n- \"ECO: destroy net, id: {}\",\r\n- net->getId());\r\n+ // debugPrint(block->getImpl()->getLogger(),\r\n+ // utl::ODB,\r\n+ // \"DB_ECO\",\r\n+ // 1,\r\n+ // \"ECO: destroy net, id: {}\",\r\n+ // net->getId());\r\n block->_journal->beginAction(dbJournal::DELETE_OBJECT);\r\n block->_journal->pushParam(dbNetObj);\r\n block->_journal->pushParam(net->getId());\r\n```\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Some problems might require the dont touch in order to reproduce. We could have an option to remove the dont touch status."}, {"user": "> Some problems might require the dont touch in order to reproduce. We could have an option to remove the dont touch status.\r\n\r\nDefault should be to remove as much as possible, I think.\r\n\r\nI believe the primary concern of deltaDebug.py is confidentiality. Certainly there are size optimizations that are not done and make foo_issue includes.pretty much everything..."}, {"assistant": "The primary concern is to reproduce the problem first. The script was written for reducing problems for faster debug. Confidentiality wasn't even a consideration at that time."}, {"user": "> The primary concern is to reproduce the problem first. The script was written for reducing problems for faster debug. Confidentiality wasn't even a consideration at that time.\r\n\r\nI see. So to support this workflow for confidentiality purposes is indeed a feature request."}, {"assistant": "Making the design smaller serves both purposes though. I'll send a PR for you test and see if it can reduce further."}, {"assistant": "@oharboe please try https://github.com/The-OpenROAD-Project/OpenROAD/pull/3623 and see if it works better at reducing your test case"}, {"user": "> @oharboe please try #3623 and see if it works better at reducing your test case\r\n\r\nSeems to work well :-)\r\n\r\n"}]} +{"num": 3624, "messages": [{"user": "### Describe the bug\n\nI am building OpenROAD with these commands:\r\n\r\n```\r\nmkdir build && cd build\r\ncmake ..\r\ncmake --build . -j 8\r\n```\r\n\r\nI receive the following error:\r\n```\r\n/home/ian/OpenROAD/src/dbSta/src/dbSta.cc: In member function \u2018virtual void sta::dbStaCbk::inDbBTermCreate(odb::dbBTerm*)\u2019:\r\n/home/ian/OpenROAD/src/dbSta/src/dbSta.cc:579:9: error: \u2018class sta::dbSta\u2019 has no member named \u2018makePortPinAfter\u2019\r\n 579 | sta_->makePortPinAfter(pin);\r\n | ^~~~~~~~~~~~~~~~\r\n```\r\n\n\n### Expected Behavior\n\nCompilation succeeds without error.\n\n### Environment\n\n```shell\nGit commit: 8619564c5b7569ab6742cd1be8ba74d7e9863f0d\r\nkernel: Linux 5.15.0-76-generic\r\nos: Ubuntu 20.04.6 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9222-g8619564c5\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.9 (found version \"3.9.5\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.10\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 2609cc89eeb02a06ceca1890624d4fa1932d930b\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/ian/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.MPFdzTlAcW\n```\n\n\n### To Reproduce\n\n```\r\nmkdir build && cd build\r\ncmake ..\r\ncmake --build . -j 8\r\n```\r\nor\r\n```\r\nmake\r\n```\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Most likely your sta submodule is out of date. Try ```git submodule update --init --recursive```"}, {"user": "That was it, thanks!"}]} +{"num": 3634, "messages": [{"user": "@osamahammad21 any thoughts on the loop?\r\n\r\n_Originally posted by @maliberty in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3597#discussioncomment-6398612_"}, {"assistant": "@oharboe Can you post the full environment to regenerate this test case? "}, {"user": "> @oharboe Can you post the full environment to regenerate this test case?\r\n\r\nFollow instructions in link above."}, {"assistant": "@oharboe yeah but when I do it on master ORFS, I get the following error:\r\n\r\n> [ERROR PDN-0179] Unable to repair all channels."}, {"user": "> @oharboe yeah but when I do it on master ORFS, I get the following error:\r\n> \r\n> > [ERROR PDN-0179] Unable to repair all channels.\r\n\r\nI see.... I can file a better github issue with commit hashes next time I see it... Otherwise you'll have to go spelunking in older versions of openroad and ORFS to reproduce the case...."}]} +{"num": 3649, "messages": [{"user": "### Describe the bug\r\n\r\nnot a lot of `->getType(), so probably here?\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/590a27d1319cb76b2d30fef3099c9c02a34e66e5/src/odb/src/lefout/lefout.cpp#L70\r\n\r\n```\r\nStarting generation of abstract views\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000000000D2A1A7 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FD5BD343400 in /lib64/libc.so.6\r\n 2# odb::dbTechLayer::getType() in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# odb::lefout::insertObstruction(odb::dbTechLayer*, odb::Rect const&, std::map, std::less, std::allocator > > >&) const in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# odb::lefout::findInstsObstructions(std::map, std::less, std::allocator > > >&, odb::dbBlock*) const in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# odb::lefout::getObstructions(odb::dbBlock*, std::map, std::less, std::allocator > > >&) const in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# odb::lefout::writeObstructions(odb::dbBlock*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# odb::lefout::writeBlock(odb::dbBlock*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# odb::lefout::writeAbstractLef(odb::dbBlock*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# odb::dbBlock::saveLef(char*, int, bool) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# 0x00000000011BF2A7 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# 0x0000000000D6CC1F in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# 0x00007FD5C2011EB2 in /lib64/libtcl8.5.so\r\n13# 0x00007FD5C205636C in /lib64/libtcl8.5.so\r\n14# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n15# 0x00007FD5C2011EB2 in /lib64/libtcl8.5.so\r\n16# 0x00007FD5C205636C in /lib64/libtcl8.5.so\r\n17# 0x00007FD5C205E647 in /lib64/libtcl8.5.so\r\n18# TclEvalObjEx in /lib64/libtcl8.5.so\r\n19# 0x00007FD5C209927F in /lib64/libtcl8.5.so\r\n20# 0x00007FD5C2011EB2 in /lib64/libtcl8.5.so\r\n21# 0x00007FD5C205636C in /lib64/libtcl8.5.so\r\n22# 0x00007FD5C205E647 in /lib64/libtcl8.5.so\r\n23# TclEvalObjEx in /lib64/libtcl8.5.so\r\n24# 0x00007FD5C20191D0 in /lib64/libtcl8.5.so\r\n25# 0x00007FD5C2011EB2 in /lib64/libtcl8.5.so\r\n26# 0x00007FD5C205636C in /lib64/libtcl8.5.so\r\n27# 0x00007FD5C205E647 in /lib64/libtcl8.5.so\r\n28# TclEvalObjEx in /lib64/libtcl8.5.so\r\n29# 0x00007FD5C201CF00 in /lib64/libtcl8.5.so\r\n30# 0x00007FD5C2011EB2 in /lib64/libtcl8.5.so\r\n31# 0x00007FD5C205636C in /lib64/libtcl8.5.so\r\n32# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n33# 0x00007FD5C2011EB2 in /lib64/libtcl8.5.so\r\n34# 0x00007FD5C205636C in /lib64/libtcl8.5.so\r\n35# TclObjInterpProcCore in /lib64/libtcl8.5.so\r\n36# 0x00007FD5C2011EB2 in /lib64/libtcl8.5.so\r\n37# 0x00007FD5C2012F1E in /lib64/libtcl8.5.so\r\n38# Tcl_EvalEx in /lib64/libtcl8.5.so\r\n39# Tcl_Eval in /lib64/libtcl8.5.so\r\n40# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n41# ord::tclAppInit(Tcl_Interp*) in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n42# Tcl_Main in /lib64/libtcl8.5.so\r\n43# main in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n44# __libc_start_main in /lib64/libc.so.6\r\n45# 0x0000000000D23EC7 in /OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n```\r\n\r\n### Expected Behavior\r\n\r\nno crash\r\n\r\n### Environment\r\n\r\n```shell\r\nlatest master\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nWill work on whittling down an example, I can't share the failing design.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "failed miserably with `deltaDebug.py`...\r\n\r\nIn debugger, I see:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/4ac86fb7-b4fd-41b2-96aa-9c592c162856)\r\n"}, {"assistant": "Can you look at the LEF for the term of this master and see if there is a via there? It seems odd to have a layer-less via."}]} +{"num": 3653, "messages": [{"user": "### Description\n\nWhen running OR in \"batch mode\", with ``, IMHO it would be good if OR printed each line\r\nof the sourced TCL file before executing it. This-way it is much simpler to debug the script without\r\nthe need to manually print something before each executed command.\r\n\r\nOr did I miss something in the manual and there is an option how to enable this type of behavior?\r\n\r\nIs this feasible ? I guess implementation wise, its just a matter of one more \"print\" somewhere, but\r\nI can imagine that it can break lot of tests if this behavior is made default.\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Ok, now I see that it is similar to:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/issues/3539"}, {"assistant": "duplicate as noted"}]} +{"num": 3655, "messages": [{"user": "### Describe the bug\r\n\r\nLooks like the `set_dont_use` is not working when called with an invalid cell:\r\ncalling `set_dont_use \"H\"`\r\nIt generates this error: `Wrong number of arguments :utl::warn tool id msg argument 3`\r\n\r\n### Expected Behavior\r\n\r\ngenerates warning or error to indicate the cell is not present\r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.26.4\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9331-g536692015\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.6\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: bb09de2e0a9404605c19101ee9dab1e6b6fea654\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/pgadfort/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\")\r\n-- GUI is enabled\r\n-- Charts widget is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done (31.1s)\r\n-- Generating done (0.6s)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[err.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12089092/err.tar.gz)\r\n\r\n```\r\ntar xvf err.tar.gz\r\nopenroad err.tcl\r\n```\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\n[INFO ODB-0131] Created 17 components and 92 component-terminals.\r\n[INFO ODB-0132] Created 2 special nets and 34 connections.\r\n[INFO ODB-0133] Created 7 nets and 30 connections.\r\n[INFO ODB-0134] Finished DEF file: repair_setup1.def\r\nError: err.tcl, 6 Wrong number of arguments :utl::warn tool id msg argument 3\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@vvbandeira is this the same as the problem you reported earlier?"}, {"assistant": "Yes, looks like."}, {"assistant": "@vvbandeira would you give a link to your issue or an eta?"}]} +{"num": 3659, "messages": [{"user": "### Describe the bug\r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x00005607F1141458 in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n 1# 0x00007FD639912090 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# grt::IncrementalGRoute::updateRoutes() in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n 3# rsz::Resizer::updateParasitics() in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n 4# rsz::RecoverPower::recoverPower() in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n 5# 0x00005607F128312B in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n 6# TclNRRunCallbacks in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n 7# 0x00007FD63D5DD924 in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n 8# Tcl_EvalEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n 9# Tcl_Eval in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n11# ord::tclAppInit(Tcl_Interp*) in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n12# Tcl_MainEx in /usr/lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# main in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n14# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n15# _start in /home/harsh/work/harsh/or3/OpenROAD/build/src/openroad\r\n\r\n```\r\nAs described in the title\r\n\r\n### Expected Behavior\r\n\r\nNo crashes. It is possible that there are bugs in the recover_power code so please don't assume the netlist connectivity is correct (but in that case incremental global routing should error out instead of crashing). \r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.8.7-050807-lowlatency\r\nos: Ubuntu 20.04.6 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\nCMake Warning at CMakeLists.txt:98 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: da9d8fe190e8469f48662b401515870c371c0a3a\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /home/harsh/work/harsh/gcloud_test/.venv/bin/python3.8 (found version \"3.8.10\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.10\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.17\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: bb09de2e0a9404605c19101ee9dab1e6b6fea654\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /scratch/harsh/or3/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.iBg7nSX1G8\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nmake DESIGN_CONFIG=./designs/asap7/gcd/config.mk global_route\r\n\r\nActually any ORFS testcase will show the issue as long as the global_route.tcl file is modified as follows:\r\n\r\nglobal_route -guide_file $env(RESULTS_DIR)/route.guide \\\r\n -congestion_report_file $env(REPORTS_DIR)/congestion.rpt \\\r\n {*}[expr {[info exists ::env(GLOBAL_ROUTE_ARGS)] ? $::env(GLOBAL_ROUTE_ARGS) : {-congestion_iterations 20 -verbose}\r\n}]\r\n\r\nset_propagated_clock [all_clocks]\r\nestimate_parasitics -global_routing\r\n**repair_timing -recover_power**\r\n\r\nsource $env(SCRIPTS_DIR)/report_metrics.tcl\r\nreport_metrics \"global route\"\r\n\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nN/A\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\nN/A\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@maliberty @luis201420 @eder-matheus I do not know how to upload the issue tar file. I tried to drag it into this window but it got rejected since there is a limit of 25MB and my file is 27MB. You can run any design with the 1 line change in the \"To Reproduce\" section. asap7/gcd takes < 5 minutes to show the problem.\r\n\r\nLet me know what I am missing in terms of being to upload a tar.gz file with the testcase. I used the latest OR and ORFS "}, {"assistant": "You can put it in gdrive and put a link here."}, {"user": "Thank you @maliberty . I should have thought of that. Here it is:\r\n\r\nhttps://drive.google.com/file/d/1kOHD0lI8kluOMyX_hzB6KisN7ULFTI__/view?usp=sharing\r\n"}, {"user": "@luis201420 now I have a different crash in antenna rule fixing. Here is the testcase:\r\n\r\nhttps://drive.google.com/file/d/1GKiQ9ee0sd8KVr74PeM0MYhkzDCBu7qX/view?usp=sharing\r\n"}, {"assistant": "> @luis201420 now I have a different crash in antenna rule fixing. Here is the testcase:\r\n> \r\n> https://drive.google.com/file/d/1GKiQ9ee0sd8KVr74PeM0MYhkzDCBu7qX/view?usp=sharing\r\n\r\n@openroadie Could you create a new issue for this antenna crash? Please feel free to assign it to me. Also, can this issue be closed if the incremental routing crash is fixed?"}, {"user": "@eder-matheus Let me verify that this crash is fixed and open a new issue. Makes sense. Thanks :) "}, {"user": "Verified that the original problem is fixed. Thank you @luis201420 "}]} +{"num": 3661, "messages": [{"user": "### Describe the bug\n\nWhen I try `define_corners`, it allows the same corners to be defined multiple times, e.g.:\r\n\r\n```\r\ndefine_corners min typ max\r\ndefine_corners min typ max\r\ndefine_corners min typ max\r\n```\r\n\r\n\n\n### Expected Behavior\n\nI would expect that if I attempt to redefine already existing corner, that I get an error saying that the corner\r\nalready exists.\r\n\r\n\n\n### Environment\n\n```shell\nGit commit: 914d6d23c442175889a2cca9b8e6fc37fe66e244\r\nkernel: Linux 4.18.0-425.10.1.el8_7.x86_64\r\nos: Red Hat Enterprise Linux 8.7 (Ootpa)\r\ncmake version 3.23.2\r\n-- The CXX compiler identification is GNU 8.5.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9373-g8c6f0f8f9\r\n-- System name: Linux\r\n-- Compiler: GNU 8.5.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 8.5.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /tools/tropic/ts-python-env/virtualenvs/ts-python-env-yQ9mF3ZL-py3.8/bin/python3.8 (found version \"3.8.13\") found components: Interpreter \r\n-- Looking for pthread.h\r\n-- Looking for pthread.h - found\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL readline library: /usr/lib64/libtclreadline.so\r\n-- TCL readline header: /usr/include\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.13\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib64/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.0.4\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.14\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: bb09de2e0a9404605c19101ee9dab1e6b6fea654\r\n-- System name: Linux\r\n-- Compiler: GNU 8.5.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.1\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /tmp/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found re2: /opt/or-tools/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.MiJJvRuW5V\n```\n\n\n### To Reproduce\n\n./openroad -gui\r\n\r\nIn TCL shell:\r\n\r\n```\r\ndefine_corners min typ max\r\ndefine_corners min typ max\r\n```\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@Blebowski The current behavior is to clear any corner definitions from the previous define_corners command. This is what the STA documentation states:\r\n\r\n\"The define_corners command must follow set_operating_conditions -analysis_type and precede any reference to the corner names and can only appear once in a command file. There is no support for re-defining corners.\"\r\n\r\nSo the same corner is not being defined multiple times and only one define_corners command is allowed. In general it is better for tools to not error out so the decision here is to apply the last corners command. This could come in handy when there is a complex set of scripts and a lower level script may want to define corners different from what has already been defined. \r\n\r\nNot sure if anything needs to be done. If it is really critical ... maybe a warning can be added that the command that is meant to be used once is being used multiple times. Let me know what you think. "}, {"user": "Hi @openroadie , \r\n\r\nI see, so next calls \"replace\" all corners from previous calls. I think throwing a warning that states something like:\r\n```\r\nWARNING: \"define_corners\" executed when corners have previously been defined. Removing previous corners and replacing them with .\r\n```\r\nwould be usefull here.\r\n\r\nAlso, I was wondering whether there could be a command `report_corners`. It could list the existing corners, along\r\nwith libraries associated with each corner and some information (e.g. operating conditions)."}, {"assistant": "To be absolutely precise... a \"define_corners\" command will erase/clear all corners from the previous call... which erased all corners from the call before that and son on. \r\n\r\nLet me look at the feasibility of the warning as well as the report_corners command. "}, {"assistant": "It isn't an error to redefine existing corners and there is no point in warning that the corners are being redefined. That is like saying \"set x \"a b c\"; set x \"e f g\" should warn that x is being redefined.\r\nIf you try to call it after a reading liberty file it will error because there is no support for\r\nredefining corners."}, {"user": "Hi @jjcherry56 , OK then.\r\n\r\nHowever, if the `define_corners` should be called only once, is following valid:\r\n```\r\ndefine_corners max\r\ndefine_corners min\r\n```\r\nor further (since you said error will be thrown if called after liberty was loaded), following:\r\n```\r\ndefine_corners max\r\nread_liberty -corner max \r\ndefine_corners min\r\nread_liberty -corner min \r\n```\r\n?"}, {"assistant": "no, it is not valid.\r\nwhy don't you try running it.\r\n\r\n"}, {"user": "Thanks. I am not on the PC now where I have those libs available. I would do that otherwise."}, {"assistant": "The libraries are irrelevant. You can use the ones referenced in examples/multi_corner.tcl"}, {"user": "Yup, you are right, I should have checked."}]} +{"num": 3672, "messages": [{"user": "### Describe the bug\n\nI have a testcase design comprising a few I/O cells and 501 flop instances.\r\nThe SE pins of the flops are directly hooked-up to the internal output pin of an I/O cell.\r\nBasically, the testcase uses the embedded MUX of the MuxD flip flops to add a reset to the flops.\r\n(This testcase was just created to re-produce the buffering issue found using a different design.)\r\n\r\n```\r\nmodule testcase (\r\n[...]\r\n input rst,\r\n[...]\r\n parameter FLOPS = 500;\r\n[...]\r\n wire rst_int;\r\n[...]\r\n (* keep *) gf180mcu_fd_io__in_s pad_rst(\r\n .PU(1'b0), .PD(1'b0),\r\n .PAD(rst),\r\n .Y(rst_int)\r\n );\r\n[...]\r\n wire [FLOPS-1:0] flop_q;\r\n\r\n gf180mcu_fd_sc_mcu7t5v0__sdffq_1 flops [FLOPS-1:0] (\r\n .CLK({FLOPS{clk_int}}),\r\n .SE({FLOPS{rst_int}}),\r\n .SI({FLOPS{1'b0}}),\r\n .D({flop_q[FLOPS-2:0], din_int}),\r\n .Q(flop_q),\r\n );\r\n[...]\r\n```\r\nDuring execution of `resizer.tcl`, the placement utilization increases from 15% before `repair_design` to 49% after `repair_design`, which is an area increase of +237%. This issue is only triggered, when the net is driven by the IO cell. If the net is a primary IO, the buffering does not happen.\r\n```\r\nDesign area 75230 u^2 15% utilization.\r\n[INFO RSZ-0058] Using max wire length 6781um.\r\n[INFO RSZ-0034] Found 1 slew violations.\r\n[INFO RSZ-0035] Found 1 fanout violations.\r\n[INFO RSZ-0036] Found 1 capacitance violations.\r\n[INFO RSZ-0038] Inserted 5087 buffers in 1 nets.\r\n[INFO RSZ-0039] Resized 5083 instances.\r\nDesign area 254327 u^2 49% utilization.\r\n```\r\nIf a buffer is inserted between the IO cell and the high-fanout net, the excessive buffering does not happen.\r\nFor that purpose, this code was added to `resizer.tcl` within the test case.\r\n(Adding the buffer inside the RTL would have also worked.)\r\n```\r\n make_net rst_int_buffered\r\n make_inst rst_int_buf gf180mcu_fd_sc_mcu7t5v0__buf_4\r\n foreach pin [get_pins -of_objects [get_nets rst_int] -filter \"direction == input\"] {\r\n disconnect_pin rst_int $pin\r\n connect_pin rst_int_buffered $pin\r\n }\r\n connect_pin rst_int_buffered rst_int_buf/Z\r\n connect_pin rst_int rst_int_buf/I\r\n # place new cell rst_inst_buf\r\n global_placement -incremental\r\n```\r\nNow, only moderate buffering occurs, added buffer count dropped from 5087 down to 68, the placement utilization remains at 15%.\r\n```\r\nDesign area 75260 u^2 15% utilization.\r\n[INFO RSZ-0058] Using max wire length 6781um.\r\n[INFO RSZ-0035] Found 1 fanout violations.\r\n[INFO RSZ-0038] Inserted 68 buffers in 1 nets.\r\n[INFO RSZ-0039] Resized 70 instances.\r\nDesign area 76648 u^2 15% utilization.\r\n\r\n```\r\n\r\nI have additionally attached an ipython notebook for Google Colab to reproduce the issue.\r\n\r\n\r\n/cc @proppy \r\n/cc @dhaentz1\n\n### Expected Behavior\n\nOnly moderate buffering should occur when buffering high-fanout nets. It should not consume a multiple of the area the actual design has before buffering.\n\n### OpenROAD Environment\n\n```shell\nEnv.sh seems not to be included in my litex-hub package - please use the testcase notebook to extract data.\n```\n\n\n### OpenLane Environment\n\n```shell\nenv.py seems to be broken in my setup - please use the testcase notebook to extract data.\n```\n\n\n### To Reproduce\n\n[OpenRoad__excessive_buffering_on_high_fanout_net_when_resizing.ipynb.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12105169/OpenRoad__excessive_buffering_on_high_fanout_net_when_resizing.ipynb.gz)\r\n[_build.excessive_buffering_in_resizer.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12105182/_build.excessive_buffering_in_resizer.zip)\r\n\n\n### Relevant log output\n\n```shell\nDesign area 75230 u^2 15% utilization.\r\n[INFO RSZ-0058] Using max wire length 6781um.\r\n[INFO RSZ-0034] Found 1 slew violations.\r\n[INFO RSZ-0035] Found 1 fanout violations.\r\n[INFO RSZ-0036] Found 1 capacitance violations.\r\n[INFO RSZ-0038] Inserted 5087 buffers in 1 nets.\r\n[INFO RSZ-0039] Resized 5083 instances.\r\nDesign area 254327 u^2 49% utilization.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "This is because the default_max_fanout value for the io cells is set to 1 in the liberty files. Changing it a more reasonable number (10) will fix the issue. Adding a buffer in the middle (which has a reasonable value for default_max_fanout) also achieves the same goal. "}, {"user": "I disagree with the solution (though I agree a max-fanout of 1 is probably inappropriate for an IO cell).\r\nThe solution implies OR cannot fix buffer trees if the driver cell has a max-fanout of 1 which may be reasonable for other cells. The correct solution would be OR to add a single buffer to fulfill the max-fanout-1 requirement, and then add buffers after that buffer.\r\n\r\nPlease re-open the issue."}, {"assistant": "I don't think the buffering code is going to have this kind of human level intelligence in the near future. If a driver cell has a max fanout of 1 for other cells... one hopes that this driver cell is not connected to 500 other cells because then the resizer code is stuck fixing bugs/problems created by some other module (and the problem should be fixed at the source). We can keep this issue open if you like. "}, {"assistant": "@openroadie it seems simple enough to fix the fanout violation. Is there a reason rsz can't insert one buffer which can drive a larger fanout (or subsequent buffers). It doesn't seem that different from fixing a max cap or slew violation where a buffer tree is needed."}]} +{"num": 3674, "messages": [{"user": "### Describe the bug\n\nWhen the clock pin is defined as a wirebond or bump cell input for a chip, CTS will insert a clock buffer on the pad itself which causes the design to unrouteable and connecting cells directly to IO voltages.\n\n### Expected Behavior\n\nCTS does not modify pad connections\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.26.4\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9331-g536692015\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.6\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: bb09de2e0a9404605c19101ee9dab1e6b6fea654\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/pgadfort/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.0\")\r\n-- GUI is enabled\r\n-- Charts widget is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done (31.1s)\r\n-- Generating done (0.6s)\n```\n\n\n### To Reproduce\n\n[sc_issue_zerosoc_signal_m4_cts0_20230720-081826.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12109424/sc_issue_zerosoc_signal_m4_cts0_20230720-081826.tar.gz)\r\n\r\n```\r\ntar xvf sc_issue_zerosoc_signal_m4_cts0_20230720-081826.tar.gz\r\ncd sc_issue_zerosoc_signal_m4_cts0_20230720-081826\r\n./run.sh\r\n```\r\n\r\ninspect port \"we_pad\\[5\\]\", this is no longer connected to the padring.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Do you have a .lib for the pad cell? I'm not finding it in your test case"}, {"user": "@maliberty it's included as \" ../../sc_collected_files/sky130_dummy_io_fd3d9afce7ba0ddbf6fb0d6459e4930dc00a120b.lib\" I just checked and reran the test and it's there."}, {"assistant": "I see sky130_fd_io__top_gpiov2 but that doesn't match:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/72c2c201-85df-4c89-a3fe-6c86226fcd5f)\r\n"}, {"user": "@maliberty thats interesting. I see what you mean, I'll have to look into this, but the underlying issue still stands (I had this issue too in last years tapeout)."}, {"assistant": "Agreed but just FYI so that when this is fixed you don't wonder why you still have no clock tree."}, {"user": "@maliberty yes, that would also be very confusing (thanks), I probably never noticed because to get around the CTS thing, the clock was always defined to the output pin of the pad."}]} +{"num": 3680, "messages": [{"user": "### Description\r\n\r\nAlso, I can't change the application font in docker. This works fine when I run OpenROAD locally.\r\n\r\nMonospace will make it easier to read e.g. `report_checks`.\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/fa1ed85f-7b59-4493-808f-e9e5d05258bd)\r\n\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "We already do this:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/8d38e734-9651-466f-a3d3-07a996a07f98)\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/80776d9afee70f0ee3dfbf5e8dacabddb4cd1091/src/gui/src/mainWindow.cpp#L119"}, {"user": "It works locally, but not when run from within Docker"}, {"assistant": "Perhaps you have no monospace font installed in your container"}, {"user": "> Perhaps you have no monospace font installed in your container\r\n\r\nI build the container with `./build_openroad.sh`"}]} +{"num": 3692, "messages": [{"user": "### Describe the bug\r\n\r\nbuild fails when running cmake from the main source directory with the following error:\r\n```\r\n[ 74%] Linking CXX static library ifp.a\r\nError: IFP 0038 used 2 times\r\ncmamake[2]: *** [src/ifp/src/CMakeFiles/ifp.dir/build.make:157: src/ifp/src/ifp.a] Error 1\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nbuild passes\r\n\r\n### Environment\r\n\r\n```shell\r\nN/A\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n```\r\ncmake .\r\nmake\r\n```\r\n\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nThis is likely due to `find_message.py` inspecting generated files for similar error messages, see:\r\n\r\nIFP 0038 InitFloorplan.i:62 \u00a0 \u00a0 \u00a0 \u00a0No design is loaded. ERROR https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/home/proppy/src/github.com/The-OpenROAD-Project/OpenROAD/src/ifp/src/InitFloorplan.i#L62\r\nIFP 0038 InitFloorplanTCL_wrap.cxx:1792 No design is loaded. ERROR https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/home/proppy/src/github.com/The-OpenROAD-Project/OpenROAD/src/ifp/src/CMakeFiles/ifp.dir/InitFloorplanTCL_wrap.cxx#L1792\r\n```"}, {"assistant": "@proppy I only see the message in one place:\r\n```\r\nsrc/ifp/src/InitFloorplan.i: logger->error(utl::IFP, 38, \"No design is loaded.\");\r\n```\r\nCould you try a clean build? Might be a caching issue."}, {"assistant": "stale"}]} +{"num": 3724, "messages": [{"user": "### Describe the bug\n\n`add_pdn_stripe` checks if a set of stripes can be added into the boundaries of a grid.\r\nDue to a math error in this check, no stripe set can be added exactly at the right or top boundary of a grid, but needs to have spacing of half of the stripe width between the last stripe and the right or top boundary.\r\n\r\nOn the other hand, `add_pdn_stripe` allows stripes to be created up to the half of the width of a stripe outside of the left or bottom boundary of a grid.\r\n\r\nI assume, `add_pdn_stripe` performs its range checks based on the left or bottom side of a stripe to be created rather than based on the center of the first stripe of a set of stripes. This shifts the comparison point by half of the stripe's width.\r\n\r\nThis error occurs for both stdcell grid and macro grid.\r\n\r\nI've attached a test case where a vertical stripe gets incorrectly created outside the grid boundary, and where a vertical pair of stripes ending exactly on the right boundary of the grid does not get created with the following error:\r\n`[ERROR PDN-0185] Insufficient width (189.28 um) to add straps on layer Metal4 in grid \"stdcell_grid\" with total strap width 8.53 um and offset 182.75 um.`\r\nThe grid has a width of 189.28um, the two stripes have a width of 4um each and a spacing of 0.530um, so given `-offset` refers to the center of the left stripe, a spacing of 6.53um to the right boundary should suffice to fit both stripes into the grid area, not 8.53um as indicated by the error message.\r\n\r\nI have additionally attached an ipython notebook for Google Colab to reproduce the issue.\r\n\r\n/cc @proppy\r\n/cc @dhaentz1\r\n\n\n### Expected Behavior\n\n`add_pdn_grid` should take into consideration, that its option `-offset` refers to the center of the first stripe to be created, but not to the left/bottom side.\r\n\r\nGiven a die area and core area of `[0 0 189.28 188.16]`, the following commands should fail:\r\n```\r\n# creating vertical stripe pair, first stripe with center x=0, \r\n# left edge starts 2um outside grid boundary (x=-2um)\r\n# -> currently, this does not fail\r\nadd_pdn_stripe \\\r\n -grid stdcell_grid \\\r\n -layer $::env(FP_PDN_LOWER_LAYER) \\\r\n -width 4.0 \\\r\n -offset 0.0 \\\r\n -pitch 50 \\\r\n -spacing 0.530 \\\r\n -number_of_straps 1 \\\r\n -starts_with POWER\r\n\r\n# creating horizontal stripe pair, first stripe with center y=0, \r\n# bottom edge starts 2um outside grid boundary (y=-2um)\r\n# -> currently, this does not fail\r\nadd_pdn_stripe \\\r\n -grid stdcell_grid \\\r\n -layer $::env(FP_PDN_UPPER_LAYER) \\\r\n -width 4.0 \\\r\n -offset 0.0 \\\r\n -pitch 50 \\\r\n -spacing 0.530 \\\r\n -number_of_straps 1 \\\r\n -starts_with POWER\r\n```\r\n\r\nGiven a die area and core area of `[0 0 189.28 188.16]`, the following commands should **not** fail:\r\n```\r\n# creating vertical stripe pair, first stripe with center x=182.75um, \r\n# right edge of last stripe ends at right boundary of grid\r\n# -> currently, this does fail\r\nadd_pdn_stripe \\\r\n -grid stdcell_grid \\\r\n -layer $::env(FP_PDN_LOWER_LAYER) \\\r\n -width 4.0 \\\r\n -offset [expr 189.28 - 6.53]\\\r\n -pitch 50 \\\r\n -spacing 0.530 \\\r\n -number_of_straps 1 \\\r\n -starts_with POWER\r\n\r\n# creating horizontal stripe pair, first stripe with center y=182.75um, \r\n# right edge of last stripe ends at top boundary of grid\r\n# -> currently, this does fail\r\nadd_pdn_stripe \\\r\n -grid stdcell_grid \\\r\n -layer $::env(FP_PDN_UPPER_LAYER) \\\r\n -width 4.0 \\\r\n -offset [expr 188.16 - 6.53]\\\r\n -pitch 50 \\\r\n -spacing 0.530 \\\r\n -number_of_straps 1 \\\r\n -starts_with POWER\r\n\r\n```\n\n### OpenROAD Environment\n\n```shell\nEnv.sh seems not to be included in my litex-hub package - please use the testcase notebook to extract data.\n```\n\n\n### OpenLane Environment\n\n```shell\nenv.py seems to be broken in my setup - please use the testcase notebook to extract data.\n```\n\n\n### To Reproduce\n\n[OpenRoad__math_bug_in_pdn.ipynb.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12174075/OpenRoad__math_bug_in_pdn.ipynb.gz)\r\n\r\n[issue_reproducible.pdn_math_error.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12174093/issue_reproducible.pdn_math_error.zip)\r\n\n\n### Relevant log output\n\n```shell\n[ERROR]: Last 10 lines:\r\nCreating horizontal stripe pair starting 2um left of core area.\r\nThis should fail as offset is 0 and width is 4.0um, so strap starts at x == -2.0um\r\n... but it does not fail\r\nCreating horizontal strip pair ending exactly at right end of core area.\r\nThis should not fail as offset 182.75um + 6.53um == 189.28um (width of core area)\r\n6.53um = (1/2 + 1) * stripe_width + stripe-to-stripe spacing\r\n... but it does fail\r\n[ERROR PDN-0185] Insufficient width (189.28 um) to add straps on layer Metal4 in grid \"stdcell_grid\" with total strap width 8.53 um and offset 182.75 um.\r\nError: custom_pdn_cfg.tcl, 42 PDN-0185\r\nchild process exited abnormally\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@chaufe this testcase is not runnable. Please add the missing variables to and reupload."}, {"user": "@gadfort I'm using the \"stock\" issue_reproducible created from within OpenLane's `or_issue.py`, but it seems only a subset of the variables of my `config.tcl` have been included, i.e. `FP_PDN_LOWER_LAYER` has been included into `run.sh` but others like `FP_PDN_UPPER_LAYER` are missing. Flow and PDK defaults are also missing. Re-creating the issue_reproducible - even using the latest version of `or_issue.py` from github - renders the same result. \r\n\r\nWould my `config.tcl` be of any help for you?\r\n\r\n```\r\nset ::env(DESIGN_NAME) \"testcase\"\r\n\r\nset ::env(VERILOG_FILES) [list \"testcase.v\" ]\r\n\r\nset ::env(DESIGN_IS_CORE) 1\r\nset ::env(FP_PDN_CORE_RING) 0\r\nset ::env(FP_PDN_UPPER_LAYER) Metal5\r\nset ::env(FP_PDN_LOWER_LAYER) Metal4\r\nset ::env(FP_PDN_RAILS_LAYER) Metal1\r\n\r\nset ::env(CLOCK_TREE_SYNTH) 1\r\nset ::env(CLOCK_PORT) \"clk\"\r\nset ::env(CLOCK_PERIOD) 100\r\n\r\nset ::env(FP_SIZING) absolute\r\nset ::env(DIE_AREA) \"0 0 189.28 188.16\"\r\nset ::env(CORE_AREA) \"0 0 189.28 188.16\"\r\n\r\nset ::env(PL_TARGET_DENSITY) 0.65\r\n\r\n# use custom PDN config\r\nset ::env(PDN_CFG) /content/custom_pdn_cfg.tcl\r\n```\r\n\r\nBtw, my testcase can also be fully run inside Google Colab using this link:\r\nhttps://colab.research.google.com/github/chaufe/testcases/blob/main/colab/OpenRoad__math_bug_in_pdn.ipynb"}, {"assistant": "@donn please help with fixing or_issue.py so we can get a proper issue. "}, {"assistant": "no usable test case provided"}]} +{"num": 3726, "messages": [{"user": "### Describe the bug\n\nDetailed route leaves the design with 3 DRCs (previously 0).\n\n### Expected Behavior\n\nNo DRCs are expected. \n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.8.7-050807-lowlatency\r\nos: Ubuntu 20.04.6 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9491-g3aee924c6\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /home/harsh/work/harsh/sor/OpenROAD-flow-scripts/flow/.venv/bin/python3.8 (found version \"3.8.10\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.10\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.17\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3275a304e17092895b7d7721148edc7dc67ba3aa\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /scratch/harsh/sor/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.xX09ZehTA3\n```\n\n\n### To Reproduce\n\nPlease use this testcase:\r\n\r\nhttps://drive.google.com/file/d/1DS6PoxeLgn3ZzLdMYHI9JfBRiv9PjsmZ/view?usp=sharing\n\n### Relevant log output\n\n```shell\nN/A\r\nThere are 3 DRC errors with this branch. Maybe the investigation needs to wait until this Pr is merged:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/pull/3668\n```\n\n\n### Screenshots\n\nN/A\n\n### Additional Context\n\n_No response_"}, {"assistant": "@openroadie This is not specific to your changes in this PR. These 3 violations keep appearing every now and then with small changes upstream. The solution to this issue is not straight forward right now but I am looking into it. Tackling this issue would require adapting new strategies for such violations at the end of routing."}, {"assistant": "What new strategies do you have in mind?"}, {"assistant": "@maliberty I am currently testing with introducing new ripup mode where I rip up neighboring nets to the marker. I am bloating the marker by 4 times its size and ripping all the nets in that area. It's still in development."}, {"assistant": "@osamahammad21 will that bloat become another strategy variable or will you do it all the time?"}]} +{"num": 3727, "messages": [{"user": "### Describe the bug\n\nIn check_placement the code has:\r\n```\r\n set file_name \"failures_report.json\"\r\n if { [info exists keys(-report_file_name) ] } {\r\n set file_name $keys(-report_file_name)\r\n }\r\n```\r\nThis means failures_report.json is dumped in the working directory whenever no report is requested. \n\n### Expected Behavior\n\nPlease change this to not write anything if -report_file_name is not used.\n\n### Environment\n\n```shell\nhead of master\n```\n\n\n### To Reproduce\n\nas above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@eder-matheus FYI"}, {"user": "aside: please also make an ORFS PR to use -report_file_name "}, {"user": "@mina1460 eta?"}, {"assistant": "@maliberty \r\ntoday \r\n"}]} +{"num": 3737, "messages": [{"user": "### Description\n\nIf you have a rounding error in placing the macros and are off by 1nm, you'll get strange DRC errors:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/da2cdf13-7008-430b-8238-e18af2511d9d)\r\n\n\n### Suggested Solution\n\nExamine macro placements and print a warning if the macros are not \"on the grid\".\n\n### Additional Context\n\n_No response_"}, {"assistant": "@osamahammad21 I thought you already did this in drt."}, {"user": "> @osamahammad21 I thought you already did this in drt.\r\n\r\nMaybe it is there... there are a LOT of logs. There's no summary of warnings and a way to evaluate the warnings; Quartus/Intel has this in its FPGA tool...\r\n\r\nPerhaps a beter user experience would be an error with a default and an option to ignore? :-)"}, {"assistant": "It should print an error message according to this:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/0e07b05a950cc8eb5c2c088d6e10484f2aa8b1a5/src/drt/src/io/io.cpp#L152-L185\r\nCould you share the test case or any way to reproduce it?"}, {"user": "> It should print an error message according to this:\r\n> \r\n> https://github.com/The-OpenROAD-Project/OpenROAD/blob/0e07b05a950cc8eb5c2c088d6e10484f2aa8b1a5/src/drt/src/io/io.cpp#L152-L185\r\n> \r\n> \r\n> Could you share the test case or any way to reproduce it?\r\n\r\nThose are errors: no I did not see them when the macros were off by 1nm"}, {"user": "@maliberty Hmmm.... deltaDebug.py cant check for the absence of an error..."}, {"user": "@osamahammad21 Just modify mock-array to be off by 1nm?"}, {"assistant": "asap7 manufacturing grid is 1nm so off but 1nm is not off grid. "}, {"user": "> asap7 manufacturing grid is 1nm so off but 1nm is not off grid.\r\n\r\nI meant that the pins, as you can see in the picture are off by 1nm. The macro needs to be aligned to 0.048nm or detailed routing ends in tears..."}, {"assistant": "It may be a problem but it is not off grid. We already have a check for off grid."}, {"assistant": "We have errors for if something is off the manufacturing grid. I think @oharboe is talking about if the pins are off the routing grid.\r\nI think realistically, that's somewhat difficult to check in all cases but might be possible if the pins are exactly min width."}, {"assistant": "@rovinski I think that is a reasonable warning if we have a macro with min-width single-rectangle pins that are not on the routing grid."}, {"assistant": "@maliberty but theoretically speaking, aren't off-routing-grid pins routable? They are hard to route by the current implementation, but in the end you could get a clean routing solution. "}, {"assistant": "@osamahammad21 yes but since we do poorly a warning to that effect is useful."}, {"assistant": "@maliberty Ok. Should I fire a warning after pin access if there is a pin with empty access pattern or should it be earlier in io?"}, {"assistant": "io since it doesn't depend on pa"}]} +{"num": 3739, "messages": [{"user": "### Description\n\nToday I have to manually type up the report_checks command for a timing path if I want to drill down for more information or create some variant.\n\n### Suggested Solution\n\nIt would be nice to be able to right click on a path and have an OpenSTA command injected into the console that the user can then refine.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe So the idea is that, when right clicking a selected path in Timing Report, the command should be \"written\" in the scripting widget's TCL commands space for the user to refine? Or the report_checks which corresponds to the right clicked path should run directly in the console?"}, {"user": "> @oharboe So the idea is that, when right clicking a selected path in Timing Report, the command should be \"written\" in the scripting widget's TCL commands space for the user to refine?\r\n\r\nYes.\r\n"}, {"assistant": "Something like:\r\n`report_checks -from -to `\r\n\r\nwould be enough?"}, {"user": "> Something like: `report_checks -from -to `\r\n> \r\n> would be enough?\r\n\r\nyes. though i would add all the fields and options so the user can just delete what he doesnt need or want.\r\n\r\nThis is \"marketing\" of the underlying fearure as well as convenience."}, {"assistant": "You'll want -path_delay (min|max) depending on the tab. Also \"-fields {slew cap input nets fanout} -format full_clock_expanded\" are closer to what the GUI shows."}]} +{"num": 3752, "messages": [{"user": "### Describe the bug\n\nRunning the debug build, OR asserts in antenna checking in this code:\r\n\r\nvoid dbWireEncoder::newPathVirtualWire(int jct_id,\r\n dbTechLayer* layer,\r\n dbWireType type)\r\n{\r\n **ZASSERT((jct_id >= 0) && (jct_id < _idx));**\r\n\r\n\n\n### Expected Behavior\n\nNo assertion error. The latest master should show this issue. \n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.8.7-050807-lowlatency\r\nos: Ubuntu 20.04.6 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\nCMake Warning at CMakeLists.txt:98 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: ab7625fe5843c6579d28068b2a7e4dfb38f9dcb5\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.8 (found version \"3.8.10\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.10\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.17\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3275a304e17092895b7d7721148edc7dc67ba3aa\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /scratch/harsh/or3/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.RuluGbfNmq\n```\n\n\n### To Reproduce\n\nRun the provided testcase that is available here:\r\n\r\nhttps://drive.google.com/file/d/1GKiQ9ee0sd8KVr74PeM0MYhkzDCBu7qX/view?usp=sharing\n\n### Relevant log output\n\n```shell\n[WARNING ANT-0011] -report_violating_nets is deprecated.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\nopenroad: /home/harsh/work/harsh/or3/OpenROAD/src/odb/src/db/dbWireCodec.cpp:481: void odb::dbWireEncoder::newPathVirtualWire(int, odb::dbTechLayer*, odb::dbWireType): Assertion `(jct_id >= 0) && (jct_id < _idx)' failed.\r\nSignal: SIGABRT (hit program assert)\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@eder-matheus Even if I comment out the \"repair_timing -recover_power\" command in global_route.tcl ... the problem still occurs. Just FYI. So this does not seem to be related to power recovery operations (downsize). "}, {"assistant": "This could be mine as I was doing some refactoring in that code. "}, {"assistant": "> This could be mine as I was doing some refactoring in that code.\r\n\r\nI think this is from my last update. repair_antennas is passing a negative `jct_id` value. I'm working on the fix and a PR for this should be created in the next minutes."}, {"assistant": "PR created. I've tested with the provided test case, and the assertion failure no longer happens."}, {"user": "Thank you for the lightening fast turnaround :) "}]} +{"num": 3753, "messages": [{"user": "### Describe the bug\n\nSimilar to #3385 but in different cases because here there are no vias.\r\nBut it still hallucinates non existent \"Non orthogonal wire\".\r\n\r\nSo as a reminder, I do some custom manual routing using the ODB API before calling the GRT/DRT to perform routing of the rest of the nets. And somehow DRT doesn't like some of the routing I did.\r\n\r\nThis is the reported error : \r\n```\r\n[ERROR DRT-1010] Unsupported non-orthogonal wire begin=(889.18, 159.62) end=(892.86, 160.98), layer met4\r\n```\r\n\r\nAnd in the DEF after my custom routing this is the relevant segment routing.\r\n\r\n```\r\n + FIXED met4 ( 863420 159620 ) ( * 160980 )\r\n NEW met4 ( 867100 159620 ) ( * 160980 )\r\n NEW met4 ( 870780 159620 ) ( * 160980 )\r\n NEW met4 ( 874460 159620 ) ( * 160980 )\r\n NEW met4 ( 878140 159620 ) ( * 160980 )\r\n NEW met4 ( 881820 159620 ) ( * 160980 )\r\n NEW met4 ( 885500 159620 ) ( * 160980 )\r\n NEW met4 ( 889180 159620 ) ( * 160980 )\r\n NEW met4 ( 892860 159620 ) ( * 160980 )\r\n NEW met4 ( 896540 159620 ) ( * 160980 )\r\n NEW met4 ( 900220 159620 ) ( * 160980 )\r\n NEW met4 ( 903900 159620 ) ( * 160980 )\r\n NEW met4 ( 907580 159620 ) ( * 160980 )\r\n NEW met4 ( 911260 159620 ) ( * 160980 )\r\n NEW met4 ( 914940 159620 ) ( * 160980 )\r\n NEW met4 ( 918620 159620 ) ( * 160980 )\r\n NEW met4 ( 922300 159620 ) ( * 160980 )\r\n NEW met4 ( 925980 159620 ) ( * 160980 )\r\n NEW met4 ( 929660 159620 ) ( * 160980 )\r\n NEW met4 ( 933340 159620 ) ( * 160980 )\r\n NEW met4 ( 937020 159620 ) ( * 160980 )\r\n NEW met4 ( 940700 159620 ) ( * 160980 )\r\n NEW met4 ( 944380 159620 ) ( * 160980 )\r\n NEW met4 ( 948060 159620 ) ( * 160980 )\r\n NEW met4 ( 859740 159620 ) ( * 160980 )\r\n NEW met4 ( 859740 159620 ) ( 948060 * ) ;\r\n```\r\n\r\nBut that segment it complains about doesn't exist ...\r\n\r\nAlso, if I remove the last segment in the above routing (the horizontal one), then it works just fine ... no errors.\r\n\r\n\r\nReproducer :\r\n\r\nhttps://people.osmocom.org/tnt/stuff/tt/tcl_reproducible.zip\n\n### Expected Behavior\n\nWell, I expect no errors and for DRT to complete.\n\n### Environment\n\n```shell\nGit commit: 797b89e4e26b29f0bd89a58eff1f8258de08c7d3\r\nkernel: Linux 5.10.0-19-cloud-amd64\r\nos: Debian GNU/Linux 11 (bullseye)\r\n\r\n... it doesn't complete because that script expects to find some package which are not in the default path, I need to give argument to cmake for it to find them ... but obviously build completed fine so it's the Env.sh script which has an issue ...\r\n\r\n\r\n% tnt@vm-tt:/mnt/pdk/OL2/native/OpenROAD $ ./_build/src/openroad -version\r\nv2.0-9635-g797b89e4e \r\n```\n```\n\n\n### To Reproduce\n\nSee the reproduced posted above. Can't attach it here, it's too big for github.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "I'm reading `io::Parser::setNets` in `src/drt/src/io/io.cpp` and I can't figure out how this is even supposed to work.\r\n\r\nThis is an excerpt of a path in the DEF : (DRT actually reads it from ODB but DEF is readable by human so not bad to see what's in there).\r\n\r\n```\r\n + FIXED met4 ( 8740 214380 ) ( * 215740 ) ( 12420 * ) ( * 214380 )\r\n NEW met4 ( 12420 215740 ) ( 16100 * ) ( * 214380 )\r\n NEW met4 ( 16100 215740 ) ( 19780 * ) ( * 214380 )\r\n ....\r\n```\r\n\r\nNow here is some debug output I generated in that function. I just print the `pathId` textual representation for each element parsed from the wireDecoder and if it's a POINT I also print out the X/Y coordinate for it.\r\nThen I also print a \"SEGMENT\" for every `frPathSeg` created and added to the net.\r\n\r\n```\r\nOP: PATH\r\nOP: POINT 8740 214380 (begin)\r\nOP: ITERM\r\nOP: POINT 8740 215740 (end)\r\nOP: POINT 12420 215740 (end)\r\nOP: POINT 12420 214380 (end)\r\nOP: ITERM\r\nSEGMENT ( 8740 214380 ) ( 12420 214380 )\r\nOP: JUNCTION\r\nOP: POINT 12420 215740 (begin)\r\nOP: POINT 16100 215740 (end)\r\nOP: POINT 16100 214380 (end)\r\nOP: ITERM\r\nSEGMENT ( 12420 215740 ) ( 16100 214380 )\r\n```\r\n\r\nSo we can see that the `OP` lines do match the DEF pretty well so the wiredecoder provides good output and all the point describe orthogonal segments.\r\n\r\nHOWEVER if we look at the actual `SEGMENT` created ... they don't match at all what's read from the wire decoder ...\r\nSo yeah you end up with diagonal stuff. But even when it's not diagonal, it doesn't even always match the actual real segments.\r\n\r\nI think this also explain a periodic problem I have with DRT routing stuff over my manually routed wire. Because it's internal view of the routed segments doesn't actually match what's in the database whatsoever.\r\n"}, {"assistant": "@smunaut I've created a PR with the fix. I have tested it with the provided test case and now it's working.\r\n\r\nThe problem was that DRT was not detecting the non-orthogonal connections you have in met4 as different segments. It was \"skipping\" the points in the middle, leading to the non-orthogonal error. Now DRT is checking when we have a direction change in a sequence of points in the same layer, creating different segments for each part of the connection."}, {"user": "Well, although it's not crashing / error-ing out, I don't think it does what it should.\r\n\r\nThis is what was happening before :\r\n```\r\nOP: PATH\r\nOP: POINT 859740 160980 (begin)\r\nOP: ITERM\r\nOP: POINT 859740 159620 (end)\r\nOP: POINT 863420 159620 (end)\r\nOP: POINT 863420 160980 (end)\r\nOP: ITERM\r\nSEGMENT ( 859740 160980 ) ( 863420 160980 )\r\nOP: JUNCTION\r\n...\r\n```\r\n\r\nAnd indeed it's only creating the PathSeg basically from the start point to the end point directy, discarding all the intermediate ones.\r\n\r\nAnd this is what it does now : (notice the \"input\" from the wiredecoder, the OP lines, are the same ).\r\n\r\n```\r\nOP: PATH\r\nOP: POINT 859740 160980 (begin)\r\nOP: ITERM\r\nOP: POINT 859740 159620 (end)\r\nSEGMENT ( 859740 160980 ) ( 859740 159620 )\r\nOP: POINT 863420 159620 (begin)\r\nOP: POINT 863420 160980 (end)\r\nOP: ITERM\r\nSEGMENT ( 863420 159620 ) ( 863420 160980 )\r\nOP: JUNCTION\r\n```\r\n\r\nAnd so, it created 2 segments but it didn't create the segment from `( 859740 159620 )` to `( 863420 159620 )`.\r\nSo although it's making only orthogonal segments, it's still skipping some ...\r\n\r\n\r\n\r\n"}, {"user": "This is what I use to produce that debug output BTW\r\n\r\n```diff\r\ndiff --git a/src/drt/src/io/io.cpp b/src/drt/src/io/io.cpp\r\nindex 0e86dc1a7..b4ab3d990 100644\r\n--- a/src/drt/src/io/io.cpp\r\n+++ b/src/drt/src/io/io.cpp\r\n@@ -674,7 +674,10 @@ void io::Parser::setNets(odb::dbBlock* block)\r\n top = -1;\r\n width = 0;\r\n bool endpath = false;\r\n+\r\n do {\r\n+ const char *ops[] = { \"PATH\", \"JUNCTION\", \"SHORT\", \"VWIRE\", \"POINT\", \"POINT_EXT\", \"VIA\", \"TECH_VIA\", \"RECT\", \"ITERM\", \"BTERM\", \"RULE\", \"END_DECODE\" };\r\n+ printf(\"OP: %s%s\", ops[pathId], pathId != odb::dbWireDecoder::POINT ? \"\\n\":\" \");\r\n switch (pathId) {\r\n case odb::dbWireDecoder::PATH:\r\n case odb::dbWireDecoder::JUNCTION:\r\n@@ -690,9 +693,11 @@ void io::Parser::setNets(odb::dbBlock* block)\r\n if (!hasBeginPoint) {\r\n decoder.getPoint(beginX, beginY);\r\n hasBeginPoint = true;\r\n+ printf(\"%d %d (begin)\\n\", beginX, beginY);\r\n } else {\r\n decoder.getPoint(endX, endY);\r\n hasEndPoint = true;\r\n+ printf(\"%d %d (end)\\n\", endX, endY);\r\n }\r\n break;\r\n case odb::dbWireDecoder::POINT_EXT:\r\n@@ -774,6 +779,7 @@ void io::Parser::setNets(odb::dbBlock* block)\r\n continue;\r\n }\r\n if (hasEndPoint) {\r\n+ printf(\"SEGMENT ( %d %d ) ( %d %d )\\n\", beginX, beginY, endX, endY);\r\n auto tmpP = make_unique();\r\n if (beginX > endX || beginY > endY) {\r\n tmpP->setPoints(Point(endX, endY), Point(beginX, beginY));\r\n```\r\n"}, {"assistant": "@smunaut Thanks for the reply. I didn't notice this bug before. My last commit should fix this bug. I've printed the points and the created segments, and now all segments are correctly created."}, {"user": "@eder-matheus Yup it looks like it's doing the right thing now :+1: "}]} +{"num": 3754, "messages": [{"user": "### Describe the bug\n\nI'm facing this error at the pin_access step in global routing. The corresponding cells do not overlap with others and have enough space around them for routing. There are many other instances with the same master where everything went well. The design has 15192 unique inst patterns (see routing.log) and for some reason the router is having trouble accessing the pins of a few cells. If I run it with a netlist that doesn't have those cells in it, the error appears on another one.\n\n### Expected Behavior\n\nComplete pin_access and go forward with global routing.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 3.10.0-1160.88.1.el7.x86_64\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.3.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9405-g9b378ff51\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.3.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.6\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/local/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3275a304e17092895b7d7721148edc7dc67ba3aa\r\n-- System name: Linux\r\n-- Compiler: GNU 11.3.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.SubecTftTH\n```\n\n\n### To Reproduce\n\nI tried to create a testcase, unfortunately the routing worked there without errors. This doesn't really confuse me, because the routing also worked on many other instances with the same master (in the large design).\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-9405-g9b378ff51 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n############################################################################\r\n##\r\n## Copyright (c) 2019, The Regents of the University of California\r\n## All rights reserved.\r\n##\r\n## BSD 3-Clause License\r\n##\r\n## Redistribution and use in source and binary forms, with or without\r\n## modification, are permitted provided that the following conditions are met:\r\n##\r\n## * Redistributions of source code must retain the above copyright notice, this\r\n## list of conditions and the following disclaimer.\r\n##\r\n## * Redistributions in binary form must reproduce the above copyright notice,\r\n## this list of conditions and the following disclaimer in the documentation\r\n## and/or other materials provided with the distribution.\r\n##\r\n## * Neither the name of the copyright holder nor the names of its\r\n## contributors may be used to endorse or promote products derived from\r\n## this software without specific prior written permission.\r\n##\r\n## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS IS\"\r\n## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\r\n## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE\r\n## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE\r\n## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR\r\n## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF\r\n## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS\r\n## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN\r\n## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)\r\n## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE\r\n## POSSIBILITY OF SUCH DAMAGE.\r\n##\r\n############################################################################\r\n# Assumes flow_helpers.tcl has been read.\r\nread_libraries\r\n[INFO ODB-0222] Reading LEF file: xh018_xx51_MET5_METMID.lef\r\n[INFO ODB-0223] Created 18 technology layers\r\n[INFO ODB-0224] Created 180 technology vias\r\n[INFO ODB-0226] Finished LEF file: xh018_xx51_MET5_METMID.lef\r\n[INFO ODB-0222] Reading LEF file: xh018_D_CELLS_JIHD.lef\r\n[INFO ODB-0225] Created 769 library cells\r\n[INFO ODB-0226] Finished LEF file: xh018_D_CELLS_JIHD.lef\r\n[INFO ODB-0222] Reading LEF file: ram/XSPRAMLP_128X16_M8P.lef\r\n[INFO ODB-0225] Created 1 library cells\r\n[INFO ODB-0226] Finished LEF file: ram/XSPRAMLP_128X16_M8P.lef\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 318, wireload 0_1k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 319, wireload 0_5k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 320, wireload 1k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 321, wireload 2k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 322, wireload 5k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 323, wireload 10k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 324, wireload 30k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 325, wireload 50k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 326, wireload 100k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 327, wireload 200k not found.\r\n[WARNING STA-0072] D_CELLS_JIHD_LPMOS_slow_1_62V_125C.lib line 328, wireload 500k not found.\r\nread_verilog $synth_verilog\r\nlink_design $top_module\r\nread_sdc $sdc_file\r\n[WARNING STA-0354] set_input_delay relative to a clock defined on the same port/pin not allowed.\r\nutl::metric \"IFP::ord_version\" [ord::openroad_git_describe]\r\n# Note that sta::network_instance_count is not valid after tapcells are added.\r\nutl::metric \"IFP::instance_count\" [sta::network_instance_count]\r\ninitialize_floorplan -site $site \\\r\n -die_area $die_area \\\r\n -core_area $core_area\r\n[WARNING IFP-0028] Core area lower left (2.000, 2.000) snapped to (2.240, 4.480).\r\n[INFO IFP-0001] Added 891 rows of 7135 site core_jihd with height 1.\r\n#initialize_floorplan -utilization 60\r\n#source $tracks_file\r\nmake_tracks\r\n# remove buffers inserted by synthesis \r\nremove_buffers\r\n[INFO RSZ-0026] Removed 39 buffers.\r\n################################################################\r\n# IO Placement (random)\r\nplace_pins -random -hor_layers $io_placer_hor_layer -ver_layers $io_placer_ver_layer\r\n[WARNING PPL-0015] Macro RAM is not placed.\r\nFound 0 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0007] Random pin placement.\r\n################################################################\r\n# Macro Placement\r\nif { [have_macros] } {\r\n global_placement -density $global_place_density\r\n macro_placement -halo $macro_place_halo -channel $macro_place_channel\r\n}\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 560 4480\r\n[INFO GPL-0004] CoreAreaLxLy: 2240 4480\r\n[INFO GPL-0005] CoreAreaUxUy: 3997840 3996160\r\n[INFO GPL-0006] NumInstances: 29390\r\n[INFO GPL-0007] NumPlaceInstances: 29390\r\n[INFO GPL-0008] NumFixedInstances: 0\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 34371\r\n[INFO GPL-0011] NumPins: 117679\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 4000000 4000000\r\n[INFO GPL-0014] CoreAreaLxLy: 2240 4480\r\n[INFO GPL-0015] CoreAreaUxUy: 3997840 3996160\r\n[INFO GPL-0016] CoreArea: 15949156608000\r\n[INFO GPL-0017] NonPlaceInstsArea: 0\r\n[INFO GPL-0018] PlaceInstsArea: 834525765950\r\n[INFO GPL-0019] Util(%): 5.23\r\n[INFO GPL-0020] StdInstsArea: 791343257600\r\n[INFO GPL-0021] MacroInstsArea: 43182508350\r\n[InitialPlace] Iter: 1 CG residual: 0.00032145 HPWL: 670914020\r\n[InitialPlace] Iter: 2 CG residual: 0.00007291 HPWL: 687134572\r\n[InitialPlace] Iter: 3 CG residual: 0.00004967 HPWL: 694041091\r\n[InitialPlace] Iter: 4 CG residual: 0.00003838 HPWL: 698773770\r\n[InitialPlace] Iter: 5 CG residual: 0.00003505 HPWL: 700532122\r\n[InitialPlace] Iter: 6 CG residual: 0.00003898 HPWL: 702684678\r\n[InitialPlace] Iter: 7 CG residual: 0.00003281 HPWL: 703131035\r\n[InitialPlace] Iter: 8 CG residual: 0.00003467 HPWL: 704301918\r\n[InitialPlace] Iter: 9 CG residual: 0.00003405 HPWL: 704188325\r\n[InitialPlace] Iter: 10 CG residual: 0.00003685 HPWL: 704938975\r\n[InitialPlace] Iter: 11 CG residual: 0.00003656 HPWL: 704695045\r\n[InitialPlace] Iter: 12 CG residual: 0.00003518 HPWL: 705305771\r\n[InitialPlace] Iter: 13 CG residual: 0.00003372 HPWL: 704868510\r\n[InitialPlace] Iter: 14 CG residual: 0.00004098 HPWL: 705421148\r\n[InitialPlace] Iter: 15 CG residual: 0.00003432 HPWL: 704999369\r\n[InitialPlace] Iter: 16 CG residual: 0.00003866 HPWL: 705526024\r\n[InitialPlace] Iter: 17 CG residual: 0.00003715 HPWL: 705055783\r\n[InitialPlace] Iter: 18 CG residual: 0.00004221 HPWL: 705607178\r\n[InitialPlace] Iter: 19 CG residual: 0.00003393 HPWL: 705141382\r\n[InitialPlace] Iter: 20 CG residual: 0.00003655 HPWL: 705638580\r\n[INFO GPL-0031] FillerInit: NumGCells: 184911\r\n[INFO GPL-0032] FillerInit: NumGNets: 34371\r\n[INFO GPL-0033] FillerInit: NumGPins: 117679\r\n[INFO GPL-0023] TargetDensity: 0.30\r\n[INFO GPL-0024] AveragePlaceInstArea: 28394888\r\n[INFO GPL-0025] IdealBinArea: 94649624\r\n[INFO GPL-0026] IdealBinCnt: 168507\r\n[INFO GPL-0027] TotalBinArea: 15949156608000\r\n[INFO GPL-0028] BinCnt: 256 256\r\n[INFO GPL-0029] BinSize: 15608 15593\r\n[INFO GPL-0030] NumBins: 65536\r\n[NesterovSolve] Iter: 1 overflow: 0.981406 HPWL: 264623696\r\n[NesterovSolve] Iter: 10 overflow: 0.97505 HPWL: 320742878\r\n[NesterovSolve] Iter: 20 overflow: 0.974245 HPWL: 322991979\r\n[NesterovSolve] Iter: 30 overflow: 0.973813 HPWL: 324779070\r\n[NesterovSolve] Iter: 40 overflow: 0.973617 HPWL: 326215387\r\n[NesterovSolve] Iter: 50 overflow: 0.973426 HPWL: 327557735\r\n[NesterovSolve] Iter: 60 overflow: 0.973216 HPWL: 329094306\r\n[NesterovSolve] Iter: 70 overflow: 0.973032 HPWL: 330675911\r\n[NesterovSolve] Iter: 80 overflow: 0.972895 HPWL: 332109267\r\n[NesterovSolve] Iter: 90 overflow: 0.972717 HPWL: 333232509\r\n[NesterovSolve] Iter: 100 overflow: 0.972558 HPWL: 334095860\r\n[NesterovSolve] Iter: 110 overflow: 0.972404 HPWL: 335044830\r\n[NesterovSolve] Iter: 120 overflow: 0.972218 HPWL: 336626159\r\n[NesterovSolve] Iter: 130 overflow: 0.971929 HPWL: 339632359\r\n[NesterovSolve] Iter: 140 overflow: 0.971375 HPWL: 345307063\r\n[NesterovSolve] Iter: 150 overflow: 0.970391 HPWL: 355229850\r\n[NesterovSolve] Iter: 160 overflow: 0.969008 HPWL: 370849708\r\n[NesterovSolve] Iter: 170 overflow: 0.966285 HPWL: 393100754\r\n[NesterovSolve] Iter: 180 overflow: 0.962265 HPWL: 422299524\r\n[NesterovSolve] Iter: 190 overflow: 0.954953 HPWL: 458960768\r\n[NesterovSolve] Iter: 200 overflow: 0.944997 HPWL: 505034352\r\n[NesterovSolve] Iter: 210 overflow: 0.931189 HPWL: 563808925\r\n[NesterovSolve] Iter: 220 overflow: 0.91348 HPWL: 637240576\r\n[NesterovSolve] Iter: 230 overflow: 0.893055 HPWL: 722229913\r\n[NesterovSolve] Iter: 240 overflow: 0.870864 HPWL: 815479176\r\n[NesterovSolve] Iter: 250 overflow: 0.843194 HPWL: 912969869\r\n[NesterovSolve] Iter: 260 overflow: 0.814284 HPWL: 1000419836\r\n[NesterovSolve] Iter: 270 overflow: 0.783119 HPWL: 1092039954\r\n[NesterovSolve] Iter: 280 overflow: 0.746007 HPWL: 1330779568\r\n[NesterovSolve] Iter: 290 overflow: 0.708661 HPWL: 1463091081\r\n[NesterovSolve] Iter: 300 overflow: 0.683959 HPWL: 1379663995\r\n[NesterovSolve] Iter: 310 overflow: 0.646678 HPWL: 1578609415\r\n[NesterovSolve] Iter: 320 overflow: 0.622624 HPWL: 1468005965\r\n[NesterovSolve] Iter: 330 overflow: 0.583264 HPWL: 1602100646\r\n[NesterovSolve] Iter: 340 overflow: 0.551234 HPWL: 1568762776\r\n[NesterovSolve] Iter: 350 overflow: 0.514764 HPWL: 1544487299\r\n[NesterovSolve] Iter: 360 overflow: 0.473554 HPWL: 1586534981\r\n[NesterovSolve] Iter: 370 overflow: 0.431942 HPWL: 1595472842\r\n[NesterovSolve] Iter: 380 overflow: 0.386275 HPWL: 1613352275\r\n[NesterovSolve] Iter: 390 overflow: 0.336718 HPWL: 1634301765\r\n[NesterovSolve] Iter: 400 overflow: 0.298934 HPWL: 1646888211\r\n[NesterovSolve] Iter: 410 overflow: 0.260414 HPWL: 1664463502\r\n[NesterovSolve] Iter: 420 overflow: 0.22344 HPWL: 1682589529\r\n[NesterovSolve] Iter: 430 overflow: 0.19207 HPWL: 1700160799\r\n[NesterovSolve] Iter: 440 overflow: 0.162355 HPWL: 1714955105\r\n[NesterovSolve] Iter: 450 overflow: 0.137308 HPWL: 1726518888\r\n[NesterovSolve] Iter: 460 overflow: 0.116057 HPWL: 1734886537\r\n[NesterovSolve] Finished with Overflow: 0.099484\r\n[INFO MPL-0101] Found 1 macros.\r\n[INFO MPL-0102] West pins 12.\r\n[INFO MPL-0102] East pins 12.\r\n[INFO MPL-0102] North pins 11.\r\n[INFO MPL-0102] South pins 11.\r\n[INFO MPL-0069] Initial weighted wire length 52842.\r\nBegin one level partition.\r\n[INFO MPL-0076] Partition 1 macros.\r\n[INFO MPL-0077] Using 1 cut lines.\r\n[INFO MPL-0079] Cut line 892.08.\r\nEnd one level partition.\r\nBegin horizontal partition.\r\nBegin east partition.\r\n[INFO MPL-0076] Partition 0 macros.\r\n[INFO MPL-0077] Using 0 cut lines.\r\nEnd east partition.\r\nBegin west partition.\r\n[INFO MPL-0076] Partition 1 macros.\r\n[INFO MPL-0077] Using 1 cut lines.\r\n[INFO MPL-0079] Cut line 1984.64.\r\nEnd west partition.\r\nEnd horizontal partition.\r\n[INFO MPL-0070] Using 1 partition sets.\r\n[INFO MPL-0071] Solution 1 weighted wire length 46269.8.\r\n[INFO MPL-0073] Best weighted wire length 46269.8.\r\n################################################################\r\n# Tapcell insertion\r\n#eval tapcell $tapcell_args\r\n################################################################\r\n# Power distribution network insertion\r\nsource $pdn_cfg\r\n[WARNING ORD-0044] Net created for VDD, if intended as power or ground net add the -power/-ground switch as appropriate.\r\n[WARNING ORD-0046] -defer_connection has been deprecated.\r\n[WARNING ORD-0046] -defer_connection has been deprecated.\r\n[WARNING ORD-0046] -defer_connection has been deprecated.\r\n[WARNING ORD-0046] -defer_connection has been deprecated.\r\n[WARNING ORD-0044] Net created for VSS, if intended as power or ground net add the -power/-ground switch as appropriate.\r\n[WARNING ORD-0046] -defer_connection has been deprecated.\r\n[WARNING ORD-0046] -defer_connection has been deprecated.\r\n[WARNING ORD-0046] -defer_connection has been deprecated.\r\n[WARNING PDN-0231] RAM is not connected to any power/ground nets.\r\npdngen\r\n[WARNING PDN-0189] Supply pin VDD18M of instance RAM is not connected to any net.\r\n[WARNING PDN-0189] Supply pin VSSM of instance RAM is not connected to any net.\r\n[INFO PDN-0001] Inserting grid: grid\r\n################################################################\r\n# Global placement\r\nforeach layer_adjustment $global_routing_layer_adjustments {\r\n lassign $layer_adjustment layer adjustment\r\n set_global_routing_layer_adjustment $layer $adjustment\r\n}\r\nset_routing_layers -signal $global_routing_layers \\\r\n -clock $global_routing_clock_layers\r\n#set_macro_extension 2\r\nglobal_placement -routability_driven -density $global_place_density \\\r\n -pad_left $global_place_pad -pad_right $global_place_pad\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 560 4480\r\n[INFO GPL-0004] CoreAreaLxLy: 2240 4480\r\n[INFO GPL-0005] CoreAreaUxUy: 3997840 3996160\r\n[INFO GPL-0006] NumInstances: 29390\r\n[INFO GPL-0007] NumPlaceInstances: 29389\r\n[INFO GPL-0008] NumFixedInstances: 1\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 34373\r\n[INFO GPL-0011] NumPins: 117679\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 4000000 4000000\r\n[INFO GPL-0014] CoreAreaLxLy: 2240 4480\r\n[INFO GPL-0015] CoreAreaUxUy: 3997840 3996160\r\n[INFO GPL-0016] CoreArea: 15949156608000\r\n[INFO GPL-0017] NonPlaceInstsArea: 44405760000\r\n[INFO GPL-0018] PlaceInstsArea: 1381192243200\r\n[INFO GPL-0019] Util(%): 8.68\r\n[INFO GPL-0020] StdInstsArea: 1381192243200\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG residual: 0.00198761 HPWL: 2300151964\r\n[InitialPlace] Iter: 2 CG residual: 0.00135965 HPWL: 797295189\r\n[InitialPlace] Iter: 3 CG residual: 0.00028927 HPWL: 803644534\r\n[InitialPlace] Iter: 4 CG residual: 0.00014356 HPWL: 807828552\r\n[InitialPlace] Iter: 5 CG residual: 0.00007335 HPWL: 808451788\r\n[InitialPlace] Iter: 6 CG residual: 0.00099316 HPWL: 809748568\r\n[InitialPlace] Iter: 7 CG residual: 0.00006764 HPWL: 809512782\r\n[InitialPlace] Iter: 8 CG residual: 0.00007821 HPWL: 809361433\r\n[InitialPlace] Iter: 9 CG residual: 0.00006709 HPWL: 807847190\r\n[InitialPlace] Iter: 10 CG residual: 0.00009173 HPWL: 806547923\r\n[InitialPlace] Iter: 11 CG residual: 0.00006075 HPWL: 803998096\r\n[InitialPlace] Iter: 12 CG residual: 0.00005751 HPWL: 802236133\r\n[InitialPlace] Iter: 13 CG residual: 0.00005550 HPWL: 799611786\r\n[InitialPlace] Iter: 14 CG residual: 0.00005449 HPWL: 798355248\r\n[InitialPlace] Iter: 15 CG residual: 0.00004862 HPWL: 797014285\r\n[InitialPlace] Iter: 16 CG residual: 0.00004990 HPWL: 796831137\r\n[InitialPlace] Iter: 17 CG residual: 0.00003937 HPWL: 795865322\r\n[InitialPlace] Iter: 18 CG residual: 0.00004020 HPWL: 796157094\r\n[InitialPlace] Iter: 19 CG residual: 0.00004537 HPWL: 795499415\r\n[InitialPlace] Iter: 20 CG residual: 0.00004270 HPWL: 795790729\r\n[INFO GPL-0031] FillerInit: NumGCells: 103638\r\n[INFO GPL-0032] FillerInit: NumGNets: 34373\r\n[INFO GPL-0033] FillerInit: NumGPins: 117679\r\n[INFO GPL-0023] TargetDensity: 0.30\r\n[INFO GPL-0024] AveragePlaceInstArea: 46996911\r\n[INFO GPL-0025] IdealBinArea: 156656368\r\n[INFO GPL-0026] IdealBinCnt: 101809\r\n[INFO GPL-0027] TotalBinArea: 15949156608000\r\n[INFO GPL-0028] BinCnt: 256 256\r\n[INFO GPL-0029] BinSize: 15608 15593\r\n[INFO GPL-0030] NumBins: 65536\r\n[NesterovSolve] Iter: 1 overflow: 0.996429 HPWL: 355930692\r\n[NesterovSolve] Iter: 10 overflow: 0.989193 HPWL: 469097322\r\n[NesterovSolve] Iter: 20 overflow: 0.985593 HPWL: 488367006\r\n[NesterovSolve] Iter: 30 overflow: 0.984234 HPWL: 510056519\r\n[NesterovSolve] Iter: 40 overflow: 0.983744 HPWL: 528837781\r\n[NesterovSolve] Iter: 50 overflow: 0.983142 HPWL: 542924082\r\n[NesterovSolve] Iter: 60 overflow: 0.982462 HPWL: 553403539\r\n[NesterovSolve] Iter: 70 overflow: 0.982004 HPWL: 562636916\r\n[NesterovSolve] Iter: 80 overflow: 0.981583 HPWL: 571440850\r\n[NesterovSolve] Iter: 90 overflow: 0.981272 HPWL: 577756109\r\n[NesterovSolve] Iter: 100 overflow: 0.981219 HPWL: 579972293\r\n[NesterovSolve] Iter: 110 overflow: 0.981027 HPWL: 578722036\r\n[NesterovSolve] Iter: 120 overflow: 0.981059 HPWL: 575765264\r\n[NesterovSolve] Iter: 130 overflow: 0.981049 HPWL: 572603491\r\n[NesterovSolve] Iter: 140 overflow: 0.981059 HPWL: 570455824\r\n[NesterovSolve] Iter: 150 overflow: 0.980541 HPWL: 570473083\r\n[NesterovSolve] Iter: 160 overflow: 0.979881 HPWL: 573945160\r\n[NesterovSolve] Iter: 170 overflow: 0.978479 HPWL: 582886070\r\n[NesterovSolve] Iter: 180 overflow: 0.975853 HPWL: 600482879\r\n[NesterovSolve] Iter: 190 overflow: 0.97138 HPWL: 630788855\r\n[NesterovSolve] Iter: 200 overflow: 0.963588 HPWL: 677258031\r\n[NesterovSolve] Iter: 210 overflow: 0.951797 HPWL: 742022441\r\n[NesterovSolve] Iter: 220 overflow: 0.936479 HPWL: 825222717\r\n[NesterovSolve] Iter: 230 overflow: 0.918007 HPWL: 925611644\r\n[NesterovSolve] Iter: 240 overflow: 0.897868 HPWL: 1042732077\r\n[NesterovSolve] Iter: 250 overflow: 0.875085 HPWL: 1214691418\r\n[NesterovSolve] Iter: 260 overflow: 0.846553 HPWL: 1455909537\r\n[NesterovSolve] Iter: 270 overflow: 0.821584 HPWL: 1550364592\r\n[NesterovSolve] Iter: 280 overflow: 0.7944 HPWL: 1694637283\r\n[NesterovSolve] Iter: 290 overflow: 0.76219 HPWL: 1956075934\r\n[NesterovSolve] Iter: 300 overflow: 0.737836 HPWL: 2034096311\r\n[NesterovSolve] Iter: 310 overflow: 0.705466 HPWL: 1900887106\r\n[NesterovSolve] Iter: 320 overflow: 0.671793 HPWL: 2137495277\r\n[NesterovSolve] Iter: 330 overflow: 0.642783 HPWL: 2006120666\r\n[NesterovSolve] Iter: 340 overflow: 0.604385 HPWL: 2154769959\r\n[NesterovSolve] Snapshot saved at iter = 342\r\n[NesterovSolve] Iter: 350 overflow: 0.570072 HPWL: 2181038640\r\n[NesterovSolve] Iter: 360 overflow: 0.529108 HPWL: 2129962468\r\n[NesterovSolve] Iter: 370 overflow: 0.48855 HPWL: 2131972875\r\n[NesterovSolve] Iter: 380 overflow: 0.446185 HPWL: 2163728723\r\n[NesterovSolve] Iter: 390 overflow: 0.396549 HPWL: 2158819959\r\n[NesterovSolve] Iter: 400 overflow: 0.346227 HPWL: 2199752971\r\n[NesterovSolve] Iter: 410 overflow: 0.306308 HPWL: 2214068414\r\n[NesterovSolve] Iter: 420 overflow: 0.269183 HPWL: 2239621571\r\n[NesterovSolve] Iter: 430 overflow: 0.23595 HPWL: 2260371917\r\n[NesterovSolve] Iter: 440 overflow: 0.206448 HPWL: 2279118427\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[INFO GPL-0036] TileLxLy: 0 0\r\n[INFO GPL-0037] TileSize: 9150 9150\r\n[INFO GPL-0038] TileCnt: 437 437\r\n[INFO GPL-0039] numRoutingLayers: 6\r\n[INFO GPL-0040] NumTiles: 190969\r\n[INFO GPL-0063] TotalRouteOverflowH2: 0.0\r\n[INFO GPL-0064] TotalRouteOverflowV2: 0.0\r\n[INFO GPL-0065] OverflowTileCnt2: 0\r\n[INFO GPL-0066] 0.5%RC: 0.9807501780758997\r\n[INFO GPL-0067] 1.0%RC: 0.9361646944201113\r\n[INFO GPL-0068] 2.0%RC: 0.8687962795367189\r\n[INFO GPL-0069] 5.0%RC: 0.7382865659279392\r\n[INFO GPL-0070] 0.5rcK: 1.0\r\n[INFO GPL-0071] 1.0rcK: 1.0\r\n[INFO GPL-0072] 2.0rcK: 0.0\r\n[INFO GPL-0073] 5.0rcK: 0.0\r\n[INFO GPL-0074] FinalRC: 0.9584574\r\n[NesterovSolve] Iter: 450 overflow: 0.181059 HPWL: 2295265858\r\n[NesterovSolve] Iter: 460 overflow: 0.158379 HPWL: 2306296409\r\n[NesterovSolve] Iter: 470 overflow: 0.137404 HPWL: 2315479799\r\n[NesterovSolve] Iter: 480 overflow: 0.118016 HPWL: 2323441284\r\n[NesterovSolve] Iter: 490 overflow: 0.103056 HPWL: 2331136615\r\n[NesterovSolve] Finished with Overflow: 0.099986\r\n# IO Placement\r\nplace_pins -hor_layers $io_placer_hor_layer -ver_layers $io_placer_ver_layer\r\nFound 1 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0010] Tentative 0 to set up sections.\r\n[INFO PPL-0001] Number of slots 12908\r\n[INFO PPL-0002] Number of I/O 46\r\n[INFO PPL-0003] Number of I/O w/sink 46\r\n[INFO PPL-0004] Number of I/O w/o sink 0\r\n[INFO PPL-0005] Slots per section 200\r\n[INFO PPL-0006] Slots increase factor 0.01\r\n[INFO PPL-0008] Successfully assigned pins to sections.\r\n[INFO PPL-0012] I/O nets HPWL: 56084.16 um.\r\n# checkpoint\r\nset global_place_db [make_result_file ${design}_${platform}_global_place.db]\r\nwrite_db $global_place_db\r\n################################################################\r\n# Repair max slew/cap/fanout violations and normalize slews\r\nsource $layer_rc_file\r\nset_wire_rc -signal -layer $wire_rc_layer\r\nset_wire_rc -clock -layer $wire_rc_layer_clk\r\nset_dont_use $dont_use\r\nestimate_parasitics -placement\r\nrepair_design -slew_margin $slew_margin -cap_margin $cap_margin\r\n[INFO RSZ-0058] Using max wire length 762um.\r\n[INFO RSZ-0034] Found 96 slew violations.\r\n[INFO RSZ-0037] Found 244 long wires.\r\n[INFO RSZ-0038] Inserted 804 buffers in 246 nets.\r\n[INFO RSZ-0039] Resized 4735 instances.\r\nrepair_tie_fanout -separation $tie_separation $tielo_port\r\n[INFO RSZ-0042] Inserted 1 tie LOGIC0JIHD instances.\r\nrepair_tie_fanout -separation $tie_separation $tiehi_port\r\nset_placement_padding -global -left $detail_place_pad -right $detail_place_pad\r\ndetailed_placement\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 61991.6 u\r\naverage displacement 2.1 u\r\nmax displacement 40.2 u\r\noriginal HPWL 2384606.7 u\r\nlegalized HPWL 2411912.8 u\r\ndelta HPWL 1 %\r\n\r\n# post resize timing report (ideal clocks)\r\nreport_worst_slack -min -digits 3\r\nworst slack 1.175\r\nreport_worst_slack -max -digits 3\r\nworst slack 21.631\r\nreport_tns -digits 3\r\ntns 0.000\r\n# Check slew repair\r\nreport_check_types -max_slew -max_capacitance -max_fanout -violators\r\nmax slew\r\n\r\nPin Limit Slew Slack\r\n------------------------------------------------------------\r\nRAM/WEn 5.00 5.53 -0.53 (VIOLATED)\r\n\r\nutl::metric \"RSZ::repair_design_buffer_count\" [rsz::repair_design_buffer_count]\r\nutl::metric \"RSZ::max_slew_slack\" [expr [sta::max_slew_check_slack_limit] * 100]\r\nutl::metric \"RSZ::max_fanout_slack\" [expr [sta::max_fanout_check_slack_limit] * 100]\r\nutl::metric \"RSZ::max_capacitance_slack\" [expr [sta::max_capacitance_check_slack_limit] * 100]\r\n################################################################\r\n# Clock Tree Synthesis\r\n# Clone clock tree inverters next to register loads\r\n# so cts does not try to buffer the inverted clocks.\r\nrepair_clock_inverters\r\nclock_tree_synthesis -root_buf $cts_buffer -buf_list $cts_buffer \\\r\n -sink_clustering_enable \\\r\n -sink_clustering_max_diameter $cts_cluster_diameter\r\n[INFO CTS-0049] Characterization buffer is: BUJIHDX4.\r\n[INFO CTS-0039] Number of created patterns = 12240.\r\n[INFO CTS-0084] Compiling LUT.\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 34 1 12 \r\n[WARNING CTS-0043] 1632 wires are pure wire and no slew degradation.\r\nTritonCTS forced slew degradation on these wires.\r\n[INFO CTS-0046] Number of wire segments: 9276.\r\n[INFO CTS-0047] Number of keys in characterization LUT: 1556.\r\n[INFO CTS-0048] Actual min input cap: 1.\r\n[INFO CTS-0007] Net \"clk\" found for clock \"CLK_ext\".\r\n[INFO CTS-0010] Clock net \"clk\" has 5 sinks.\r\n[WARNING CTS-0041] Net \"net806\" has 1 sinks. Skipping...\r\n[INFO CTS-0010] Clock net \"rc_gclk\" has 2898 sinks.\r\n[INFO CTS-0008] TritonCTS found 2 clock nets.\r\n[INFO CTS-0097] Characterization used 1 buffer(s) types.\r\n[INFO CTS-0027] Generating H-Tree topology for net clk.\r\n[INFO CTS-0028] Total number of sinks: 5.\r\n[INFO CTS-0029] Sinks will be clustered in groups of up to 20 and with maximum cluster diameter of 100.0 um.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 22000 dbu (22 um).\r\n[INFO CTS-0023] Original sink region: [(3727126, 3469915), (3760530, 3839430)].\r\n[INFO CTS-0024] Normalized sink region: [(169.415, 157.723), (170.933, 174.52)].\r\n[INFO CTS-0025] Width: 1.5184.\r\n[INFO CTS-0026] Height: 16.7961.\r\n[WARNING CTS-0045] Creating fake entries in the LUT.\r\n Level 1\r\n Direction: Vertical\r\n Sinks per sub-region: 3\r\n Sub-region size: 1.5184 X 8.3981\r\n[INFO CTS-0034] Segment length (rounded): 4.\r\n Key: 24 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 4 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 5.\r\n[INFO CTS-0027] Generating H-Tree topology for net rc_gclk.\r\n[INFO CTS-0028] Total number of sinks: 2898.\r\n[INFO CTS-0029] Sinks will be clustered in groups of up to 20 and with maximum cluster diameter of 100.0 um.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 22000 dbu (22 um).\r\n[INFO CTS-0019] Total number of sinks after clustering: 519.\r\n[INFO CTS-0024] Normalized sink region: [(60.7557, 60.9232), (169.771, 178.01)].\r\n[INFO CTS-0025] Width: 109.0156.\r\n[INFO CTS-0026] Height: 117.0870.\r\n Level 1\r\n Direction: Vertical\r\n Sinks per sub-region: 260\r\n Sub-region size: 109.0156 X 58.5435\r\n[INFO CTS-0034] Segment length (rounded): 30.\r\n Key: 168 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 168 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 169 inSlew: 2 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 74 inSlew: 3 inCap: 1 outSlew: 1 load: 1 length: 6 delay: 7\r\n location: 1.0 buffer: BUJIHDX4\r\n Level 2\r\n Direction: Horizontal\r\n Sinks per sub-region: 130\r\n Sub-region size: 54.5078 X 58.5435\r\n[INFO CTS-0034] Segment length (rounded): 28.\r\n Key: 168 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 168 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 169 inSlew: 2 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 26 inSlew: 3 inCap: 1 outSlew: 1 load: 1 length: 4 delay: 7\r\n location: 1.0 buffer: BUJIHDX4\r\n Level 3\r\n Direction: Vertical\r\n Sinks per sub-region: 65\r\n Sub-region size: 54.5078 X 29.2717\r\n[INFO CTS-0034] Segment length (rounded): 14.\r\n Key: 168 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 72 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 6 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Level 4\r\n Direction: Horizontal\r\n Sinks per sub-region: 33\r\n Sub-region size: 27.2539 X 29.2717\r\n[INFO CTS-0034] Segment length (rounded): 14.\r\n Key: 169 inSlew: 2 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUJIHDX4\r\n Key: 74 inSlew: 3 inCap: 1 outSlew: 1 load: 1 length: 6 delay: 7\r\n location: 1.0 buffer: BUJIHDX4\r\n Out of 63 sinks, 1 sinks closer to other cluster.\r\n Out of 66 sinks, 9 sinks closer to other cluster.\r\n Level 5\r\n Direction: Vertical\r\n Sinks per sub-region: 17\r\n Sub-region size: 27.2539 X 14.6359\r\n[INFO CTS-0034] Segment length (rounded): 8.\r\n Key: 168 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Level 6\r\n Direction: Horizontal\r\n Sinks per sub-region: 9\r\n Sub-region size: 13.6270 X 14.6359\r\n[INFO CTS-0034] Segment length (rounded): 6.\r\n Key: 72 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 6 delay: 5\r\n location: 1.0 buffer: BUJIHDX4\r\n Out of 15 sinks, 1 sinks closer to other cluster.\r\n Out of 18 sinks, 1 sinks closer to other cluster.\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 519.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 1:1, 4:1..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0018] Created 646 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 15.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 16.\r\n[INFO CTS-0015] Created 646 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 2:51, 3:65, 4:63, 5:61, 6:59, 7:61, 8:59, 9:39, 10:34, 11:26, 12:13, 13:5, 14:5..\r\n[INFO CTS-0017] Max level of the clock tree: 6.\r\n[INFO CTS-0098] Clock net \"clk\"\r\n[INFO CTS-0099] Sinks 5\r\n[INFO CTS-0100] Leaf buffers 0\r\n[INFO CTS-0101] Average sink wire length 632.96 um\r\n[INFO CTS-0102] Path depth 2 - 2\r\n[INFO CTS-0098] Clock net \"rc_gclk\"\r\n[INFO CTS-0099] Sinks 2898\r\n[INFO CTS-0100] Leaf buffers 477\r\n[INFO CTS-0101] Average sink wire length 7000.98 um\r\n[INFO CTS-0102] Path depth 15 - 16\r\n# CTS leaves a long wire from the pad to the clock tree root.\r\nrepair_clock_nets\r\n[INFO RSZ-0058] Using max wire length 762um.\r\n[INFO RSZ-0047] Found 1 long wires.\r\n[INFO RSZ-0048] Inserted 2 buffers in 1 nets.\r\n# place clock buffers\r\ndetailed_placement\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 1726.1 u\r\naverage displacement 0.1 u\r\nmax displacement 8.6 u\r\noriginal HPWL 2516269.2 u\r\nlegalized HPWL 2516554.9 u\r\ndelta HPWL 0 %\r\n\r\n# checkpoint\r\nset cts_db [make_result_file ${design}_${platform}_cts.db]\r\nwrite_db $cts_db\r\n################################################################\r\n# Setup/hold timing repair\r\nset_propagated_clock [all_clocks]\r\n# Global routing is fast enough for the flow regressions.\r\n# It is NOT FAST ENOUGH FOR PRODUCTION USE.\r\nset repair_timing_use_grt_parasitics 0\r\nif { $repair_timing_use_grt_parasitics } {\r\n # Global route for parasitics - no guide file requied\r\n global_route -congestion_iterations 100\r\n estimate_parasitics -global_routing\r\n} else {\r\n estimate_parasitics -placement\r\n}\r\nrepair_timing\r\n[INFO RSZ-0046] Found 16 endpoints with hold violations.\r\n[INFO RSZ-0032] Inserted 5 hold buffers.\r\n# Post timing repair.\r\nreport_worst_slack -min -digits 3\r\nworst slack 0.803\r\nreport_worst_slack -max -digits 3\r\nworst slack 27.360\r\nreport_tns -digits 3\r\ntns 0.000\r\nreport_check_types -max_slew -max_capacitance -max_fanout -violators -digits 3\r\nmax slew\r\n\r\nPin Limit Slew Slack\r\n---------------------------------------------------------------\r\nRAM/CLK 3.000 5.474 -2.474 (VIOLATED)\r\nRAM/WEn 5.000 5.495 -0.495 (VIOLATED)\r\n\r\nutl::metric \"RSZ::worst_slack_min\" [sta::worst_slack -min]\r\nutl::metric \"RSZ::worst_slack_max\" [sta::worst_slack -max]\r\nutl::metric \"RSZ::tns_max\" [sta::total_negative_slack -max]\r\nutl::metric \"RSZ::hold_buffer_count\" [rsz::hold_buffer_count]\r\n################################################################\r\n# Detailed Placement\r\ndetailed_placement\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 6.2 u\r\naverage displacement 0.0 u\r\nmax displacement 4.5 u\r\noriginal HPWL 2516707.0 u\r\nlegalized HPWL 2516709.9 u\r\ndelta HPWL 0 %\r\n\r\n# Capture utilization before fillers make it 100%\r\nutl::metric \"DPL::utilization\" [format %.1f [expr [rsz::utilization] * 100]]\r\nutl::metric \"DPL::design_area\" [sta::format_area [rsz::design_area] 0]\r\n# checkpoint\r\nset dpl_db [make_result_file ${design}_${platform}_dpl.db]\r\nwrite_db $dpl_db\r\nset verilog_file [make_result_file ${design}_${platform}.v]\r\nwrite_verilog $verilog_file\r\n################################################################\r\n# Global routing\r\npin_access -bottom_routing_layer $min_routing_layer \\\r\n -top_routing_layer $max_routing_layer\r\n[INFO DRT-0149] Reading tech and libs.\r\n\r\nUnits: 1000\r\nNumber of layers: 13\r\nNumber of macros: 770\r\nNumber of vias: 180\r\nNumber of viarulegen: 5\r\n\r\n[INFO DRT-0150] Reading design.\r\n\r\nDesign: PS_Demo_core\r\nDie area: ( 0 0 ) ( 4000000 4000000 )\r\nNumber of track patterns: 12\r\nNumber of DEF vias: 0\r\nNumber of components: 30850\r\nNumber of terminals: 46\r\nNumber of snets: 2\r\nNumber of nets: 35833\r\n\r\n[INFO DRT-0167] List of default vias:\r\n Layer VIA1\r\n default via: VIA1_X_so\r\n Layer VIA2\r\n default via: VIA2_o\r\n Layer VIA3\r\n default via: VIA3_so\r\n Layer VIA4\r\n default via: VIA4_o\r\n Layer VIATP\r\n default via: VIATP_X_so\r\n[INFO DRT-0162] Library cell analysis.\r\n[INFO DRT-0163] Instance analysis.\r\n Complete 10000 instances.\r\n Complete 20000 instances.\r\n Complete 30000 instances.\r\n[INFO DRT-0164] Number of unique instances = 15193.\r\n[INFO DRT-0168] Init region query.\r\n[INFO DRT-0018] Complete 10000 insts.\r\n[INFO DRT-0018] Complete 20000 insts.\r\n[INFO DRT-0018] Complete 30000 insts.\r\n[INFO DRT-0024] Complete POLY1.\r\n[INFO DRT-0024] Complete Fr_VIA.\r\n[INFO DRT-0024] Complete MET1.\r\n[INFO DRT-0024] Complete VIA1.\r\n[INFO DRT-0024] Complete MET2.\r\n[INFO DRT-0024] Complete VIA2.\r\n[INFO DRT-0024] Complete MET3.\r\n[INFO DRT-0024] Complete VIA3.\r\n[INFO DRT-0024] Complete MET4.\r\n[INFO DRT-0024] Complete VIA4.\r\n[INFO DRT-0024] Complete MET5.\r\n[INFO DRT-0024] Complete VIATP.\r\n[INFO DRT-0024] Complete METTP.\r\n[INFO DRT-0033] POLY1 shape region query size = 0.\r\n[INFO DRT-0033] FR_VIA shape region query size = 0.\r\n[INFO DRT-0033] MET1 shape region query size = 1146670.\r\n[INFO DRT-0033] VIA1 shape region query size = 63610.\r\n[INFO DRT-0033] MET2 shape region query size = 190857.\r\n[INFO DRT-0033] VIA2 shape region query size = 63610.\r\n[INFO DRT-0033] MET3 shape region query size = 190857.\r\n[INFO DRT-0033] VIA3 shape region query size = 63610.\r\n[INFO DRT-0033] MET4 shape region query size = 190928.\r\n[INFO DRT-0033] VIA4 shape region query size = 63610.\r\n[INFO DRT-0033] MET5 shape region query size = 190832.\r\n[INFO DRT-0033] VIATP shape region query size = 63610.\r\n[INFO DRT-0033] METTP shape region query size = 63754.\r\n[INFO DRT-0165] Start pin access.\r\n[INFO DRT-0076] Complete 100 pins.\r\n[INFO DRT-0076] Complete 200 pins.\r\n[INFO DRT-0076] Complete 300 pins.\r\n[INFO DRT-0076] Complete 400 pins.\r\n[INFO DRT-0076] Complete 500 pins.\r\n[INFO DRT-0076] Complete 600 pins.\r\n[INFO DRT-0076] Complete 700 pins.\r\n[INFO DRT-0076] Complete 800 pins.\r\n[INFO DRT-0076] Complete 900 pins.\r\n[INFO DRT-0077] Complete 1000 pins.\r\n[INFO DRT-0077] Complete 2000 pins.\r\n[INFO DRT-0077] Complete 3000 pins.\r\n[INFO DRT-0077] Complete 4000 pins.\r\n[INFO DRT-0077] Complete 5000 pins.\r\n[INFO DRT-0077] Complete 6000 pins.\r\n[INFO DRT-0077] Complete 7000 pins.\r\n[INFO DRT-0077] Complete 8000 pins.\r\n[INFO DRT-0077] Complete 9000 pins.\r\n[INFO DRT-0077] Complete 10000 pins.\r\n[INFO DRT-0077] Complete 11000 pins.\r\n[INFO DRT-0077] Complete 12000 pins.\r\n[INFO DRT-0077] Complete 13000 pins.\r\n[INFO DRT-0077] Complete 14000 pins.\r\n[INFO DRT-0077] Complete 15000 pins.\r\n[INFO DRT-0077] Complete 16000 pins.\r\n[INFO DRT-0077] Complete 17000 pins.\r\n[INFO DRT-0077] Complete 18000 pins.\r\n[INFO DRT-0077] Complete 19000 pins.\r\n[INFO DRT-0077] Complete 20000 pins.\r\n[INFO DRT-0077] Complete 21000 pins.\r\n[INFO DRT-0077] Complete 22000 pins.\r\n[INFO DRT-0077] Complete 23000 pins.\r\n[INFO DRT-0077] Complete 24000 pins.\r\n[INFO DRT-0077] Complete 25000 pins.\r\n[INFO DRT-0077] Complete 26000 pins.\r\n[INFO DRT-0077] Complete 27000 pins.\r\n[INFO DRT-0077] Complete 28000 pins.\r\n[INFO DRT-0077] Complete 29000 pins.\r\n[INFO DRT-0077] Complete 30000 pins.\r\n[INFO DRT-0077] Complete 31000 pins.\r\n[INFO DRT-0077] Complete 32000 pins.\r\n[INFO DRT-0077] Complete 33000 pins.\r\n[INFO DRT-0077] Complete 34000 pins.\r\n[INFO DRT-0077] Complete 35000 pins.\r\n[INFO DRT-0077] Complete 36000 pins.\r\n[INFO DRT-0077] Complete 37000 pins.\r\n[INFO DRT-0077] Complete 38000 pins.\r\n[INFO DRT-0077] Complete 39000 pins.\r\n[INFO DRT-0077] Complete 40000 pins.\r\n[INFO DRT-0077] Complete 41000 pins.\r\n[INFO DRT-0077] Complete 42000 pins.\r\n[INFO DRT-0077] Complete 43000 pins.\r\n[INFO DRT-0077] Complete 44000 pins.\r\n[INFO DRT-0077] Complete 45000 pins.\r\n[INFO DRT-0077] Complete 46000 pins.\r\n[INFO DRT-0077] Complete 47000 pins.\r\n[INFO DRT-0077] Complete 48000 pins.\r\n[INFO DRT-0077] Complete 49000 pins.\r\n[INFO DRT-0077] Complete 50000 pins.\r\n[INFO DRT-0077] Complete 51000 pins.\r\n[INFO DRT-0077] Complete 52000 pins.\r\n[INFO DRT-0077] Complete 53000 pins.\r\n[INFO DRT-0077] Complete 54000 pins.\r\n[INFO DRT-0077] Complete 55000 pins.\r\n[INFO DRT-0077] Complete 56000 pins.\r\n[INFO DRT-0078] Complete 56785 pins.\r\n[INFO DRT-0079] Complete 100 unique inst patterns.\r\n[INFO DRT-0079] Complete 200 unique inst patterns.\r\n[INFO DRT-0079] Complete 300 unique inst patterns.\r\n[INFO DRT-0079] Complete 400 unique inst patterns.\r\n[INFO DRT-0079] Complete 500 unique inst patterns.\r\n[INFO DRT-0079] Complete 600 unique inst patterns.\r\n[INFO DRT-0079] Complete 700 unique inst patterns.\r\n[INFO DRT-0079] Complete 800 unique inst patterns.\r\n[INFO DRT-0079] Complete 900 unique inst patterns.\r\n[INFO DRT-0080] Complete 1000 unique inst patterns.\r\n[WARNING DRT-0087] No valid pattern for unique instance pipe_g54590__5477, master is AND4JIHDX0.\r\n[INFO DRT-0080] Complete 2000 unique inst patterns.\r\n[INFO DRT-0080] Complete 3000 unique inst patterns.\r\n[INFO DRT-0080] Complete 4000 unique inst patterns.\r\n[INFO DRT-0080] Complete 5000 unique inst patterns.\r\n[INFO DRT-0080] Complete 6000 unique inst patterns.\r\n[INFO DRT-0080] Complete 7000 unique inst patterns.\r\n[INFO DRT-0080] Complete 8000 unique inst patterns.\r\n[INFO DRT-0080] Complete 9000 unique inst patterns.\r\n[INFO DRT-0080] Complete 10000 unique inst patterns.\r\n[INFO DRT-0080] Complete 11000 unique inst patterns.\r\n[INFO DRT-0080] Complete 12000 unique inst patterns.\r\n[WARNING DRT-0087] No valid pattern for unique instance pipe_g54134__2883, master is ON31JIHDX1.\r\n[INFO DRT-0080] Complete 13000 unique inst patterns.\r\n[INFO DRT-0080] Complete 14000 unique inst patterns.\r\n[INFO DRT-0080] Complete 15000 unique inst patterns.\r\n[INFO DRT-0081] Complete 15192 unique inst patterns.\r\n[INFO DRT-0082] Complete 1000 groups.\r\n[INFO DRT-0082] Complete 2000 groups.\r\n[INFO DRT-0082] Complete 3000 groups.\r\n[INFO DRT-0082] Complete 4000 groups.\r\n[INFO DRT-0082] Complete 5000 groups.\r\n[INFO DRT-0082] Complete 6000 groups.\r\n[INFO DRT-0082] Complete 7000 groups.\r\n[INFO DRT-0082] Complete 8000 groups.\r\n[INFO DRT-0082] Complete 9000 groups.\r\n[INFO DRT-0083] Complete 10000 groups.\r\n@@@ dead end inst\r\n@@@ dead end inst\r\n[ERROR DRT-0085] Valid access pattern combination not found for \r\npipe_g54590__5477\r\n@@@ dead end inst\r\n@@@ dead end inst\r\n[ERROR DRT-0085] Valid access pattern combination not found for \r\npipe_g54134__2883\r\n[INFO DRT-0083] Complete 20000 groups.\r\n[INFO DRT-0083] Complete 30000 groups.\r\nError: flow.tcl, 197 DRT-0085\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nHas anyone encountered this error before and know how to deal with it?"}, {"assistant": "@techstuds \r\nWhich PDK it is?"}, {"user": "It's the XH018 PDK of X-FAB."}, {"assistant": "OpenROAD is having a hard time accessing these cell.\r\n\r\n[WARNING DRT-0087] No valid pattern for unique instance pipe_g54590__5477, master is **AND4JIHDX0**\r\n[WARNING DRT-0087] No valid pattern for unique instance pipe_g54134__2883, master is **ON31JIHDX1**\r\n\r\nThe easiest thing to do would be to \"not use\" those cells. You can mark them dont_use in your liberty."}, {"assistant": "I have a similar issue, delating to the number of vias the PA attempts. Here is my attempt to fix: https://github.com/The-OpenROAD-Project/OpenROAD/pull/3677 but this seems to have impacts on the routablity of the design so more work is needed. With this, I can get my designs to route in my PDK."}, {"assistant": "What flow are you using? In ORFS you can use 'make global_route_issue' to package up your test case (assuming it is ok to share).\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/master/etc/deltaDebug.py is useful for cutting down a large test case if needed."}, {"assistant": "@techstuds Is it ok to share the test case?"}, {"user": "@QuantamHD I've tried several times but the error still occurs until dont_use is ignored during synthesis (cells were marked as dont_use in SDC file before synthesis).\r\n\r\n@gadfort Unfortunately, this didn't fix the error in my case, although it's a really promising change.\r\n\r\n@maliberty I used the OpenROAD flow (not ORFS) in OpenROAD/test/flow.tcl because I'm mostly interested in P&R (the synthesis was done by Cadence Genus). \r\n\r\nI've also tried to cutting down my testcase with deltaDebug.py but I'm probably doing something wrong here. In flow.tcl, a db file is written just before the pin_access step where the error occurs, so I used that db file. What do I need to pass as a step argument in this case? The command looks like this:\r\n\r\n`openroad -python deltaDebug.py --base_db_path test_dpl-tcl.db --error_string '[WARNING DRT-0087] No valid pattern for unique instance' --step step.sh --persistence 5`\r\n\r\nstep.sh:\r\n`pin_access -bottom_routing_layer MET2 -top_routing_layer METTP`\r\n\r\n"}, {"assistant": "step.sh has to be a complete run of OR - you haven't loaded any odb to start with."}, {"assistant": "@techstuds recently we added support for extensive via search for access points that can't be accessed with the conventional openroad pin access technique. It solved the issue for peter. Could you try it again and tell us what you get.\nAlso, if it is not solved yet, I think we need more information to work on this. At least some visuals on the pin that can't be accessed in relation to the routing tracks."}, {"assistant": "Closing due to no response. If this is still relevant please reopen and update."}]} +{"num": 3759, "messages": [{"user": "### Description\n\nOtherwise, you can end up with a lot of skew\n\n### Suggested Solution\n\n@maliberty You had some ideas?\n\n### Additional Context\n\n_No response_"}, {"assistant": "There are two parts here\r\n\r\n1) When building a .lib abstract for a block we should record the clock tree delay. The exact property is to be provided by My unless someone knows it offhand\r\n\r\n2) When building the clock tree at the top level the latency that is inside the macro should be taken in to account."}, {"assistant": "From https://www.eng.biu.ac.il/temanad/files/2017/02/Lecture-8-CTS.pdf\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/d47f8ce6-9b2d-4cc2-8c64-dbd59b67aa45)\r\n\r\nThis shows the idea though we don't want this command but to automate it through .lib."}, {"assistant": "For the related .lib attributes:\r\n```\r\nmax_clock_tree_path\r\nUsed in timing groups under a clock pin. Defines the maximum clock tree path constraint.\r\nmin_clock_tree_path\r\nUsed in timing groups under a clock pin. Defines the minimum clock tree path constraint.\r\n```"}, {"assistant": "@louiic to write a more detailed spec"}, {"user": "@maliberty @tspyrou Is this more detailed spec in place?"}, {"assistant": "My suggested that the necessary data can be carried in Liberty. We are working on enhancing sta to generate the necessary data. After that we can start on CTS."}, {"assistant": "We plan to enhance insertion delay support as follows:\r\n1) Enhance H-Tree to pull macros with insertion delays ahead of FF leaves\r\n2) Enhance OpenSTA to include insertion delay in report_clock_skew\r\n3) Enhance clock tree viewer to include insertion delays (optional)\r\n\r\n#1 and #2 are targeted by end of Feb. If needed #3 can be done in mid March.\r\n\r\nThanks.\r\n\r\nCho\r\n"}, {"assistant": "@oharboe, one way to improve skew in the presence of FFs and macro cells (or macro cells with different insertion delays) is to balance latency by inserting additional buffers. If there is a path from one clock buffer to a FF and another to a macro cell, some\r\nadditional buffers can be added along the path from the clock buffer to the FF to match the macro cell insertion delay. This can produce better clock skew at the expense of area and power. Is this an acceptable solution?"}, {"assistant": "Here's some intermediate update on the progress. Part 3: clock tree viewer enhancement is now complete. Macros sinks and register sinks are now colored differently and insertion delays are also included in macro cell pin arrival. Part 1: core HTree enhancement is about 60% complete. Even though the work of latency adjustment is incomplete, asap7 / mock-array shows promising results. Here's the current clock tree without the new enhancement. Red sinks are registers and dark cyan macros.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/9bbc4888-0048-4b1b-adf0-f01a6c12ae78)\r\nThe clock tree changes as follows with the new enhancement (without -balance_levels option.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/1e82012b-eb85-4bef-bf87-d7af8fccaf54)\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n
\r\n\r\n\r\nsTNS / skew | CTS Pre repair | CTS Post repair | CTS Final | GR | Final | Final power\r\n-- | -- | -- | -- | -- | -- | --\r\nNo ins delay No bal levels | -48K 54.90 | -13.3K 71.01 | -21.4K 73.56 | 0.0 64.77 | 0.0 70.13 | 3.87 mW\r\nIns delay No bal levels | -107K -27.55 | -3.6K -26.39 | -3.6K -41.57 | -104.07 -49.97 | 0.0 -51.58 | 3.59 mW\r\n\r\n\r\n\r\n
\r\n\r\n\r\n\r\n\r\n\r\nEven in the current incomplete state, setup TNS is 6X better after CTS final step and total power is 7% better after DR.\r\nThe remaining work involves adding buffers to balance macro cell path latencies and register path latencies. \r\nThis will be done by looking at actual path delays, not logic levels.\r\n\r\nThe project is on track for end of February delivery."}, {"user": "@precisionmoon It would be nice to have the clock insertion point for the macro AND the clock insertion latency within the macro indicated in the CTS viewer. The clock insertion latency within the macro could perhaps be indicated a flip-flop after the macro clock insertion point?\r\n\r\nThe flip-flops of the current design and the flip-flops of the macros should then all line up with a minimum of skew(assuming we try to optimize for minimum of skew, the optimal skew is more complicated...).\r\n\r\n\r\n"}, {"assistant": "@oharboe, yes we can enhance the clock tree viewer to highlight the insertion delay. For now, we'd like to focus on the core task of improving the clock skew. We'll revisit clock tree viewer later. Is this OK?"}, {"user": "@precisionmoon I ran `make DESIGN_CONFIG=designs/asap7/mock-array/config.mk`, I can see changes in the rendering of the clock tree, but I don't see the the separation of macros and flip-flops at the root of the tree.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/a1b408e4-64fc-4219-aafb-9be9ef7375a8)\r\n\r\n\r\n"}, {"assistant": "@oharboe, did you enable -insertion_delay option? This is not enabled by default yet."}, {"user": "> @oharboe, did you enable -insertion_delay option? This is not enabled by default yet.\r\n\r\nNo. How do I enable it?"}, {"assistant": "You modify flow/scripts/cts.tcl.\r\n\r\nOn Mon, Feb 5, 2024 at 11:12\u202fAM \u00d8yvind Harboe ***@***.***>\r\nwrote:\r\n\r\n> @oharboe , did you enable -insertion_delay\r\n> option? This is not enabled by default yet.\r\n>\r\n> No. How do I enable it?\r\n>\r\n> \u2014\r\n> Reply to this email directly, view it on GitHub\r\n> ,\r\n> or unsubscribe\r\n> \r\n> .\r\n> You are receiving this because you were mentioned.Message ID:\r\n> ***@***.***>\r\n>\r\n"}, {"user": "@precisionmoon So I get this image below :+1: \r\n\r\nWhat is this image telling me it telling me?\r\n\r\nI tink it is telling me, from looking at the \r\n\r\n- The clock insertion latency for the flip-flops is around 329.534ps, as I can see by looking at one flip-flop\r\n- Looking at an Element, the clock insertion latency is around 442.233ps. However, the clock insertion latency *within* the Element is in addition to this. The Element.lib file is identical with and without the `-insertion_delay` change to cts.tcl, I expected it to be different.\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/1a238b84-fc2a-4866-b212-f4ded556b25c)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/827c92a5-c625-4310-8386-c7131a481201)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/35e2e323-557e-452d-b1a3-7efa26fb0f37)\r\n"}, {"assistant": "@oharboe, \r\n\r\n>Looking at an Element, the clock insertion latency is around 442.233ps. However, the clock insertion latency within the >Element is in addition to this. \r\n\r\nNo, the arrival at macro pin of 442.233 ps already includes insertion delay of ~70 ps for the Element. This is part of my recent GUI change.\r\n\r\n cell (\"Element\") {\r\n interface_timing : true;\r\n pin(\"clock\") {\r\n direction : input;\r\n clock : true;\r\n capacitance : 3.6238;\r\n timing() {\r\n timing_sense : positive_unate;\r\n timing_type : min_clock_tree_path;\r\n\tcell_rise(scalar) {\r\n values(\"70.88061\");\r\n\t}\r\n\tcell_fall(scalar) {\r\n values(\"72.71306\");\r\n\t}\r\n }\r\n\r\nNow, I'm trying to balance the latencies by inserting buffers at the common point. This is more efficient than adding buffers along individual latency paths. Does this make sense?"}, {"user": "Yes. As we discussed, future GUI improvement would be a visiual clue/indication of `min_clock_tree_path`.\r\n\r\nLooking forward to further improvements."}, {"assistant": "Maybe one way to represent it is to stretch the cyan rectangle vertically? The top of the rectangle would be when the clock arrives at the port and then the bottom would be after the insertion delay. Like so\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/3936698/00aa929c-4ec9-4c61-be4f-9f1b1c447460)\r\n\r\nAlthough the registers don't do that and I don't think they could scale to that. Another option is to maybe drop a whisker like so?\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/3936698/c78aeb2e-a65e-428b-95dc-223b7468d233)\r\n"}, {"assistant": "Delay buffer insertion feature has been checked in today as part of https://github.com/The-OpenROAD-Project/OpenROAD/pull/4607. Delay buffers are added such that average latencies of all macro cell paths and those of register cell paths can match. For example, if the difference in macro cell latencies and register cell latencies is 50 ps and each delay buffer delay is 10 ps, we need 5 delay buffers to balance the latencies. -delay_buffer_derate option has been added to control number of delay buffers. Default is 1.0, meaning all the intended delay buffers will be added. 0.0 means no delay buffers.\r\nHere are some prelim results on asap7/mock-array.\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n
\r\n\r\n\r\nsTNS / skew | CTS Pre repair | CTS Post repair | CTS Final | GR | Final | Final power\r\n-- | -- | -- | -- | -- | -- | --\r\nBase No insertion delay | -48K 54.90 | -13.3K 71.01 | -21.4K 73.56 | 0.0 64.77 | 0.0 70.13 | 3.87 mW\r\nIns delay 0 delay buffers -insertion_delay -delay_buffer_derate 0.0 | -107K -27.55 | -3.6K -26.39 | -3.6K -41.57 | -104.07 -49.97 | 0.0 -51.58 | 3.59 mW\r\nIns delay 1 delay buffer -insertion_delay -delay_buffer_derate 0.2 | -106K -20.51 | -3.29K -21.57 | -3.2K -36.47 | -40.14 -45.99 | 0.0 -47.81 | 3.61 mW\r\nIns delay 2 delay buffers -insertion_delay -delay_buffer_derate 0.5 | -107K -27.67 | -3.7K -28.08 | -3.7K -43.55 | -178.66 -52.72 | 0.0 -52.53 | 3.65 mW\r\nIns delay 5 delay buffers -insertion_delay -delay_buffer_derate 1.0 | -110K -65.63 | -6.2K -66.36 | -6.2K -82.42 | -2338.12 -92.09 | -1382.6 -88.94 | 3.79 mW\r\n\r\n\r\n\r\n
\r\n\r\n\r\n\r\n\r\n\r\n\r\nClock tree with 5 delay buffers looks as follows:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/ad514cd2-1e06-41bc-8e8b-d80e895f7cb4)\r\n\r\nClock tree with 1 delay buffer looks as follows:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/1caf47a7-898d-4648-9740-961b27df03fe)\r\n\r\nThis looks similar to the clock tree without any delay buffers because post CTS repair step adds some additional buffers.\r\n\r\nSome more work is needed to tune the algorithm to produce the best skew and timing QoR."}, {"assistant": "We enhanced the feature to support handling of macro trees with a single sink. Latency adjustment now happens for such scenarios also.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/cc44944b-1c40-4526-a81f-936dc49896de)\r\nAlso, the feature is enabled by default now, so -insertion_delay is no longer needed. To disable the feature, use \"-no_insertion_delay\" option. Since the PR https://github.com/The-OpenROAD-Project/OpenROAD/pull/4678\r\n has been merged, we're closing this issue."}]} +{"num": 3760, "messages": [{"user": "### Describe the bug\n\nIn gf180/aes tapcell is now placing overlapping cells:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/5fd77614-a2cc-44d1-832e-80e8fe88801c)\r\n\n\n### Expected Behavior\n\nNo overlaps\n\n### Environment\n\n```shell\nORFS 392edae54a52586959855228f9fbfee50ebb56e4\r\nOR d27c60005b12791b2a60547689bfe9e7c72b9afb\n```\n\n\n### To Reproduce\n\nDESIGN_CONFIG=./designs/gf180/aes/config.mk make floorplan\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort this is still happening with:\r\n\r\n```\r\nORFS: f603ae985213ccadc2d1eb47f579878b0096a088\r\nOR: f402f369ec68deaa723548f272f05102093015a0\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/9001905/98219bd8-8305-4f80-bc96-59720754e533)\r\n"}, {"assistant": "@vvbandeira please attach a testcase"}, {"assistant": "@gadfort see below:\r\n\r\nhttps://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/2831/artifact/flow/final_report_aes_gf180_base_2023-08-03_07-22.tar.gz\r\nhttps://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/2831/artifact/flow/final_report_jpeg_gf180_base_2023-08-03_07-27.tar.gz"}, {"user": "@gadfort please give this high priority as it is affecting the CI"}, {"assistant": "@maliberty \r\nI can check for the overlap condition, but I think the tapcells are incorrect in GF180:\r\n```\r\n tapcell \\\r\n -no_cell_at_top_bottom \\\r\n -endcap_cpp \"12\" \\\r\n -distance 100 \\\r\n -tapcell_master $::env(TIE_CELL) \\\r\n -endcap_master $::env(ENDCAP_CELL) \\\r\n -tap_nwin2_master $::env(ENDCAP_CELL) \\\r\n -tap_nwin3_master $::env(ENDCAP_CELL) \\\r\n -tap_nwout2_master $::env(ENDCAP_CELL) \\\r\n -tap_nwout3_master $::env(ENDCAP_CELL) \\\r\n -tap_nwintie_master $::env(ENDCAP_CELL) \\\r\n -tap_nwouttie_master $::env(ENDCAP_CELL) \\\r\n -cnrcap_nwin_master $::env(ENDCAP_CELL) \\\r\n -cnrcap_nwout_master $::env(ENDCAP_CELL) \\\r\n -incnrcap_nwin_master $::env(ENDCAP_CELL) \\\r\n -incnrcap_nwout_master $::env(ENDCAP_CELL) \\\r\n -tbtie_cpp 16 \\\r\n -halo_width_x 2 \\\r\n -halo_width_y 2\r\n```\r\nshould probably be:\r\n```\r\n tapcell \\\r\n -distance 100 \\\r\n -tapcell_master $::env(TIE_CELL) \\\r\n -endcap_master $::env(ENDCAP_CELL) \\\r\n```"}, {"assistant": "@vvbandeira I'm unable to replicate the AES one, but I can get JPEG to fail."}, {"user": "If I make the change you suggest on aes (which I can see the problem on) I get:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/7e5b85bc-555e-40e6-8f6a-93d34af3ac68)\r\n\r\nThe whole top row disappears."}, {"user": "It is \" -tap_nwouttie_master $::env(ENDCAP_CELL) \" the controls the presence of the top row fwiw"}, {"assistant": "@maliberty if you can get the issue to appear on AES, can you wrap up the testcase then, I don't know what is different in my setup, but I'm not getting the same area. I'll take a look at the suggestion since that seems like incorrect behavior."}, {"assistant": "@maliberty The command in GF180 specified no cells for top and bottom (that option was deprecated a long time ago), but my understanding is that means endcaps are not needed on the top and bottom rows. If you want to have the top and bottom rows filled you can keep the command, but if the row is an odd number of sites wide it cannot be filled based on that setup."}]} +{"num": 3768, "messages": [{"user": "### Description\r\n\r\nWhen debugging results from the pin placer, it is helpful to see the fly wires of the IO nets after the pin placement. I have to manually select all the IO pins to see these fly wires.\r\n\r\nSince it would be used mainly during developer debugging, a command to highlight only the IO nets should be enough to simplify this process.\r\n\r\n### Suggested Solution\r\n\r\nThis command can live inside PPL using the existing IOPlacerRendered. I suggest having something like `highlight_io_nets `. This way, we can draw the fly wires for a subset of nets and not only for all nets. The `*` symbol can be used to draw the fly wires for all nets.\r\n\r\nSome examples:\r\n`highlight_io_nets {net1}` - Draw the fly wires of net1\r\n`highlight_io_nets {net1 net2 net3}` - Draw the fly wires of the nets net1, net2 and net3\r\n`highlight_io_nets {net*}` - Draw the fly wires of all nets with the prefix `net`.\r\n`highlight_io_nets {*}` - Draw the fly wires of all IO nets.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "\"Draw the fly wires of all nets\" - should this be all IO nets?"}, {"assistant": "Would it suffice to simply have a command to select IO nets without writing a new renderer?"}, {"user": "> \"Draw the fly wires of all nets\" - should this be all IO nets?\r\n\r\nYes, I didn't notice I missed the IO part. Updated the description."}, {"user": "> Would it suffice to simply have a command to select IO nets without writing a new renderer?\r\n\r\nYeah, I think it should work. I've suggested using the IOPlacerRenderer, but I'm fine if we have a simpler solution for this."}, {"assistant": "The gui already has a \"select\" command. Perhaps we can just add a filter option for IO nets. \r\n\r\n@gadfort any thoughts on generic filtering options?"}, {"assistant": "We could add something maybe that could do some filling on the properties"}, {"assistant": "perhaps @AcKoucher could add -filter attribute==value "}, {"assistant": "> -filter attribute==value\r\n\r\nvalue being a string?\r\n"}, {"assistant": "value's type would depend on the attribute (ie -filter fanout=5 or -filter type=fill)"}]} +{"num": 3773, "messages": [{"user": "\r\n```\r\n$ make gui_final\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n[INFO][FLOW] Invoked hierarchical flow.\r\nBlock Element needs to be hardened.\r\nODB_FILE=./results/asap7/mock-array/base/6_final.odb /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -gui ./scripts/gui.tcl\r\nOpenROAD v2.0-9658-g2b329c471 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nLoading spef\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055EB411EEDAB in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F9164242520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbNet::getSigType() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# gui::DbNetDescriptor::highlight(std::any, gui::Painter&) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# gui::ClockTreeRenderer::drawObjects(gui::Painter&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# gui::RenderThread::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# 0x00007F91650CCCA1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n10# 0x00007F9164294B43 in /lib/x86_64-linux-gnu/libc.so.6\r\n11# 0x00007F9164326A00 in /lib/x86_64-linux-gnu/libc.so.6\r\nmake: *** [Makefile:925: gui_6_final.odb] Segmentation fault (core dumped)\r\n```\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1311#issuecomment-1664145170_\r\n "}, {"assistant": "Please specify exactly how to make it crash. I don't see that with your ORFS branch and the head of master:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/46306480-fc93-4f3b-a7a1-0238641e2bcd)\r\n"}, {"user": "Select the virtual clock in the clock tree viewer with https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1311"}, {"assistant": "Where?"}, {"assistant": "![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/964fce5f-ebe0-4fd3-b902-e79268ed3710)\r\n"}, {"user": "> Where?\r\n\r\nClick on the \"clock_virt tab\" -> crash\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/8d486cd8-b7f3-4fe4-a2d6-80409084e3f5)\r\n\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "That should have been in your original description "}, {"user": "> That should have been in your original description\r\n\r\nI know. Rush job, dog was waiting."}, {"assistant": "Fixed by https://github.com/The-OpenROAD-Project/OpenROAD/pull/3776"}, {"user": "@maliberty Works. The clock_virt is gone in the GUI now."}]} +{"num": 3781, "messages": [{"user": "### Describe the bug\n\nHi. I want to report an error with `odb`. If a net is connected with a `dbIterm` which is in another block, then something happens in an unexpected way.\r\n\r\n\r\n\r\nThis is the test code.\r\n\r\nYou can reproduce this error with this [commit](https://github.com/ApeachM/OpenROAD/commit/79a0c542fd4d1facbd65ea0bb717a71d54196d0c).\r\n\r\n```cpp\r\n#include \r\n\r\n#include \"db.h\"\r\n#include \"defin.h\"\r\n#include \"lefin.h\"\r\n#include \"lefout.h\"\r\n\r\nusing namespace odb; // NOLINT(*-build-using-namespace)\r\nusing namespace std; // NOLINT(*-build-using-namespace)\r\nint main()\r\n{\r\n dbDatabase* db_database = dbDatabase::create();\r\n dbTech* tech1 = dbTech::create(db_database, \"top_tech\");\r\n dbTech* tech2 = dbTech::create(db_database, \"bottom_tech\");\r\n auto* layer1\r\n = dbTechLayer::create(tech1, \"top_layer\", dbTechLayerType::MASTERSLICE);\r\n auto* layer2\r\n = dbTechLayer::create(tech2, \"bottom_layer\", dbTechLayerType::ROUTING);\r\n dbLib* lib1 = dbLib::create(db_database, \"top_lib\", tech1);\r\n dbLib* lib2 = dbLib::create(db_database, \"bottom_lib\", tech2);\r\n dbChip* chip = dbChip::create(db_database);\r\n dbBlock* block1 = dbBlock::create(chip, \"top\", tech1);\r\n dbBlock* block2 = dbBlock::create(block1, \"bottom\", tech2);\r\n // die size setting\r\n Rect die_rect(0, 0, 1000, 1000);\r\n block1->setDieArea(die_rect);\r\n block2->setDieArea(die_rect);\r\n\r\n vector inst_collector_top;\r\n vector inst_collector_bottom;\r\n\r\n // Example Library cell construction - top\r\n int top_lib_cell_num = 3;\r\n for (int i = 0; i < top_lib_cell_num; ++i) {\r\n int width = 100 + i * 10;\r\n int height = 200;\r\n dbMaster* master\r\n = dbMaster::create(lib1, (\"top_cell_\" + to_string(i)).c_str());\r\n master->setWidth(width);\r\n master->setHeight(height);\r\n master->setType(dbMasterType::CORE);\r\n\r\n int pin_num = 2 + i;\r\n for (int j = 0; j < pin_num; ++j) {\r\n dbSigType sig_type = dbSigType::SIGNAL;\r\n dbIoType io_type;\r\n if (j < pin_num - 1)\r\n io_type = dbIoType::INPUT;\r\n else\r\n io_type = dbIoType::OUTPUT;\r\n string pin_name = \"top_pin_\" + to_string(j);\r\n dbMTerm* master_terminal\r\n = dbMTerm::create(master, pin_name.c_str(), io_type, sig_type);\r\n dbMPin* master_pin = dbMPin::create(master_terminal);\r\n dbBox::create(master_pin, layer1, j * 2, j * 2, j * 2 + 1, j * 2 + 1);\r\n }\r\n master->setFrozen();\r\n }\r\n\r\n // Example Instance cells for top\r\n int instance_num = 10;\r\n // pick random number between 0 and top_lib_cell_num - 1, with fixed seed.\r\n srand(0); // NOLINT(*-msc51-cpp)\r\n for (int i = 0; i < instance_num; ++i) {\r\n int lib_cell_id = rand() % top_lib_cell_num; // NOLINT(*-msc50-cpp)\r\n int x, y;\r\n // set the x and y coordinate of the instance in the die area randomly.\r\n x = rand() % 1000; // NOLINT(*-msc50-cpp)\r\n y = rand() % 1000; // NOLINT(*-msc50-cpp)\r\n string inst_name = \"top_inst_\" + to_string(i);\r\n dbMaster* master = db_database->findMaster(\r\n (\"top_cell_\" + to_string(lib_cell_id)).c_str());\r\n auto inst = dbInst::create(block1, master, inst_name.c_str());\r\n inst->setLocation(x, y);\r\n inst_collector_top.push_back(inst);\r\n }\r\n\r\n // Example Library cell construction - bottom\r\n int bottom_lib_cell_num = 4;\r\n for (int i = 0; i < bottom_lib_cell_num; ++i) {\r\n int width = 200 + i * 20;\r\n int height = 300;\r\n dbMaster* master\r\n = dbMaster::create(lib2, (\"bottom_cell_\" + to_string(i)).c_str());\r\n master->setWidth(width);\r\n master->setHeight(height);\r\n master->setType(dbMasterType::CORE);\r\n\r\n int pin_num = 3 + i;\r\n for (int j = 0; j < pin_num; ++j) {\r\n dbSigType sig_type = dbSigType::SIGNAL;\r\n dbIoType io_type;\r\n if (j < pin_num - 1)\r\n io_type = dbIoType::INPUT;\r\n else\r\n io_type = dbIoType::OUTPUT;\r\n string pin_name = \"bottom_pin_\" + to_string(j);\r\n dbMTerm* master_terminal\r\n = dbMTerm::create(master, pin_name.c_str(), io_type, sig_type);\r\n dbMPin* master_pin = dbMPin::create(master_terminal);\r\n dbBox::create(master_pin, layer2, j * 2, j * 2, j * 2 + 1, j * 2 + 1);\r\n }\r\n master->setFrozen();\r\n }\r\n\r\n // Example Instance cells for bottom\r\n for (int i = 0; i < instance_num; ++i) {\r\n int lib_cell_id = rand() % top_lib_cell_num; // NOLINT(*-msc50-cpp)\r\n int x, y;\r\n // set the x and y coordinate of the instance in the die area randomly.\r\n x = rand() % 1000; // NOLINT(*-msc50-cpp)\r\n y = rand() % 1000; // NOLINT(*-msc50-cpp)\r\n string inst_name = \"bottom_inst_\" + to_string(i);\r\n dbMaster* master = db_database->findMaster(\r\n (\"bottom_cell_\" + to_string(lib_cell_id)).c_str());\r\n auto inst = dbInst::create(block2, master, inst_name.c_str());\r\n inst->setLocation(x, y);\r\n inst_collector_bottom.push_back(inst);\r\n }\r\n\r\n int inst_id1 = 5;\r\n int inst_id2 = 4;\r\n\r\n // intersected net test\r\n dbNet* net = dbNet::create(block1, (\"net_\" + to_string(inst_id1)).c_str());\r\n dbInst* inst1_top = inst_collector_top.at(inst_id1);\r\n dbInst* inst2_top = inst_collector_top.at(inst_id2);\r\n dbInst* inst1_bottom = inst_collector_bottom.at(inst_id1);\r\n dbITerm* i_term_top1 = inst1_top->findITerm(\"top_pin_0\");\r\n dbITerm* i_term_top2 = inst2_top->findITerm(\"top_pin_0\");\r\n dbITerm* i_term_bottom = inst1_bottom->findITerm(\"bottom_pin_0\");\r\n i_term_top1->connect(net);\r\n i_term_top2->connect(net);\r\n i_term_bottom->connect(net);\r\n\r\n cout << \"inst1_top: \" << inst1_top->getName() << '\\n';\r\n cout << \"inst2_top: \" << inst2_top->getName() << '\\n';\r\n cout << \"inst1_bottom: \" << inst1_bottom->getName() << '\\n' << '\\n';\r\n\r\n cout << \"connected instance number: \" << net->getITermCount() << '\\n';\r\n for (auto i_term : net->getITerms()) {\r\n cout << i_term->getInst()->getName() << '\\n';\r\n }\r\n\r\n /**\r\n * Console result:\r\n * \\code\r\n inst1_top: top_inst_5\r\n inst2_top: top_inst_4\r\n inst1_bottom: bottom_inst_5\r\n\r\n connected instance number: 1\r\n top_inst_6\r\n * */\r\n}\r\n```\n\n### Expected Behavior\n\n\r\nThe expected result should be below,\r\n\r\n```\r\ninst1_top: top_inst_5\r\ninst2_top: top_inst_4\r\ninst1_bottom: bottom_inst_5\r\n\r\nconnected instance number: 3\r\ntop_inst_5\r\ntop_inst_4\r\nbottom_inst_5\r\n```\r\n\r\nbut the console result is here.\r\n\r\n```\r\ninst1_top: top_inst_5\r\ninst2_top: top_inst_4\r\ninst1_bottom: bottom_inst_5\r\n\r\nconnected instance number: 1\r\ntop_inst_6\r\n```\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.0-78-generic\r\nos: Ubuntu 20.04.6 LTS (Focal Fossa)\r\ncmake version 3.24.2\r\nCMake Warning at CMakeLists.txt:98 (message):\r\n OpenROAD git describe failed, using sha1 instead\r\n\r\n\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: 2ca9dabe40a550e6cceac6ace52387e7feaa787f\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.8 (found version \"3.8.10\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/local/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.8 (found version \"3.8.10\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3275a304e17092895b7d7721148edc7dc67ba3aa\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/minjae/CLionProjects/OpenROAD_3DIC/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.30Bb4MFTdF\n```\n\n\n### To Reproduce\n\nYou can reproduce this error with this [commit](https://github.com/ApeachM/OpenROAD/commit/79a0c542fd4d1facbd65ea0bb717a71d54196d0c).\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Resolved?"}, {"user": "Currently it is resolving now... I will let you know it is updated."}]} +{"num": 3783, "messages": [{"user": "### Describe the bug\n\nFrom https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/discussions/1296\r\n\r\nThis test case sees memory blow up. It happens during the call to the virtual resizer from the global placer. It seems to be stuck buffering a net forever.\n\n### Expected Behavior\n\nReasonable memory and runtime.\n\n### Environment\n\n```shell\nHead of master\n```\n\n\n### To Reproduce\n\nhttps://drive.google.com/file/d/1B--Yyo3EOvMHq2ySyXwNk24psujtZEoZ/view?usp=sharing\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "In your sdc\r\n```\r\nset_max_transition 0.5000 [current_design]\r\n```\r\nis a ridiculously small value (0.5 ps). This is unachievable and should be relaxed or removed. \r\n\r\n@openroadie this has happened before. We should have some check for unrealistic values in rsz. "}, {"assistant": "How do we decide that ? Isn\u2019t this similar to the other max fan out issue\r\nwe had with pad cells in terms of input data being unreasonable in this one\r\nsituation ?\r\n\r\nOn Thu, Aug 3, 2023 at 4:57 PM Matt Liberty ***@***.***>\r\nwrote:\r\n\r\n> In your sdc\r\n>\r\n> set_max_transition 0.5000 [current_design]\r\n>\r\n> is a ridiculously small value (0.5 ps). This is unachievable and should be\r\n> relaxed or removed.\r\n>\r\n> @openroadie this has happened before. We\r\n> should have some check for unrealistic values in rsz.\r\n>\r\n> \u2014\r\n> Reply to this email directly, view it on GitHub\r\n> ,\r\n> or unsubscribe\r\n> \r\n> .\r\n> You are receiving this because you were mentioned.Message ID:\r\n> ***@***.***>\r\n>\r\n"}, {"user": "For the driver I looked at first the .lib has\r\n```\r\n rise_transition (delay_template_7x7_x1) {\r\n index_1 (\"5, 10, 20, 40, 80, 160, 320\");\r\n index_2 (\"0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08\");\r\n values ( \\\r\n \"10.1188, 14.0855, 22.2068, 38.5073, 71.1177, 136.355, 266.807\", \\\r\n \"11.0499, 14.8335, 22.5676, 38.5701, 71.1242, 136.338, 266.807\", \\\r\n \"13.6927, 17.1307, 24.4602, 39.7401, 71.4183, 136.305, 266.807\", \\\r\n \"17.5019, 21.8414, 29.5364, 43.871, 74.1886, 137.172, 266.802\", \\\r\n \"23.0783, 28.2967, 37.563, 53.7631, 82.5128, 143.084, 268.878\", \\\r\n \"31.5913, 38.3363, 49.9273, 69.1968, 102.281, 160.275, 280.289\", \\\r\n \"45.6565, 53.8354, 68.0495, 92.3316, 131.999, 200.256, 315.722\" \\\r\n );\r\n```\r\n\r\nits pretty clear 0.5 is never going to happen."}, {"user": "The smallest I see for any cell in asap7 is around 8ps..."}, {"assistant": "Ok. So should the resizer analyze the libs or should this be a higher level\r\nfunctionality for sanity checking ?\r\n\r\nOn Thu, Aug 3, 2023 at 5:01 PM Matt Liberty ***@***.***>\r\nwrote:\r\n\r\n> The smallest I see for any cell in asap7 is around 8ps...\r\n>\r\n> \u2014\r\n> Reply to this email directly, view it on GitHub\r\n> ,\r\n> or unsubscribe\r\n> \r\n> .\r\n> You are receiving this because you were mentioned.Message ID:\r\n> ***@***.***>\r\n>\r\n"}, {"user": "A sanity checker would be good as it could be extended for more checks. "}, {"assistant": "Ok. I will use this issue to address the infinite loop and we can start thinking about how/where/when for the sanity checker. "}]} +{"num": 3789, "messages": [{"user": "### Describe the bug\n\nI installed binaries from:\r\n- https://github.com/Precision-Innovations/OpenROAD/releases/download/2023-07-31/openroad_2.0_amd64-ubuntu22.04-2023-07-31.deb\r\n- https://github.com/YosysHQ/oss-cad-suite-build/releases/download/2023-08-04/oss-cad-suite-linux-x64-20230804.tgz\r\n- https://www.klayout.org/downloads/Ubuntu-22/klayout_0.28.10-1_amd64.deb\r\n\r\nAnd got OFSR as of 392edae54a52586959855228f9fbfee50ebb56e4\r\n\r\nWhen testing installation with make, openroad crashes due to an assert:\r\n\r\nopenroad: ./src/odb/src/db/dbWireCodec.cpp:481: void odb::dbWireEncoder::newPathVirtualWire(int, odb::dbTechLayer*, odb::dbWireType): Assertion `(jct_id >= 0) && (jct_id < _idx)' failed.\r\nSignal 6 received\r\nStack trace:\r\n0# 0x000055732DC089CD in /usr/bin/openroad\r\n1# 0x00007F02D7242520 in /lib/x86_64-linux-gnu/libc.so.6\r\n2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n5# 0x00007F02D722871B in /lib/x86_64-linux-gnu/libc.so.6\r\n6# 0x00007F02D7239E96 in /lib/x86_64-linux-gnu/libc.so.6\r\n7# odb::dbWireEncoder::newPathVirtualWire(int, odb::dbTechLayer*, odb::dbWireType) in /usr/bin/openroad\r\n8# grt::RepairAntennas::addWireTerms(grt::Net*, std::vector >&, int, int, int, odb::dbTechLayer*, int, std::map >, std::less, std::allocator > > > >&, odb::dbWireEncoder&, std::map, std::allocator > >&) in /usr/bin/openroad\r\n9# grt::RepairAntennas::makeNetWire(odb::dbNet*, std::vector >&, std::map, std::allocator > >&) in /usr/bin/openroad\r\n10# grt::RepairAntennas::makeNetWires(std::map >, grt::cmpById, std::allocator > > > >&, int) in /usr/bin/openroad\r\n11# ant::AntennaChecker::checkAntennas(odb::dbNet*, bool) in /usr/bin/openroad\r\n12# ant::check_antennas(char const*, bool) in /usr/bin/openroad\r\n13# 0x000055732E616A04 in /usr/bin/openroad\r\n14# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# 0x00007F02DB808028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /usr/bin/openroad\r\n19# ord::tclAppInit(Tcl_Interp*) in /usr/bin/openroad\r\n20# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n21# main in /usr/bin/openroad\r\n22# 0x00007F02D7229D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n23# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n24# _start in /usr/bin/openroad\r\nCommand terminated by signal 6\r\nElapsed time: 0:08.66[h:]min:sec. CPU time: user 0.75 sys 0.17 (10%). Peak memory: 190908KB.\r\nmake[1]: *** [Makefile:655: do-5_1_grt] Error 134\r\nmake[1]: Leaving directory '/media/sf_virtual_box/OpenROAD-flow-scripts/flow'\r\nmake: *** [Makefile:655: results/nangate45/gcd/base/5_1_grt.odb] Error 2\r\n\n\n### Expected Behavior\n\nThis is a installation test as mentionned at https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/docs/user/BuildWithPrebuilt.md\n\n### Environment\n\n```shell\nIt is a prebuilt installation as mentioned above.\r\n\r\nkernel: Linux 5.19.0-32-generic\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\n```\n\n\n### To Reproduce\n\nmake\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1306#issuecomment-1665349789 similar to this.\r\n@vvbandeira is OpenROAD Debian package issue? \r\nBecause locally built binaries working fine."}, {"assistant": "I suggest trying the latest build as there was a similar bug fixed recently."}, {"user": "Crash is gone with latest .deb. Thanks!"}, {"user": "Also bug was similar to https://github.com/The-OpenROAD-Project/OpenROAD/issues/3752."}]} +{"num": 3803, "messages": [{"user": "### Describe the bug\n\nWhen running `check_antenna` OpenROAD segfaults.\r\n\r\n```\r\n 0# 0x000055C036B030AB in openroad\r\n 1# 0x00007F98FDBB2520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbWireEncoder::addITerm(odb::dbITerm*) in openroad\r\n 3# odb::tmg_conn::addToWire(int, int, int, bool, bool) in openroad\r\n 4# odb::tmg_conn::treeReorder(bool) in openroad\r\n 5# odb::tmg_conn::analyzeNet(odb::dbNet*) in openroad\r\n 6# grt::RepairAntennas::makeNetWire(odb::dbNet*, std::vector >&, std::map, std::allocator > >&) in openroad\r\n 7# grt::RepairAntennas::makeNetWires(std::map >, grt::cmpById, std::allocator > > > >&, int) in openroad\r\n 8# ant::AntennaChecker::checkAntennas(odb::dbNet*, bool) in openroad\r\n 9# ant::check_antennas(char const*, bool) in openroad\r\n10# 0x000055C0376BABD4 in openroad\r\n```\n\n### Expected Behavior\n\nNo segfault\n\n### Environment\n\n```shell\nkernel: Linux 5.15.0-1040-azure\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.26.4\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9702-g92f8e07b0\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 7cf916ba205115a06c4531a044ced481f1ff8f12\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/gadfort/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done (4.8s)\r\n-- Generating done (0.7s)\n```\n\n\n### To Reproduce\n\n[sc_issue_ibex_job0_freepdk45_demo_route0_20230806-170640.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12270064/sc_issue_ibex_job0_freepdk45_demo_route0_20230806-170640.tar.gz)\r\n\r\n```\r\ntar xvf sc_issue_ibex_job0_freepdk45_demo_route0_20230806-170640.tar.gz\r\ncd sc_issue_ibex_job0_freepdk45_demo_route0_20230806-170640\r\n./run.sh\r\n```\n\n### Relevant log output\n\n```shell\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\nSignal 11 received\r\nStack trace:\r\n\r\n 0# 0x000055C036B030AB in openroad\r\n 1# 0x00007F98FDBB2520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbWireEncoder::addITerm(odb::dbITerm*) in openroad\r\n 3# odb::tmg_conn::addToWire(int, int, int, bool, bool) in openroad\r\n 4# odb::tmg_conn::treeReorder(bool) in openroad\r\n 5# odb::tmg_conn::analyzeNet(odb::dbNet*) in openroad\r\n 6# grt::RepairAntennas::makeNetWire(odb::dbNet*, std::vector >&, std::map, std::allocator > >&) in openroad\r\n 7# grt::RepairAntennas::makeNetWires(std::map >, grt::cmpById, std::allocator > > > >&, int) in openroad\r\n 8# ant::AntennaChecker::checkAntennas(odb::dbNet*, bool) in openroad\r\n 9# ant::check_antennas(char const*, bool) in openroad\r\n10# 0x000055C0376BABD4 in openroad\r\n11# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# 0x00007F9901A4A028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n16# ord::tclAppInit(Tcl_Interp*) in openroad\r\n17# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# main in openroad\r\n19# 0x00007F98FDB99D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n20# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n21# _start in openroad\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I find these SiC packaged test cases hard to follow. How do I determine exactly what files/commands are being executed?"}, {"user": "@maliberty \r\nThe openroad scripts are located in: build/ibex/job0_freepdk45_demo/sc_collected_files/scripts_fccbfa790db5ddcc0abfcccac3b21bc686d7535a/\r\n\r\nAll the files needed to run this are placed in build/ibex/job0_freepdk45_demo/sc_collected_files\r\n\r\nThe run.sh script is simply going to call:\r\n```\r\n./build/ibex/job0_freepdk45_demo/route/0/replay.sh\r\n```\r\nwhich is the script that executes OpenROAD\r\n\r\nThe two files are going to be: `sc_apr.tcl` and `sc_route.tcl`"}, {"assistant": "@eder-matheus what is your status?"}, {"assistant": "@maliberty I'm trying to isolate the problem, to understand on which net the crash happens and how the wires for it are, but I'm having trouble reproducing the crash. Sometimes it just goes through the routing flow without errors, but I'm also having this error:\r\n\"image\"\r\n\r\nI'm running in a MacOS, with the latest openroad compiled in debug mode. I'll try it on CentOS too."}, {"assistant": "Please try with valgrind "}, {"user": "@eder-matheus the stuck issue seems to be from the boost stracktrace getting into a deadlock with a atomic lock"}, {"user": "@eder-matheus I've narrowed it down a little.\r\nIf I exclude the net `rst_ni` from checking and from wire creation, doesn't segfault. It looks like this net has a fanout of 1700 (it's a port), so the wire that GRT is encoding is probably corrupted (so there probably is a bug in the GRT wire encoding code).\r\n\r\nThis seems to be from not having a driving cell set on the inputs or a max_fanout causing the `repair_design` to ignore the net. @maliberty I can fix the constraints since they are wrong, but to seems like there should be some kind of warning either in `check_setup` or `repair_design`? Not sure what the trigger should be, but no cell in the library can drive this kind of fanout."}, {"assistant": "> @eder-matheus I've narrowed it down a little. If I exclude the net `rst_ni` from checking and from wire creation, doesn't segfault. It looks like this net has a fanout of 1700 (it's a port), so the wire that GRT is encoding is probably corrupted (so there probably is a bug in the GRT wire encoding code).\r\n> \r\n> This seems to be from not having a driving cell set on the inputs or a max_fanout causing the `repair_design` to ignore the net. @maliberty I can fix the constraints since they are wrong, but to seems like there should be some kind of warning either in `check_setup` or `repair_design`? Not sure what the trigger should be, but no cell in the library can drive this kind of fanout.\r\n\r\nThanks for the update, @gadfort. The main issue is how we evaluate the global routing to search for antenna violations. The GRT tool does not create local connections with the pins, just the connection between the guides. When we evaluate the antennas, we convert the guides to wires and create the connection with the pins. In these connections, we can have overlaps between the wires and pin shapes, leading to the crash in order_wires.\r\n\r\nWe already made some improvements on this conversion process, trying to avoid the overlaps, but it may be hard in a scenario like yours, with a high fanout net.\r\n\r\nI'm wondering if we should change this approach and, instead of converting the groute to actual wires, make the antenna checker understand the route format and evaluate it.\r\n\r\nFor now, I'll dig at this net you mentioned to understand precisely what issue order_wires is having trouble with."}, {"assistant": "Are you using buffer_ports? A primary input should not be driving such a net."}, {"user": "@maliberty thats a good point, I thought it was turned on in that run, but certainly didn't seem to occur. But I would still advocate for some warnings if that is possible."}, {"assistant": "If check_setup warned about input ports with no set_driving_cell or max cap/slew/fanout would that suffice?"}, {"user": "yeah, `check_setup` would be ideal, \r\nWarn on:\r\n1. set_driving_cell or set_max_fanout on input_ports\r\n2. set_load on output_ports\r\nI'm not sure what the right combinations would be, but I wouldn't generate a warning if driving cell is set and max_fanout is not."}, {"assistant": "Please open an enhancement request for this. \r\n\r\nFor (1) I wouldn't warn if you have max cap/slew set as those effectively limit the fanout as well."}, {"user": "Done https://github.com/The-OpenROAD-Project/OpenROAD/issues/3884"}, {"assistant": "@eder-matheus was this crash fixed?"}]} +{"num": 3806, "messages": [{"user": "### Describe the bug\n\nwhile trying to integrate the design 'or1200_immu_top' with a manual integrated pdk 'gpdk45', I have been facing this error 'Unknown layer Oxide for viarule M1_DIFF' while sourcing the detail_route.tcl in the route stage. Can anyone suggest any possible solution?\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/140678965/3d365939-2ff8-4559-91b7-c22549894f8f)\r\nLef syntax example : \r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/140678965/f2961b35-c1a6-4e85-9c20-43a71233e3e6)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/140678965/3302e736-b82b-41b2-8d22-d05e1aae69f7)\r\n\r\nThe layer poly is defined in the same way as oxide but doesnt throw an error : \r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/140678965/df87bc44-1085-45d1-bd90-d0869ce801ad)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/140678965/4ababf86-cd0a-458a-87cf-8f39372028c0)\r\n\r\n\r\n\r\n\n\n### Expected Behavior\n\nThis design is running in other pdks but not running in this one.\n\n### Environment\n\n```shell\n.\n```\n\n\n### To Reproduce\n\n.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@faisaladilquadery \r\nThe pdk is public or private?"}, {"user": "> @faisaladilquadery The pdk is public or private?\r\n\r\nThe pdk is private."}, {"assistant": "As a short term workaround you can remove that viarule as we won't generate such vias anyways."}, {"assistant": "@maliberty I think this is the tip of the iceberg. Because we log an error if we find any object on a layer that is not considered in drt database. So that could happen for any other object, obstruction or pin shapes for example. What should we do in that case?"}, {"assistant": "It looks like the layer is in LEF so I'm assuming it is in odb but not passing through drt/io."}, {"assistant": "It is in odb, but we don't consider all layers in odb. We consider the first masterslice layer only. "}, {"user": "> As a short term workaround you can remove that viarule as we won't generate such vias anyways.\r\nI already tried that, the stage passes but then gives this error while reading the design :\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/140678965/29e7de7c-3705-4c83-85a3-37ef6d1c2b58)\r\n"}]} +{"num": 3817, "messages": [{"user": "### Discussed in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3811\r\n\r\n
\r\n\r\nOriginally posted by **oharboe** August 7, 2023\r\nIs this amount of slew expected on the clock pin to the SRAM? \r\n\r\n`SRAM2RW16x32_2/CE2` is one of two clocks to the SRAM, the SRAM has one read and one write port.\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/sram-64x16/config.mk\r\n```\r\n\r\n```\r\n>>> report_checks -path_delay max -fields {slew net cap} -to _351_/D\r\nStartpoint: SRAM2RW16x32_2 (rising edge-triggered flip-flop clocked by io_clk)\r\nEndpoint: _351_ (falling edge-triggered flip-flop clocked by io_clk')\r\nPath Group: io_clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock io_clk (rise edge)\r\n 241.44 241.44 clock network delay (propagated)\r\n 150.83 0.00 241.44 ^ SRAM2RW16x32_2/CE2 (SRAM2RW16x32)\r\n 40.24 202.07 443.51 v SRAM2RW16x32_2/O2[4] (SRAM2RW16x32)\r\n 1 9.73 _SRAM2RW16x32_2_O2[4] (net)\r\n 53.97 5.14 448.65 v _351_/D (DFFLQNx1_ASAP7_75t_R)\r\n 448.65 data arrival time\r\n\r\n 500.00 500.00 clock io_clk' (fall edge)\r\n 186.47 686.47 clock network delay (propagated)\r\n 0.52 686.99 clock reconvergence pessimism\r\n 686.99 v _351_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n -22.88 664.11 library setup time\r\n 664.11 data required time\r\n-----------------------------------------------------------------------------\r\n 664.11 data required time\r\n -448.65 data arrival time\r\n-----------------------------------------------------------------------------\r\n 215.46 slack (MET)\r\n```\r\n
"}, {"user": "Use \"make DESIGN_CONFIG=designs/asap7/sram-64x16/config.mk\" as the test case"}, {"user": "```report_checks -path_delay max -fields {slew net cap} -to _351_/D```"}, {"assistant": "> `report_checks -path_delay max -fields {slew net cap} -to _351_/D`\r\n\r\nNote! The names of flip-flops change all the time, so maybe `-through SRAM2RW16x32_2/CE2` is better?\r\n\r\n```\r\n>>> report_checks -through SRAM2RW16x32_2/CE2 -path_delay max -fields {slew net cap}\r\nStartpoint: SRAM2RW16x32_2 (rising edge-triggered flip-flop clocked by io_clk)\r\nEndpoint: _359_ (falling edge-triggered flip-flop clocked by io_clk')\r\nPath Group: io_clk\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock io_clk (rise edge)\r\n 274.66 274.66 clock network delay (propagated)\r\n 186.64 0.00 274.66 ^ SRAM2RW16x32_2/CE2 (SRAM2RW16x32)\r\n 38.09 206.69 481.35 v SRAM2RW16x32_2/O2[12] (SRAM2RW16x32)\r\n 1 8.66 _SRAM2RW16x32_2_O2[12] (net)\r\n 51.53 6.91 488.26 v _359_/D (DFFLQNx1_ASAP7_75t_R)\r\n 488.26 data arrival time\r\n\r\n 500.00 500.00 clock io_clk' (fall edge)\r\n 211.33 711.33 clock network delay (propagated)\r\n 0.00 711.33 clock reconvergence pessimism\r\n 711.33 v _359_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n -21.86 689.47 library setup time\r\n 689.47 data required time\r\n-----------------------------------------------------------------------------\r\n 689.47 data required time\r\n -488.26 data arrival time\r\n-----------------------------------------------------------------------------\r\n 201.21 slack (MET)\r\n\r\n```\r\n"}, {"assistant": "The driving cell and parasitic info of the RAM's clock pin are main factors contributed on the slew calculation. They are both missing in the path report.\r\n"}, {"user": "@mynnguyen you can run it yourself and add the needed options to get more info"}, {"assistant": "@mynnguyen opensta support the full clock expanded report which will show you the clock tree and the driving cell of the sram."}, {"assistant": "Correct. It seems slew degradation is due to long clock wires.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/44b76449-1ffa-4c45-8047-e19f18f96699)\r\n\r\nA new option clock_tree_synthesis -obstruction_aware was checked in yesterday that considers impact of blockages and hard macros. This option reduces slew and delay of clock buffers, but not all buffers see improvement in both delay and slew.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/a4423ab8-a311-4653-be16-06ba3e825b73)\r\n\r\nFinal setup TNS is reduced by 49% from -82.55 to -41.88 with -obstruction_aware option.\r\nLegalizer displacement after CTS shows improvement with this option also.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/141182150/ee656bf3-82b9-4d4c-8320-84ae9ffb6b44)\r\n\r\nIs this obstruction aware option partially helpful?"}, {"assistant": "@precisionmoon @tspyrou Why is it an option? It would be better if the tool always did the best thing without the user having to be aware of the option and have a mental model of when it should be applied."}, {"assistant": "+1 @oharboe should be default, or auto disabled if there's no benefit "}, {"assistant": "@tspyrou Non-sequitor...\r\n\r\nI know that any new behavior will make *some* design very slightly worse and that the test baseline has to be updated. I hope the work of upgrading the baseline data is not creating a bias towards having the right defaults and behaviors of OpenROAD. :sweat_smile: \r\n\r\nIf it does, then the simplest way I can think of fixing this is to have autoupdate of the baseline data. Currently the baseline that regression tests are compared against is kept in a git database. I discussed with @maliberty that I have successfully had this sort of baseline data stored outside of git and automatically updated in other projects I have worked on. Implementation detail can be key value store, files, sql or whatever."}, {"assistant": "Yes, we absolutely agree to make this on by default. We are still tuning the algorithm, so we want to avoid QoR fluctuations in the short term. We expect to be done with tuning in a few weeks. After that, the feature will be on by default. In the mean time, we welcome your feedback on the feature.\r\n\r\nThank you for your patience."}, {"assistant": "I think we could make this process more transparent by flagging features as \"experimental\" in docs until they are ready for use."}]} +{"num": 3820, "messages": [{"user": "### Describe the bug\n\nWhen building OpenRoad with \"cmake ..\" from build directory. I get an error about missing or-tools.\r\n\r\nThis is a bit odd the first I've been able to do:\r\n- cmake ..\r\n- make\r\nAnd the problem started appearing when doing \"make install\" and now it appears every time.\r\n\r\n \n\n### Expected Behavior\n\nFinish configuration.\r\n\n\n### Environment\n\n```shell\nSee output as Env.sh gives something similar to cmake.\n```\n\n\n### To Reproduce\n\ncd build\r\ncmake ..\r\n\n\n### Relevant log output\n\n```shell\n-- TCL header: /usr/include/tcl/tcl.h\r\nCMake Error at src/mpl2/CMakeLists.txt:42 (find_package):\r\n By not providing \"Findortools.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"ortools\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"ortools\" with any\r\n of the following names:\r\n\r\n ortoolsConfig.cmake\r\n ortools-config.cmake\r\n\r\n Add the installation prefix of \"ortools\" to CMAKE_PREFIX_PATH or set\r\n \"ortools_DIR\" to a directory containing one of the above files. If\r\n \"ortools\" provides a separate development package or SDK, be sure it has\r\n been installed.\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Ok I figured out what happened. I deinstalled the openroad .deb before doing \"make install\".\r\nSo basically the problem occurs when the .deb is not installed so I guess or-tools stuff are installed with openroad binaries.\r\n\r\n"}, {"assistant": "@titan73 \r\nCan you point link which you referring for OpenROAD installation?"}, {"user": "> @titan73 Can you point link which you referring for OpenROAD installation?\r\n\r\nThe installation guide is at https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/docs/user/BuildWithPrebuilt.md\r\n\r\nThe prebuilt openroad package is at https://github.com/Precision-Innovations/OpenROAD/releases.\r\n\r\nThe related package is at https://github.com/Precision-Innovations/OpenROAD/releases/download/2023-07-26/openroad_2.0_amd64-ubuntu22.04-2023-07-26.deb"}, {"assistant": "@titan73 \r\nIf you uninstalled the `.deb` and want to compile from the source you will need to follow these instructions:\r\nhttps://openroad.readthedocs.io/en/latest/user/Build.html#install-dependencies"}, {"user": "This is the very link as followed to compile. So basically I did:\r\n- git clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD.git\r\n- cd OpenROAD\r\n- sudo ./etc/DependencyInstaller.sh\r\n- mkdir build && cd build\r\n- cmake ..\r\nI guess or-tools installation should be added to etc/DependencyInstaller.sh\r\n"}, {"assistant": "It is included, see: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/etc/DependencyInstaller.sh#L150\r\n\r\nCould you share the output of `etc/Env.sh`? This gives additional information that is useful."}, {"user": "Ah indeed it's there. I did a bad grep I guess.\r\nHere is the output of etc/Env.sh\r\n[env.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/12312350/env.log)\r\n"}, {"user": "Finally I figured out what happened. When I removed openroad .deb, or-tools was removed too as it's bundled with openroad.\r\nRunning again etc/DependencyInstaller.sh reinstalled or-tools and the problem is gone.\r\nThanks!"}]} +{"num": 3828, "messages": [{"user": "### Describe the bug\n\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/83770be334d117a755f6e1a3f3a8e4cd332b8191/src/par/src/TritonPart.cpp#L1824\n\n### Expected Behavior\n\nit should call the GetLowerVertexBalance().\n\n### Environment\n\n```shell\nby code review.\n```\n\n\n### To Reproduce\n\nby code review.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@ZhiangWang033 could you take a look?"}, {"assistant": "Thanks - this looks like a clear copy-paste error."}]} +{"num": 3829, "messages": [{"user": "### Description\n\nopen floorplan.odb in the GUI, zoom in/out.\r\n\r\nzoomed out, the labels are to the left of the macros in some cases, but not all:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/ea1224de-ff25-4298-886d-4dfeae5a4679)\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n[floorplan_microwatt_sky130hd_base_2023-08-09_13-52.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12302250/floorplan_microwatt_sky130hd_base_2023-08-09_13-52.tar.gz)\r\n\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe this is probably a result of your change for the centering."}, {"user": "> @oharboe this is probably a result of your change for the centering.\r\n\r\nI need a bit of help of deciphering all the rotations, translations and coordinate systems there..."}, {"assistant": "you are adding a positive xOffset in one case and negative in the other?\r\nIt also looks like the text is not really centered (slight below the center and slightly to the left of center)."}, {"user": "> you are adding a positive xOffset in one case and negative in the other? It also looks like the text is not really centered (slight below the center and slightly to the left of center).\r\n\r\nI guess I lack a detailed understanding of what exactly is going on in that code. Could do with some help..."}, {"assistant": "@oharboe I don't know if I have time today to help"}, {"user": "> @oharboe I don't know if I have time today to help\r\n\r\nNo rush for my part and I don't think anyone else either... I just wanted to get a reproducible test-case registered with this issue so it could be attended to in due time.\r\n\r\nThe current implementation works quite well.\r\n\r\nI think it is struggling when the labels are long and the centering could be improved."}, {"assistant": "I personally don\u2019t think 90 degree rotated instance names are very readable and hope there are no upside down ones.\r\nLuckily few technologies allow 90 degree rotations these days."}, {"assistant": "@stefanottili there are some macros that are tall and thin where rotation is the only useful orientation. We could vary the threshold.\r\n\r\nThe label rotation is a function of the macro bounds and is never upside down or backwards."}]} +{"num": 3839, "messages": [{"user": "### Describe the bug\n\nwhen reading multiple def files using 'read_def -child', warnings get written to defRWarning.log\r\n\r\n1) But this file get's overwritten by each new read_def, so one only get's the warnings from the last file read.\r\n\r\n2) [WARNING ODB-0149] DEF parser returns an error! but these don't show up in defRWarning.log\r\n\r\n[WARNING ODB-0100] error: netlist component-pin (blockanme, pinname) is not defined\r\n[WARNING ODB-0100] message limit reached, this message will no longer print\r\n[WARNING ODB-0149] DEF parser returns an error!\r\n\r\nsome warnings caused by read_def get written to openroad -log log, some into defRWarning.log\r\n\r\nSeems there are two different warnings, one from the parser, one from odb, so I assume this is \"by design\"\n\n### Expected Behavior\n\nmultiple 'read_def -child' commands should append warnings to defRWarning.log instead of 'restarting it for every read_def'\n\n### Environment\n\n```shell\nv2.0-9834-g36bd481ac\r\nMacBook M1 Ventura\n```\n\n\n### To Reproduce\n\n.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "defRWarning.log is from the Si2 parser and we just left it on. Is what is printed in OR a superset of what is in defRWarning.log?"}, {"user": "OR has a different set of warnings then defRWarning.log\r\n\r\ndefRWarning.log starts with the last read, so seems to \"open to write\" for each file,\r\noverwriting the previous warnings. It would be good to \"open to append\".\r\n\r\nWarnings from file, \r\n\r\n"}]} +{"num": 3840, "messages": [{"user": "It would make moving around the design a lot easier.\r\n\r\nThe arrow keys is a bit clunky compared to dragging the view with the mouse.\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3812_"}, {"assistant": "To be sure, dragging should be triggered by shift+leftmouse?"}, {"user": "> To be sure, dragging should be triggered by shift+leftmouse?\r\n\r\nI don't know what recommendation to make here.... Something that isn't surprising for users of other EDA tools... Whatever that is...\r\n\r\nFor my part shift+leftmouse would work for me, if I could see or find in the GUI this combination..."}, {"assistant": "in klayout it is the middle mouse button that does drag"}]} +{"num": 3844, "messages": [{"user": "### Description\n\nWe are working on a design to fabricate with 180nm.\r\n\r\nThe fab require `274um x 85um` reserved space between IO pads and core area to keep fab structure and silicon number.\r\nIn that area no signal should be crossing.\r\n\r\nSo looking for feature to implement the `reserved space` within the die area using OpenROAD.\r\n\r\ncc/ @maliberty \n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I think the main piece needed is support for dbObstruction in drt. I believe grt supports them (@eder-matheus please confirm)."}, {"assistant": "> I think the main piece needed is support for dbObstruction in drt. I believe grt supports them (@eder-matheus please confirm).\r\n\r\nYes, GRT considers dbObstruction when calculating the routing resources. Is the idea to support dbObstruction in the detailed router as obstacles that would create DRCs with nets?"}, {"assistant": "Yes we should not route through obstructions (the same as if they belonged to a macro)"}, {"assistant": "@maliberty Apparently, DRT already has support for dbObstructions. When reading the design, the function `io::Parser::setObstructions` is called, which traverses all the dbObstructions and adds them as blockages to the `frBlock`.\r\n\r\nHow can I create a test case for the dbObstructions? Can I represent them in the DEF file somehow?"}, {"assistant": "I guess I missed that when I look a quick look. You can add a BLOCKAGES section to DEF"}, {"assistant": "I've added a met1 blockage between the pins of a net, and DRT is respecting it:\r\n\"image\"\r\n\r\nGRT also respects it, so we have full support for obstructions in our routers. I will create a PR to add unit tests for both GRT and DRT."}, {"assistant": "@vijayank88 it looks like you can use this already. You would have to create the dbObstruction through odb APIs currently. If needed we could make a more high level command."}, {"user": "@maliberty \r\nThanks for the update. \r\n\r\n\r\n> If needed we could make a more high level command.\r\n\r\nIf it is easy to add mean, please add high level command option... If it is time consuming one mean, we will wait for fab confirmation to implement the same. Until if needed close this issue, can be re-opened again when needed."}, {"assistant": "Maybe it's just because this is an old process, but most fabs should give you a LEF for the obstruction which you then manually place like a macro. The LEF will have the necessary obstruction info in it. This allows you to also merge in the fiducial cell GDS without any extra scripting.\r\n\r\nIf the fab really didn't provide a LEF/GDS and asked to reserve a space, then yes, creating an obstruction/blockage is the right way to go."}]} +{"num": 3845, "messages": [{"user": "### Describe the bug\n\nWe generated a placed circuit (ariance133_68) at NanGate45 technology node, followed by global routing with cugr-2 ([cuhk-eda/cu-gr-2 (github.com)](https://github.com/cuhk-eda/cu-gr-2)). Then we tried to run TritinRoute on the global routed circuit but failed with \"Error: assignIroute_bestTrack select no track for axi_req_o[261] @(0.0475, 643.37) (0.0475, 643.37 metal4 idx1/2=0/-\". axi_req_o[261] is an I/O pin and we can successfully run TritinRoute on ariance133_68 by removing all I/O pins. \n\n### Expected Behavior\n\nResolve the assignIroute_bestTrack Failure for I/O pins with TritinRoute\n\n### Environment\n\n```shell\nWe installed the latest TritonRoute following the instructions on this link: https://github.com/The-OpenROAD-Project/TritonRoute\r\n\r\nOS info:\r\nNAME=\"Ubuntu\"\r\nVERSION=\"20.04.5 LTS (Focal Fossa)\"\r\nID=ubuntu\r\nID_LIKE=debian\r\nPRETTY_NAME=\"Ubuntu 20.04.5 LTS\"\r\nVERSION_ID=\"20.04\"\n```\n\n\n### To Reproduce\n\nYou can find related files in the following links:\r\n\r\nhttps://drive.google.com/drive/folders/1Icbvzh3OsIsDxWGUToNLcYTObcYpGGrn?usp=sharing\r\n\r\nDEF/LEF files are in ./benchmarks/NanGate45, routing guides generated by CUGR2 are in ./GR_solutions and log files output by TritinRoute are in ./DR_log.\n\n### Relevant log output\n\n```shell\nvia1 guide region query size = 0\r\nmetal2 guide region query size = 370216\r\nvia2 guide region query size = 0\r\nmetal3 guide region query size = 335166\r\nvia3 guide region query size = 0\r\nmetal4 guide region query size = 34062\r\nvia4 guide region query size = 0\r\nmetal5 guide region query size = 116809\r\nvia5 guide region query size = 0\r\nmetal6 guide region query size = 65556\r\nvia6 guide region query size = 0\r\nmetal7 guide region query size = 246\r\nvia7 guide region query size = 0\r\nmetal8 guide region query size = 197\r\nvia8 guide region query size = 0\r\nmetal9 guide region query size = 0\r\nvia9 guide region query size = 0\r\nmetal10 guide region query size = 0\r\n\r\ninit gr pin query ...\r\nWaring: no output guide specified, skipped writing guide\r\n\r\n\r\nstart track assignment\r\nError: assignIroute_bestTrack select no track for axi_req_o[261] @(0.0475, 643.37) (0.0475, 643.37 metal4 idx1/2=0/-1\r\n\"TritonRoute_ariane133_68_ispd.log\" 17437L, 760904C 17437,117 Bot\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Updated link to related files: https://drive.google.com/drive/folders/1ceaocTfyr-SGT67lWkKGqHa2WDd6Iy2L?usp=sharing\r\n\r\nDEF/LEF files are in ./benchmarks/NanGate45, routing guides generated by CUGR2 are in ./GR_solutions and log files output by TritinRoute are in ./DR_log.\r\n\r\nSorry for inconvenient!"}, {"assistant": "@osamahammad21 please give this high priority"}, {"assistant": "from DEF:\r\n\r\n> TRACKS X 370 DO 4848 STEP 560 LAYER metal4 ;\r\n\r\nvertical tracks on metal 4 start from 370. The guide is located before the first vertical track on metal4. Thus no track is available to be assigned for that guide.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56893454/b85d1abe-503c-4d87-b45b-3f286f08556d)\r\nP.S. From the relevant log, I see that you are using the standalone TritonRoute which we don't support anymore. Please use the router module through openroad."}, {"assistant": "How were the guides generated?"}, {"assistant": "@maliberty According to the issue description, they used cugr2.\nIn my opinion there is nothing wrong with the detailed router in this case so far."}, {"assistant": "In that case it is a cugr-2 problem. Is there any reason to not use OR's global router?"}, {"user": "Thanks a lot for all the helps! Yes, the guides are generated with cugr2. We will try OR's global router or fix the bugs in the guides generated by cugr2. And we will use the router module in OR. Really appreciate your feedbacks!"}]} +{"num": 3847, "messages": [{"user": "### Describe the bug\n\nopenroad coredumps in save_clocktree_image: see logs/asap7/mock-array_Element/base/6_report.log below\n\n### Expected Behavior\n\nno coredump\n\n### Environment\n\n```shell\nMacBook M1 Ventura, mpl2 compiled in\r\nv2.0-9837-gb31a3b960\n```\n\n\n### To Reproduce\n\nmake DESIGN_CONFIG=./designs/asap7/mock-array/config.mk\n\n### Relevant log output\n\n```shell\nlogs/asap7/mock-array_Element/base/6_report.log\r\n\r\n558 ==========================================================================\r\n559 finish report_design_area\r\n560 --------------------------------------------------------------------------\r\n561 Design area 203 u^2 12% utilization.\r\n562 \r\n563 [WARNING GUI-0076] Populating font family aliases took 48 ms. Replace uses of missing font family \"Monospace\" with one that exists to avoid this cost.\r\n564 Signal 11 received\r\n565 Stack trace:\r\n566 0# handler(int) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n567 1# _sigtramp in /usr/lib/system/libsystem_platform.dylib\r\n568 2# sta::dbNetwork::term(sta::Pin const*) const in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n569 3# gui::ClockTree::ClockTree(sta::Clock*, sta::dbNetwork*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n570 4# gui::STAGuiInterface::getClockTrees() const in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n571 5# gui::ClockWidget::populate(sta::Corner*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n572 6# gui::ClockWidget::saveImage(std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, bool) in /Users/user/OpenROA\r\n581 15# show(char const*, bool) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n582 16# _wrap_show(void*, Tcl_Interp*, int, Tcl_Obj* const*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n583 17# TclNRRunCallbacks in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n584 18# TclEvalEx in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n585 19# Tcl_Eval in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n586 20# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n587 21# ord::tclAppInit(Tcl_Interp*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n588 22# Tcl_MainEx in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n589 23# TclInitNamespaceSubsystem in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n590 24# main in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "commenting out the save_images.tcl in ./scripts/final_report.tcl gets me past the coredump\r\n67 # gui::show \"source $::env(SCRIPTS_DIR)/save_images.tcl\" false\r\n\r\n```\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n[INFO][FLOW] Invoked hierarchical flow.\r\nBlock Element needs to be hardened.\r\nMakefile:409: warning: overriding commands for target `&'\r\nMakefile:322: warning: ignoring old commands for target `&'\r\n/Library/Developer/CommandLineTools/usr/bin/make DESIGN_CONFIG=./designs/asap7/mock-array/config.mk DESIGN_CONFIG=./designs/asap7/mock-array/Element/config.mk generate_abstract\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\n(/usr/bin/time stdbuf -o L /Users/user/bin/klayout -zz -rd design_name=Element \\\r\n -rd in_def=results/asap7/mock-array_Element/base/6_final.def \\\r\n -rd in_files=\"./platforms/asap7/gds/asap7sc7p5t_28_R_220121a.gds \" \\\r\n -rd config_file= \\\r\n -rd seal_file=\"\" \\\r\n -rd out_file=results/asap7/mock-array_Element/base/6_1_merged.gds \\\r\n -rd tech_file=./objects/asap7/mock-array_Element/base/klayout.lyt \\\r\n -rd layer_map= \\\r\n -r ./util/def2stream.py) 2>&1 | tee ./logs/asap7/mock-array_Element/base/6_1_merge.log\r\n[INFO] Reporting cells prior to loading DEF ...\r\n[INFO] Reading DEF ...\r\nERROR: RuntimeError: Read error on file: /Users/user/OpenROAD-flow-scripts/flow/results/asap7/mock-array_Element/base (errno=21) in Layout.read\r\n ./util/def2stream.py:113\r\n 0.22 real 0.18 user 0.02 sys\r\ncp results/asap7/mock-array_Element/base/6_1_merged.gds results/asap7/mock-array_Element/base/6_final.gds\r\ncp: results/asap7/mock-array_Element/base/6_1_merged.gds: No such file or directory\r\n```"}, {"assistant": "This must be a mac specific issue as it passes in the linux CI. @eder-matheus do you see this?"}, {"user": "The def2gds issue disappeared after blowing away everything inside the flow directory and doing a fresh git checkout.\r\nIt was not enough just to rm -r results ..\r\n\r\nThe coredump is still there."}, {"user": "You can't deduce that by having a passing CI, you don't have this coredump.\r\n\r\nThe flow continues regardless of the coredump, since it's only saving an image.\r\nAnd the logs don't capture the coredump at all.\r\n\r\nSo this might coredump on other machines/OS'es too."}, {"assistant": "If the tool coredumps then it should have a non-zero exit and make should detect that step as failed. Do you not see that?"}, {"user": "openroad core dumps in line 67 scripts/final_report.tcl and the flow continues.\r\n```\r\n 62 source $::env(SCRIPTS_DIR)/report_metrics.tcl\r\n 63 report_metrics \"finish\"\r\n 64 \r\n 65 # Save a final image if openroad is compiled with the gui\r\n 66 if {[expr [llength [info procs save_image]] > 0]} {\r\n 67 gui::show \"source $::env(SCRIPTS_DIR)/save_images.tcl\" false\r\n 68 }\r\n```\r\nthe Stack Trace can be seen in logs/asap7/mock-array_Element/base/6_report.log\r\nfinal_report_mock-array_asap7_base_2023-08-14_23-31/logs/asap7/mock-array/base/6_report.log doesn't show this issue\r\n\r\nIs a running on Mac x86 part of CI ? Where would I look for that report ?\r\n```\r\n==========================================================================\r\nfinish report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 203 u^2 12% utilization.\r\n\r\n[WARNING GUI-0076] Populating font family aliases took 49 ms. Replace uses of missing font family \"Monospace\" with one that exists to avoid this cost. \r\nSignal 11 received\r\nStack trace:\r\n 0# handler(int) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 1# _sigtramp in /usr/lib/system/libsystem_platform.dylib\r\n 2# sta::dbNetwork::term(sta::Pin const*) const in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 3# gui::ClockTree::ClockTree(sta::Clock*, sta::dbNetwork*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 4# gui::STAGuiInterface::getClockTrees() const in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 5# gui::ClockWidget::populate(sta::Corner*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 6# gui::ClockWidget::saveImage(std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, std::__1::optional const&, std::__1::optional const&) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 7# gui::Gui::saveClockTreeImage(std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, int, int) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 8# save_clocktree_image(char const*, char const*, char const*, int, int) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 9# _wrap_save_clocktree_image(void*, Tcl_Interp*, int, Tcl_Obj* const*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n10# TclNRRunCallbacks in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n11# TclEvalEx in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n12# Tcl_Eval in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n13# gui::TclCmdInputWidget::executeCommand(QString const&, bool, bool) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n14# gui::startGui(int&, char**, Tcl_Interp*, std::__1::basic_string, std::__1::allocator> const&, bool) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n15# show(char const*, bool) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n16# _wrap_show(void*, Tcl_Interp*, int, Tcl_Obj* const*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n17# TclNRRunCallbacks in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n18# TclEvalEx in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n19# Tcl_Eval in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n20# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n21# ord::tclAppInit(Tcl_Interp*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n22# Tcl_MainEx in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n23# TclInitNamespaceSubsystem in /opt/homebrew/Cellar/tcl-tk/8.6.13_4/lib/libtcl8.6.dylib\r\n24# main in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\ntime: command terminated abnormally\r\n 4.37 real 3.41 user 0.14 sys\r\n(/usr/bin/time stdbuf -o L /Users/user/bin/klayout -zz -rd design_name=Element \\\r\n -rd in_def=results/asap7/mock-array_Element/base/6_final.def \\\r\n -rd in_files=\"./platforms/asap7/gds/asap7sc7p5t_28_R_220121a.gds \" \\\r\n -rd config_file= \\\r\n -rd seal_file=\"\" \\\r\n -rd out_file=results/asap7/mock-array_Element/base/6_1_merged.gds \\\r\n -rd tech_file=./objects/asap7/mock-array_Element/base/klayout.lyt \\\r\n -rd layer_map= \\\r\n -r ./util/def2stream.py) 2>&1 | tee ./logs/asap7/mock-array_Element/base/6_1_merge.log\r\n[INFO] Reporting cells prior to loading DEF ...\r\n[INFO] Reading DEF ...\r\n[INFO] Clearing cells...\r\n[INFO] Merging GDS/OAS files...\r\n ./platforms/asap7/gds/asap7sc7p5t_28_R_220121a.gds\r\n[INFO] Copying toplevel cell 'Element'\r\nWARNING: no fill config file specified\r\n[INFO] Checking for missing cell from GDS/OAS...\r\n[INFO] Found GDS_ALLOW_EMPTY variable.\r\n[INFO] All LEF cells have matching GDS/OAS cells\r\n[INFO] Checking for orphan cell in the final layout...\r\n[INFO] No orphan cells\r\n[INFO] Writing out GDS/OAS 'results/asap7/mock-array_Element/base/6_1_merged.gds'\r\n```"}, {"assistant": "we only build on mac, we don't have the resources to run the flow"}, {"user": "just rechecking after all the recent sta updates ... this coredump still exists.\r\nv2.0-10644-g3187676d8"}, {"assistant": "It just passed in the CI: https://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/3112/execution/node/701/log/"}, {"assistant": "Same commit OpenROAD v2.0-10644-g3187676d8 "}, {"user": "the relevant log is:\r\n\r\nhttps://content.jenkins.openroad.tools/static-files/s80IrI89B6Tt56y40xZ9W-YTtRldrmfgTKKhjV-r_HoxNjk2OTY1Mjk1NTI5Ojk6YW5vbnltb3VzOmpvYi9PcGVuUk9BRC1mbG93LXNjcmlwdHMtTmlnaHRseS1QdWJsaWMvMzExMi9hcnRpZmFjdA==/flow/logs/asap7/mock-array_Element/base/6_report.log\r\n\r\nSo if it passes CI, what makes it coredump using apple clang on macOS ?\r\n\r\nIt's core dumping in the last step that generates 6_report.log, scripts/save_images.tcl for me.\r\n```\r\n77 foreach clock [get_clocks *] {\r\n 78 if { [llength [get_property $clock sources]] > 0 } {\r\n 79 set clock_name [get_name $clock]\r\n 80 gui::save_clocktree_image $::env(REPORTS_DIR)/cts_$clock_name.webp $clock_name\r\n 81 }\r\n 82 }\r\n```\r\nDoes it create clock tree images in CI or when you run it locally ?\r\n\r\nAny suggestion on how to debug this ? \r\nEither how to run this openroad step \"stand alone\" in a debugger or where to put some debug printf's ? \r\n```\r\n 0# handler(int) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 1# _sigtramp in /usr/lib/system/libsystem_platform.dylib\r\n 2# sta::dbNetwork::term(sta::Pin const*) const in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 3# gui::ClockTree::ClockTree(sta::Clock*, sta::dbNetwork*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 4# gui::STAGuiInterface::getClockTrees() const in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 5# gui::ClockWidget::populate(sta::Corner*) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 6# gui::ClockWidget::saveImage(std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, std::__1::optional const&, std::__1::optional const&) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 7# gui::Gui::saveClockTreeImage(std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, std::__1::basic_string, std::__1::allocator> const&, int, int) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n 8# save_clocktree_image(char const*, char const*, char const*, int, int) in /Users/user/OpenROAD-flow-scripts/tools/install/OpenRoad/bin/openroad\r\n```\r\n\r\n"}, {"assistant": "It saves the images in the CI. I would do 'make fnal_report_issue' which will generate the scripts to run that one step."}, {"assistant": "I don't see any path to resolve this mac specific issue."}, {"user": "Even with the sta updates to v2.0-10744-g8ed045098, this still consistently and reproduceably coredumps running \"safe_clocktree_image\" inside sta::dbNetwork::term for both moc-array and mock-array-Element as seen in 6_report.log.\r\n\r\nI didn't debug it further because the \"make final_report_issue\" generated shell script has bugs that would warrant another bug report and I ran out of time.\r\n\r\nI don't see why this issue has been be closed, even when it doesn't occur on linux, it's still a bug.\r\n\r\nIt might warrant a valgrind or address-sanitizer run of this step."}, {"assistant": "If you plan to address the issue we can reopen it. If not there is no point in having an open issue that nobody is going to address."}]} +{"num": 3859, "messages": [{"user": "### Describe the bug\n\nThe CI is now showing:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/65f92c9b-901f-4675-8fb5-83fd881b65d6)\r\n\r\nI suspect this relates to your recent PR\n\n### Expected Behavior\n\nNo error\n\n### Environment\n\n```shell\nhttps://jenkins.openroad.tools/blue/organizations/jenkins/OpenROAD-flow-scripts-Nightly-Public/detail/OpenROAD-flow-scripts-Nightly-Public/2880/pipeline/729\n```\n\n\n### To Reproduce\n\nSee https://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/2880/artifact/flow/final_report_riscv32i_asap7_base_2023-08-14_19-26.tar.gz\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty The check is correct (although there is a fix I need to do there, I'll submit that tomorrow).\r\nIt looks like the two of the four memories are not getting connected to the power grid (the previous code would have \"connected\" the macros on M6 despite there being no vias connecting the iterms of the memories to the grid).\r\n\r\nSo three actions needed:\r\n1. check_power_grid and analyze_power_grid differ in their error mode (check_power_grid doesn't see this error, but analyze_power_grid does, this should not be the case). (I'll fix this check tomorrow)\r\n2. pdngen should have errored out when it failed to connect the macros to anything (I'll fix this later this week)\r\n3. the asap7 power grid isn't capable to connecting to these memories (your team will need to correct this)"}, {"user": "Is it not capable of connecting because of the narrow width of the RAMs?"}, {"assistant": "@maliberty fixing check_power_grid is going to take a little longer, it seems to have opened pandoras box of shortcuts.\r\nThe reason the RAMs are not getting connected is they don't have a real power grid defined, just a single set of connect statements but without straps over them on those layers, there is nothing to connect (only one memory is getting connected by chance really)"}, {"user": "In asap7 I see\r\n```\r\ndefine_pdn_grid -name {CORE_macro_grid_1} -voltage_domains {CORE} -macro -orient {R0 R180 MX MY} -cells {.*}\r\n```\r\nvs \r\n```\r\ndefine_pdn_grid -name {CORE_macro_grid_1} -voltage_domains {CORE} -macro -orient {R0 R180 MX MY} -halo {2.0 2.0 2.0 2.0} -default -grid_over_boundary\r\n```\r\nin sky130hd. Is the -cells vs \"-default -grid_over_boundary\" the issue?"}, {"assistant": "@maliberty no that doesn't matter, the m5 straps just don't intersect the memories."}, {"user": "So if the macro width is less than the strap pitch then pdn will just fail to connect? Isn't it akin to a repair strap that you just need to add an extra for the macro?"}, {"assistant": "I suppose it is, but thats not what the repair channel code is currently capable of doing. Secondly, just adding straps might get you connectivity, but you may not meet any kind of IR drop. I think PDNGEN should have errored out and I'll add that check to it so we don't have incomplete power grids, but I don't have time to look at the repair channel thing."}, {"user": "Resolved by https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1371"}]} +{"num": 3861, "messages": [{"user": "### Description\n\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/6a66a6b07a3231b9400ed35d0f4e71eace5f5f4f/src/drt/src/dr/FlexDR_init.cpp#L1394-L1401\r\n\r\ntrackLocs is a set. At the first line, you already add the trackLoc to the set. The if condition after that is completely useless. And this is the only part where the bool isHorzTracks is used, so it is completely useless as well.\n\n### Suggested Solution\n\nCheck the usage of this function and the expected results to determine how to fix.\n\n### Additional Context\n\n_No response_"}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD/blob/6a66a6b07a3231b9400ed35d0f4e71eace5f5f4f/src/drt/src/dr/FlexDR_init.cpp#L1102-L1107\r\n\r\nWhile reviewing the code, I also found this weird if condition that will never be entered because \"useCenterLine\" is always true at this point."}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD/blob/6a66a6b07a3231b9400ed35d0f4e71eace5f5f4f/src/drt/src/dr/FlexDR_init.cpp#L1394-L1401\r\nAfter more analysis of the code, I think the useless if condition was used to avoid getting tracks of an upper or lower layer if its preferred routing direction is the same as the current layer.\r\nIt's now not used, and isHorzTracks input of getTrackLocs function is useless.\r\nAs a result, in the current code, a problem may arise if we have 2 consecutive metal layers having the same preferred routing direction.\r\n\r\nLike in this example usage of the function:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/6a66a6b07a3231b9400ed35d0f4e71eace5f5f4f/src/drt/src/dr/FlexDR_init.cpp#L873-L887\r\nThe router gets the ylocs tracks from the current layer and looks for another layer to get xlocs from, and the input flag isHorzTracks is not used, so if the neighboring layer is also horizontal, you get ylocs in the xlocs set.\r\n\r\nSo my question is: is having two consecutive layers of the same preferred direction valid?"}, {"assistant": "> So my question is: is having two consecutive layers of the same preferred direction valid?\r\n\r\nI have never seen a technology do this. In general, it wouldn't make sense. I think it would be fine to instead error on this condition and not support it unless someone finds a genuine need."}, {"assistant": "PR #4049 merged to solve this issue."}]} +{"num": 3862, "messages": [{"user": "### Describe the bug\n\nUsing https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1356#top, run `make DESIGN_CONFIG=designs/asap7/mock-alu/config.mk` to produce 6_final.odb, attached here [mock-alu.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12345871/mock-alu.zip)\r\n\r\nThen launch `openroad -gui` and load results/asap7/mock-alu/base/6_final.odb and enable the routing heatmap\r\n\r\nNotice that the heatmap extends to the end of the die on the left and bottom, but not at the top and right.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/e9acc3cf-d39d-4b97-89ea-7bf372f6c525)\r\n\r\n\n\n### Expected Behavior\n\nThe heatmap should extend to the top and the right, like it does to the left and bottom.\n\n### Environment\n\n```shell\nOpenROAD v2.0-9875-g7430f83d9\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Note there is something similar in the placement heat map"}]} +{"num": 3863, "messages": [{"user": "### Describe the bug\n\nUsing https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1356#top, run `make DESIGN_CONFIG=designs/asap7/mock-alu/config.mk` to produce 6_final.odb, attached here [mock-alu.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12345871/mock-alu.zip)\r\n\r\nThen launch `openroad -gui` and load results/asap7/mock-alu/base/6_final.odb and enable the placement heatmap\r\n\r\nNotice that the heatmap extends to the end of the die on the left and bottom, but not at the top and right.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/cf47f317-776a-4b5a-ae24-3ca31b22f92c)\r\n\n\n### Expected Behavior\n\nThe heatmap should extend to the top and the right, like it does to the left and bottom.\n\n### Environment\n\n```shell\nOpenROAD v2.0-9875-g7430f83d9\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "see also #3862"}]} +{"num": 3866, "messages": [{"user": "### Description\r\n\r\nFeature request: even if this example is misconfigured, it would be great if mpl2 could determine that the MACRO_PLACE_HALO, a recommendation, is not obtainable and that it tries with more realistic values.\r\n\r\nThis would make mpl2 more user-friendly, less mysterious knobs to learn and more automatic.\r\n\r\nThis is the desired floorplan:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d7afdd96-4c69-4323-a2d6-ccaf3d40d551)\r\n\r\nMake the changes below to set up mpl2 for unabutted floorplan:\r\n\r\n```\r\n$ git diff\r\ndiff --git a/flow/designs/asap7/mock-array/config.mk b/flow/designs/asap7/mock-array/config.mk\r\nindex a2a30e83..a884177a 100644\r\n--- a/flow/designs/asap7/mock-array/config.mk\r\n+++ b/flow/designs/asap7/mock-array/config.mk\r\n@@ -28,6 +28,8 @@ BLOCKS = Element\r\n \r\n export GDS_ALLOW_EMPTY = Element\r\n \r\n+#export SYNTH_HIERARCHICAL = 1\r\n+#export RTLMP_FLOW = True\r\n export MACRO_PLACEMENT_TCL = ./designs/asap7/mock-array/macro-placement.tcl\r\n \r\n export IO_CONSTRAINTS = designs/asap7/mock-array/io.tcl\r\ndiff --git a/flow/designs/asap7/mock-array/defaults.mk b/flow/designs/asap7/mock-array/defaults.mk\r\nindex bd8eb304..959d0761 100644\r\n--- a/flow/designs/asap7/mock-array/defaults.mk\r\n+++ b/flow/designs/asap7/mock-array/defaults.mk\r\n@@ -3,7 +3,7 @@\r\n # current unit is configured as 2.16 which is on the routing grid for M5\r\n \r\n # table of Elements - (rows cols width height pitch_x pitch_y)\r\n-export MOCK_ARRAY_TABLE ?= 8 8 20 20 20 22\r\n+export MOCK_ARRAY_TABLE ?= 8 8 20 20 22 22\r\n \r\n # Element'd data width\r\n export MOCK_ARRAY_DATAWIDTH ?= 64\r\n```\r\n\r\nThen run:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array/config.mk\r\n```\r\n\r\nResult:\r\n\r\n```\r\n[deleted]\r\n\r\n+---R1 (8) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R2 (9) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B0 (10) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B1 (11) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B2 (12) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\nThe design only has macros.\r\n\r\n\r\n[Hier-RTLMP::HardMacroClusterMacroPlacement] Place macros in cluster: root\r\n[ERROR MPL-0010] Cannot find valid macro placement for hard macro cluster: root\r\nError: macro_place.tcl, 141 MPL-0010\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:07.57[h:]min:sec. CPU time: user 58.93 sys 0.04 (778%). Peak memory: 234884KB.\r\nmake[1]: *** [Makefile:522: do-2_4_floorplan_macro] Error 1\r\nmake[1]: Leaving directory '/home/oyvind/OpenROAD-flow-scripts/flow'\r\nmake: *** [Makefile:522: results/asap7/mock-array/base/2_4_floorplan_macro.odb] Error 2\r\n\r\n```\r\n\r\n\r\n\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Alternative way to reproduce with `make macro_place_issue`:\r\n\r\n[mpl2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12355316/mpl2.zip)\r\n\r\n+---B0 (10) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B1 (11) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B2 (12) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\nThe design only has macros.\r\n\r\n```\r\n./run-me-mock-array-asap7-base.sh\r\n[deleted]\r\n[Hier-RTLMP::HardMacroClusterMacroPlacement] Place macros in cluster: root\r\n[ERROR MPL-0010] Cannot find valid macro placement for hard macro cluster: root\r\nError: macro_place.tcl, 141 MPL-0010\r\nopenroad> \r\n```"}, {"assistant": "You have: export MACRO_PLACE_HALO=\"10 10\"\r\nand the element is: SIZE 43.2 BY 43.2 ;\r\nthe block is 388.8 x 388.8\r\n\r\nThere is no way to make this work. 10 + (43.2 + 10) * 8 = 435.6"}, {"user": "> You have: export MACRO_PLACE_HALO=\"10 10\" and the element is: SIZE 43.2 BY 43.2 ; the block is 388.8 x 388.8\r\n> \r\n> There is no way to make this work. 10 + (43.2 + 10) * 8 = 435.6\r\n\r\nWhat should I set MACRO_PLACE_HALO to in this case?"}, {"assistant": "What do you expect the macro spacing to be in your desired floorplan?"}, {"user": "> What do you expect the macro spacing to be in your desired floorplan?\r\n\r\nI was just going to pick some distance where we expect mpl2 to work at this point."}, {"assistant": "You have to make the floorplan large enough to accommodate what you pick. mpl2 will not change the block size."}, {"assistant": "> You have to make the floorplan large enough to accommodate what you pick. mpl2 will not change the block size.\r\n\r\nWhy not? Seems helpful"}, {"assistant": "> > You have to make the floorplan large enough to accommodate what you pick. mpl2 will not change the block size.\r\n> \r\n> Why not? Seems helpful\r\n\r\nRow are already set, IOs already placed. Nobody would expect it and it overrides a specific user input. Would break top level floorplanning. etc."}, {"user": "changed to a feature request to make mpl2 more user-friendly."}, {"assistant": "What would make it more friendly?"}, {"user": "> What would make it more friendly?\r\n\r\nNo need to tinker with MACRO_PLACE_HALO or understand what it does to get a result unless you want to tweak what you get.\r\n\r\nThere are multiple halo and channel variables if one just wants the macros placed to focus on something else, then it is nice that one gets a result without having to learn about the finer details."}, {"assistant": "How should we know when to obey a user input and when to just ignore it?"}, {"user": "Just document that that is the policy of mpl2. mpl2 has great freedom in how macros are placed, this is a little bit more freedom."}, {"assistant": "It has freedom within the given inputs. It doesn't have freedom to ignore those inputs. This is true of every command. What needs documenting? I'm confused."}, {"user": "I have thought more about what you said concerning using the variables to strictly constrain mpl2(and other parts of the system).\r\n\r\nThat can be user-friendly if mpl2 prints out clean actionable error messages.\r\n\r\nPlacement will tell you what PLACE_DENSITY value to try next. That works very well.\r\n\r\nThe same for mpl2 and halo and floorplan area (and whatever other parameters there are), would address my concerns in this feature request."}, {"assistant": "It could tell you that there is insufficient area.\r\n\r\nHowever it is much harder to know what would be acceptable as a next step as macros are much more granular than standard cells. It is quite possible that you still can't place the macros even with sufficient area due to packing issues. Your macro array is a much simpler case than the general problem."}, {"assistant": "As an example imagine a 1x5 macro and a 5x1 macro. You can't place them in 10 units of area."}, {"user": "If mpl2 could recommend a new halo number, then that would be an improvement. Similarly, it could recommend increasing the area by some percentage. This would guide users and make mpl2 more friendly."}, {"assistant": "I don't see a way to give a good suggestion. It might be possible in your simple case but it isn't in general as I noted above. If you have an algorithm that works in general please share it."}, {"assistant": "I'll add\r\n```\r\n[ERROR MPL-0016] The instance area with halos 181158.73 exceeds the core area 147456.0\r\n```"}, {"user": "> I'll add\r\n> \r\n> ```\r\n> [ERROR MPL-0016] The instance area with halos 181158.73 exceeds the core area 147456.0\r\n> ```\r\n\r\nIt sounds like you have the halo area, so add those numbers to error message?\r\n\r\n(just example numbers)\r\n\r\n```\r\n[ERROR MPL-0016] The instance area (12342.0) with halos(1221.0), 181158.73 exceeds the core area 147456.0\r\n```\r\n"}, {"assistant": "Right before the error we have already printed\r\n```\r\n\tNumber of std cell instances : 130\r\n\tArea of std cell instances : 23.44\r\n\tNumber of macros : 64\r\n\tArea of macros : 119439.39\r\n\tArea of macros with halos : 181135.30\r\n\tTotal area : 119462.84\r\n\tDesign Utilization : 0.81\r\n\tCore Utilization: 0.00\r\n\tManufacturing Grid: 1\r\n```"}, {"user": "I see. I think your error message is a clear improvement.\r\n\r\nLet's try that and mark this one as closed pending some new information on how more accurate and actionable error messages could be articulated. We're not holding our breath for a better algorithm..."}, {"user": "Work pretty well. Thanks!"}]} +{"num": 3867, "messages": [{"user": "To reproduce:\r\n\r\n1. Comment out GLOBAL_ROUTE_ARGS, see below:\r\n2. Run `make DESIGN_CONFIG=designs/sky130hd/microwatt/config.mk results/sky130hd/microwatt/base/5_1_grt.odb`\r\n3. *While* global route is running, start a new shell, run `ls reports/sky130hd/microwatt/base/congestion*.rpt`. When you see .rpt files appearing, run `make DESIGN_CONFIG=designs/sky130hd/microwatt/config.mk gui_cts` and load for instance `reports/sky130hd/microwatt/base/congestion-10.rpt`\r\n4. Look at DRC errors, there's no information about which nets are congested.\r\n\r\n\r\n```\r\n$ git diff\r\ndiff --git a/flow/designs/sky130hd/microwatt/config.mk b/flow/designs/sky130hd/microwatt/config.mk\r\nindex 4b4eb79d..90745a5d 100644\r\n--- a/flow/designs/sky130hd/microwatt/config.mk\r\n+++ b/flow/designs/sky130hd/microwatt/config.mk\r\n@@ -31,4 +31,4 @@ export CTS_CLUSTER_SIZE = 30\r\n \r\n export export SETUP_SLACK_MARGIN = 0.2\r\n \r\n-export GLOBAL_ROUTE_ARGS=-congestion_iterations 100 -verbose\r\n+#export GLOBAL_ROUTE_ARGS=-congestion_iterations 100 -verbose\r\n```\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/discussions/1338#discussioncomment-6688226_\r\n\r\n\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/48772425-7b3c-4da6-b22a-ed922a76342d)\r\n\r\n\r\n\r\nWhat I expected was to see nets in the progress reports, like I get in the final report:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/68c110c7-cf3e-443f-b109-0af706890a3e)\r\n\r\n"}, {"assistant": "Resolved?"}]} +{"num": 3875, "messages": [{"user": "### Description\r\n\r\nWith this example, [mpl2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12376554/mpl2.zip), mpl2 achieves the following layout, which is fine, except the elements are jumbled.\r\n\r\nThe bottom row starts ideally laid out ces_0_0 .. ces_0_7, the second row from the bottom should be ces_1_0 .. ces_1_7, but starts withces_2_0\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/a815819d-9d3d-4e40-8115-324457e96228)\r\n\r\n\r\nTo produce the archive above, I ran `make macro_place_issue` with the following changes:\r\n\r\n```\r\ndiff --git a/flow/designs/asap7/mock-array/config.mk b/flow/designs/asap7/mock-array/config.mk\r\nindex a2a30e83..a33724d9 100644\r\n--- a/flow/designs/asap7/mock-array/config.mk\r\n+++ b/flow/designs/asap7/mock-array/config.mk\r\n@@ -28,7 +28,10 @@ BLOCKS = Element\r\n \r\n export GDS_ALLOW_EMPTY = Element\r\n \r\n-export MACRO_PLACEMENT_TCL = ./designs/asap7/mock-array/macro-placement.tcl\r\n+#export MACRO_PLACEMENT_TCL = ./designs/asap7/mock-array/macro-placement.tcl\r\n+export SYNTH_HIERARCHICAL = 1\r\n+export RTLMP_FLOW = True\r\n+#export MACRO_PLACE_HALO = 0.5 0.5\r\n \r\n export IO_CONSTRAINTS = designs/asap7/mock-array/io.tcl\r\n \r\ndiff --git a/flow/designs/asap7/mock-array/defaults.mk b/flow/designs/asap7/mock-array/defaults.mk\r\nindex bd8eb304..579b3a3d 100644\r\n--- a/flow/designs/asap7/mock-array/defaults.mk\r\n+++ b/flow/designs/asap7/mock-array/defaults.mk\r\n@@ -3,7 +3,7 @@\r\n # current unit is configured as 2.16 which is on the routing grid for M5\r\n \r\n # table of Elements - (rows cols width height pitch_x pitch_y)\r\n-export MOCK_ARRAY_TABLE ?= 8 8 20 20 20 22\r\n+export MOCK_ARRAY_TABLE ?= 8 8 20 20 30 30\r\n \r\n # Element'd data width\r\n export MOCK_ARRAY_DATAWIDTH ?= 64\r\n```\r\n\r\n\r\n\r\n\r\n\r\n\r\n### Suggested Solution\r\n\r\nmpl2 should be able to figure out the best layout for this array.\r\n\r\nI think a powerful hint/heuristic can be taken from the RTL: use ordering from the RTL when there's no strong reason from the layout algorithm to choose an order.\r\n\r\nThis heuristic would be perfect for several designs that I have worked on.\r\n\r\nPerhaps even stronger, add a \"RTLMP_RTL_ORDER=1/0\" variable?\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "There are 64! possible arrangements and only one acceptable answer. We can run more iterations of annealing but I expect it will take a long time to find the answer you expect.\r\n\r\nWhat do you mean by \"RTL ordering\"? Are you referring to name based sorting? "}, {"user": "> There are 64! possible arrangements and only one acceptable answer. We can run more iterations of annealing but I expect it will take a long time to find the answer you expect.\r\n\r\nIndeed. There needa to be a way to eliminate equally good/bad solutions without testing.\r\n> \r\n> What do you mean by \"RTL ordering\"? Are you referring to name based sorting?\r\n\r\nThe Verilog has an ordering. Use it to create a preference when there is nothing else to distinguish."}, {"assistant": "In what way does the verilog have an ordering? "}, {"user": "> In what way does the verilog have an ordering?\r\n\r\nIf you read the RTL top to bottom, you find the theock array compute elements ordered in the right array order."}, {"assistant": "That's not a general property. I think we need a way to pass a hint/constraint to mpl. "}, {"user": "> That's not a general property. I think we need a way to pass a hint/constraint to mpl.\r\n\r\n\r\nYes, some constraints would work.\r\n\r\nI can grep to create a list of macro names and feed that in as a constraing.\r\n\r\nI dont need or want rotation.\r\n\r\n"}, {"assistant": "Rotation is much easier to get right but the current code isn't that smart. I think that can be fixed more easily."}, {"user": "> Rotation is much easier to get right but the current code isn't that smart. I think that can be fixed more easily.\r\n\r\nSounds good. The fewer options the better if it gets the job done...."}, {"assistant": "Partially addressed in #3973 with another PR to follow (in testing)"}, {"assistant": "With these two PRs the design is laid out as expected and routing completes in 3 iterations."}, {"user": "It tried this with a slightly different test-case after this issue was closed and filed an additional feature request #4085"}]} +{"num": 3878, "messages": [{"user": "### Description\n\nhttps://openroad.readthedocs.io/en/latest/user/MessagesFinal.html \r\nIt is great to trace currently the source code of `ERROR/WARN`.\r\n\r\nBased on current flow, it is good to add possible way to fix the ERROR by changing which variables or which stage of the flow need to be checked to resolve that particular issue. It is useful those who have less experience with source code files.\r\n\r\nWhile running riscv32i, by changing some default variables got below error with ORFS flow.\r\n```\r\n[ERROR DPL-0044] Cell dmem.dmem0 with height 42000 is taller than any row.\r\nError: detail_place.tcl, 10 DPL-0044\r\n```\r\nHere what are the variables I need to tweak to resolve the error.\r\n\r\n1. Placement density\r\n2. Cell Padding\r\n3. Re-Floorplan\r\nNothing helps to fix the issue, then user can file a github issue to resolve the issue.\n\n### Suggested Solution\n\nUpdate https://openroad.readthedocs.io/en/latest/user/MessagesFinal.html `Information` with meaningful info.\n\n### Additional Context\n\n_No response_"}, {"assistant": "What setting did you tweak? This is clearly a memory and should be placed by the macro placer or a user and should never be handled by dpl."}, {"assistant": "Maybe we should include a warning or better error message?"}, {"user": "@maliberty \r\nJust commented lines https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/designs/asap7/riscv32i/config.mk#L5-L7 to skip RTLMP and use MPL for macro placement. With MPL it got struck at DPL stage.\r\nThen planning to use manual macro placement for lab exercise."}, {"assistant": "> Maybe we should include a warning or better error message?\r\n\r\nWhat do you have in mind?"}, {"assistant": "Stale"}]} +{"num": 3883, "messages": [{"user": ""}, {"assistant": "Access point problems don't relate to congestion. They are about how to drop a set of vias onto the pins without creating DRCs between them. Without a test case they are hard to debug.\r\n\r\nI suggest you try the developer debug with\r\ndetailed_route_debug -pa -pa_markers -pin input22:Z\r\n\r\nand run the router in the GUI. It should stop on that pin and show you the various things it is trying and any DRCs they generate. That can give a clue as to what is going on.\r\n\r\nWhere are you getting your std cell library from?"}, {"assistant": "It looks like this cell has a pin with shapes large enough to require a double cut via. OR doesn't support that so I suggest you mark this cell as dont_use and avoid it.\r\n\r\nI think you should be careful about what you post here as I assume you have an NDA around this data. I've removed your post above out of caution."}, {"user": "Thankyou so much for your response. Yes it was careless of me, you can remove the post."}]} +{"num": 3884, "messages": [{"user": "### Description\n\nWarn on:\r\n\r\n(set_driving_cell) or (set_max_fanout) or (set_max_slew and set_max_cap) on input_ports\r\nset_load on output_ports\r\n\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I believe you mean that we should warn on the lack of the above"}, {"user": "Ahh, yes, warn if those combinations are missing "}, {"assistant": "@openroadie any eta?"}, {"assistant": "Sorry, I did not look at this till today. Let me see what can be done. "}]} +{"num": 3891, "messages": [{"user": "### Describe the bug\r\n\r\ngf180mcuC flow in OpenLane is quitting during `analyze_power_grid`. This is new behavior.\r\n\r\n### Expected Behavior\r\n\r\nVoltage is not explicitly set. However we didn't run into this issue in sky130 flow.\r\n\r\n### OpenROAD Environment\r\n\r\n```shell\r\nGit commit: 289efb0beb9204d52cc29e9dc900997dbae039c1\r\nkernel: Linux 5.15.0-78-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-9957-g289efb0be\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - CMake Warning at src/CMakeLists.txt:233 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nSuccess\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 7cf916ba205115a06c4531a044ced481f1ff8f12\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.qXpS1cJ3uj\r\n```\r\n\r\n\r\n### OpenLane Environment\r\n\r\n```shell\r\nKernel: Linux v5.15.0-78-generic\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.12 (OK)\r\nContainer Engine: docker v20.10.22 (OK)\r\nOpenLane Git Version: b1fb228e3196c3922b376e91675878523bb5ed58\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\nb1fb228e 2023-08-10T17:44:18+03:00 Revert \"Enhancements to variables related to SDC files (#1931)\" - Donn - (HEAD -> master, tag: 2023.08.11, origin/master, sdc-files)\r\n7a5857a5 2023-08-10T16:47:52+03:00 Enhancements to variables related to SDC files (#1931) - Kareem Farid - ()\r\n3102b948 2023-08-10T16:47:19+03:00 Syntax Validation for IO and Macro Placement (#1938) - Kareem Farid - ()\r\n---\r\nGit Remotes\r\n\r\ndonn\tgit@github.com:donn/openlane.git (fetch)\r\ndonn\tgit@github.com:donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12437682/issue_reproducible.tar.gz)\r\nExtract and run `bash run.sh`\r\n\r\n### Relevant log output\r\n\r\n```shell\r\ndefine_corners Typical\r\nread_liberty -corner Typical pdk/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_5v00.lib\r\nUsing 1e-12 for capacitance...\r\nUsing 1e+00 for resistance...\r\nUsing 1e-09 for time...\r\nUsing 1e+00 for voltage...\r\nUsing 1e-03 for current...\r\nUsing 1e-06 for power...\r\nUsing 1e-06 for distance...\r\nReading design constraints file at './tmp/17-spm.sdc'\u2026\r\n[INFO]: Setting RC values...\r\n[ERROR PSM-0093] Voltage on net VDD is not set.\r\nError: irdrop.tcl, 35 PSM-0093\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Additionally, I should note that this is a regression- for whatever reason, in 0cfb9a45bfb256c9af1a0500d4c97da0f145f54f, this works fine.\r\n\r\nNow, we always favor the side of being more explicit, but it'd be nice to know what's absent in gf180mcu that isn't in sky130."}, {"assistant": "@kareefardi \r\nHow to reproduce this?"}, {"user": "@vijayank88 I forgot to upload. Thanks for the headsup. I will edit the main comment."}, {"assistant": "@kareefardi \r\nseems you missed to define `set_pdnsim_net_voltage` before `analyze_power_grid`.\r\nCheck ORFS script [here](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/final_report.tcl#L41C1-L41C1)."}, {"user": "@vijayank88 I see. But as @donn was saying, Is there a reason why it works with sky130 even without `set_pdnsim_net_voltage`. See reproducible: [issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12438483/issue_reproducible.tar.gz)\r\n"}, {"assistant": "@gadfort \r\nCan you have a look into this?"}, {"assistant": "In sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib:\r\n```\r\n default_operating_conditions : \"tt_025C_1v80\";\r\n operating_conditions (\"tt_025C_1v80\") {\r\n voltage : 1.8000000000;\r\n process : 1.0000000000;\r\n temperature : 25.000000000;\r\n tree_type : \"balanced_tree\";\r\n }\r\n```\r\nso we can take the voltage from there. In gf180 there is no default_operating_conditions so we can't."}, {"user": "Thanks."}]} +{"num": 3892, "messages": [{"user": "### Description\n\nWhen evaluating the global routing results for antenna violations, we translate the global route format (i.e., global segments) to actual wires, having to create local connections with the pins.\r\n\r\nThis translation sometimes has issues, leading to crashes, specifically on the `odb::orderWires` function.\n\n### Suggested Solution\n\nThe antenna checker tool should be able to understand the global route format, removing the necessity of translating the global route to wires. This would remove a large piece of code that performs the translation, remove the calls for `odb::orderWires`, and basically would simplify the process of checking antenna violations on the global route results.\n\n### Additional Context\n\nI'm not 100% sure if supporting the global route format in the antenna checker is possible. Any input on potential problems this could lead will be appreciated."}, {"assistant": "I think this is possible. Antenna checking just needs a topology and metal area attached. "}, {"assistant": "@eder-matheus are you planning to look at the antenna code change?"}, {"assistant": "@maliberty : We discussed it in the weekly meeting and I was going to take a look since @eder-matheus has some other higher priority items on his list. "}]} +{"num": 3906, "messages": [{"user": "### Description\r\n\r\nThe following piece of code produces a segmentation fault in one of the test cases:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/6dba515c2aacd3fca58ef8135424884146efd95b/src/drt/src/io/io_parser_helper.cpp#L83-L88\r\nThis happens because the cut layer doesn't any vias defined. To notify the user properly we need to log an error whenever this happens\r\n\r\n### Suggested Solution\r\n\r\nLog error if a cut layer doesn't have any defined via.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@refaay Could you please take care of this?"}, {"assistant": "PR #3917 merged"}]} +{"num": 3907, "messages": [{"user": "### Describe the bug\n\nWhen running `repair_timing` with the new gate cloning logic floating nets appear in the design indicating that there are errors occurring.\r\n\r\n```\r\n[WARNING RSZ-0020] found 79 floating nets.\r\n```\n\n### Expected Behavior\n\nNo changes to the functionality of the design and no floating nets that weren't there before.\n\n### Environment\n\n```shell\nOpenROAD v2.0-10001-g53a32a198\n```\n\n\n### To Reproduce\n\n[sc_issue_aes_job0_freepdk45_demo_orfs_cts0_20230825-230053.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12446011/sc_issue_aes_job0_freepdk45_demo_orfs_cts0_20230825-230053.tar.gz)\r\n\r\n```\r\ntar xvf sc_issue_aes_job0_freepdk45_demo_orfs_cts0_20230825-230053.tar.gz\r\ncd sc_issue_aes_job0_freepdk45_demo_orfs_cts0_20230825-230053\r\n./run.sh\r\n```\r\n\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-10001-g53a32a198 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO FLW-0001] Defining timing corners: typical\r\nReading liberty file for typical: /home/pgadfort/siliconcompiler/third_party/pdks/virtual/freepdk45/libs/nangate45/r1p0/lib/NangateOpenCellLibrary_typical.lib\r\nReading ODB: inputs/aes_cipher_top.odb\r\nReading SDC: inputs/aes_cipher_top.sdc\r\n[INFO FLW-0001] Using metal5 for clock parasitics estimation\r\n[INFO FLW-0001] Using metal3 for signal parasitics estimation\r\n[INFO ORD-0030] Using 16 thread(s).\r\n[INFO FLW-0001] Setting global routing adjustment for metal1 to 100.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal2 to 50.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal3 to 50.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal4 to 25.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal5 to 25.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal6 to 25.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal7 to 25.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal8 to 25.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal9 to 25.0%\r\n[INFO FLW-0001] Setting global routing adjustment for metal10 to 25.0%\r\n[INFO FLW-0001] Setting global routing signal routing layers to: metal2-metal10\r\n[INFO FLW-0001] Setting global routing clock routing layers to: metal2-metal10\r\n#######################################\r\n# Clock tree synthesis\r\n# (skip if no clocks defined)\r\n#######################################\r\nif {[llength [all_clocks]] > 0} {\r\n # Clone clock tree inverters next to register loads\r\n # so cts does not try to buffer the inverted clocks.\r\n repair_clock_inverters\r\n set sc_cts_arguments []\r\n if {$openroad_cts_balance_levels == \"true\"} {\r\n lappend sc_cts_arguments \"-balance_levels\"\r\n }\r\n clock_tree_synthesis -root_buf $sc_clkbuf -buf_list $sc_clkbuf \\\r\n -sink_clustering_enable \\\r\n -sink_clustering_size $openroad_cts_cluster_size \\\r\n -sink_clustering_max_diameter $openroad_cts_cluster_diameter \\\r\n -distance_between_buffers $openroad_cts_distance_between_buffers \\\r\n {*}$sc_cts_arguments\r\n set_propagated_clock [all_clocks]\r\n estimate_parasitics -placement\r\n repair_clock_nets\r\n sc_detailed_placement\r\n estimate_parasitics -placement\r\n set repair_timing_args []\r\n if { $openroad_rsz_skip_pin_swap == \"true\" } {\r\n lappend repair_timing_args \"-skip_pin_swap\"\r\n }\r\n repair_timing -setup -verbose \\\r\n -setup_margin $openroad_rsz_setup_slack_margin \\\r\n -hold_margin $openroad_rsz_hold_slack_margin \\\r\n -repair_tns $openroad_rsz_repair_tns\r\n estimate_parasitics -placement\r\n repair_timing -hold -verbose \\\r\n -setup_margin $openroad_rsz_setup_slack_margin \\\r\n -hold_margin $openroad_rsz_hold_slack_margin \\\r\n -repair_tns $openroad_rsz_repair_tns\r\n sc_detailed_placement\r\n}\r\n[INFO CTS-0049] Characterization buffer is: BUF_X4.\r\n[INFO CTS-0039] Number of created patterns = 12240.\r\n[INFO CTS-0084] Compiling LUT.\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 35 1 12 \r\n[WARNING CTS-0043] 1632 wires are pure wire and no slew degradation.\r\nTritonCTS forced slew degradation on these wires.\r\n[INFO CTS-0046] Number of wire segments: 12240.\r\n[INFO CTS-0047] Number of keys in characterization LUT: 1660.\r\n[INFO CTS-0048] Actual min input cap: 1.\r\n[INFO CTS-0007] Net \"clk\" found for clock \"clk\".\r\n[INFO CTS-0010] Clock net \"clk\" has 562 sinks.\r\n[INFO CTS-0008] TritonCTS found 1 clock nets.\r\n[INFO CTS-0097] Characterization used 1 buffer(s) types.\r\n[INFO CTS-0027] Generating H-Tree topology for net clk.\r\n[INFO CTS-0028] Total number of sinks: 562.\r\n[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 14000 dbu (7 um).\r\n[INFO CTS-0021] Distance between buffers: 7 units (100 um).\r\n[INFO CTS-0019] Total number of sinks after clustering: 22.\r\n[INFO CTS-0024] Normalized sink region: [(17.731, 9.16556), (50.01, 51.1113)].\r\n[INFO CTS-0025] Width: 32.2790.\r\n[INFO CTS-0026] Height: 41.9458.\r\n Level 1\r\n Direction: Vertical\r\n Sinks per sub-region: 11\r\n Sub-region size: 32.2790 X 20.9729\r\n[INFO CTS-0034] Segment length (rounded): 10.\r\n Key: 348 inSlew: 1 inCap: 2 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 3 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 2 delay: 1\r\n Out of 22 sinks, 1 sinks closer to other cluster.\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 22.\r\n[INFO CTS-0018] Created 25 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 3.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 3.\r\n[INFO CTS-0015] Created 25 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 2:2, 9:1, 13:1, 14:1, 18:1, 23:1, 26:1, 27:1, 30:15..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0098] Clock net \"clk\"\r\n[INFO CTS-0099] Sinks 562\r\n[INFO CTS-0100] Leaf buffers 22\r\n[INFO CTS-0101] Average sink wire length 456.96 um\r\n[INFO CTS-0102] Path depth 3 - 3\r\n[INFO RSZ-0058] Using max wire length 661um.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 80.6 u\r\naverage displacement 0.0 u\r\nmax displacement 4.1 u\r\noriginal HPWL 192752.0 u\r\nlegalized HPWL 194997.0 u\r\ndelta HPWL 1 %\r\n\r\n[INFO RSZ-0094] Found 239 endpoints with setup violations.\r\nIteration | Resized | Buffers | Cloned Gates | Pin Swaps | WNS | TNS | Endpoint\r\n---------------------------------------------------------------------------------------\r\n 0 | 0 | 0 | 0 | 0 | -0.620 | -48.465 | _29115_/D\r\n 10 | 0 | 14 | 5 | 2 | -0.561 | -48.561 | _29113_/D\r\n 20 | 1 | 22 | 8 | 5 | -0.536 | -48.037 | _29113_/D\r\n 30 | 2 | 40 | 10 | 8 | -0.515 | -47.528 | _29117_/D\r\n 40 | 3 | 55 | 14 | 10 | -0.505 | -46.905 | _29126_/D\r\n 50 | 8 | 58 | 17 | 11 | -0.515 | -45.685 | _28970_/D\r\n 60 | 15 | 58 | 19 | 12 | -0.507 | -48.012 | _28969_/D\r\n 70 | 19 | 67 | 21 | 14 | -0.509 | -49.683 | _29119_/D\r\n 80 | 21 | 75 | 25 | 15 | -0.536 | -51.249 | _29119_/D\r\n 90 | 25 | 83 | 29 | 15 | -0.543 | -51.993 | _29119_/D\r\n 94 | 9 | 65 | 15 | 15 | -0.435 | -28.007 | _29153_/D\r\n 100 | 11 | 72 | 15 | 15 | -0.466 | -41.219 | _28970_/D\r\n 110 | 15 | 76 | 19 | 15 | -0.468 | -41.247 | _28970_/D\r\n 120 | 22 | 78 | 21 | 15 | -0.468 | -41.263 | _28970_/D\r\n 129 | 27 | 81 | 23 | 16 | -0.435 | -28.162 | _29153_/D\r\n 130 | 27 | 81 | 23 | 16 | -0.435 | -28.162 | _29153_/D\r\n 130 | 27 | 81 | 23 | 16 | -0.435 | -28.162 | _29153_/D\r\n 131 | 27 | 81 | 23 | 16 | -0.435 | -28.162 | _29153_/D\r\n 135 | 27 | 89 | 24 | 16 | -0.435 | -27.896 | _29153_/D\r\n 136 | 27 | 89 | 24 | 16 | -0.435 | -27.896 | _29153_/D\r\n 140 | 28 | 93 | 24 | 16 | -0.435 | -27.871 | _29153_/D\r\n 141 | 29 | 93 | 24 | 16 | -0.435 | -27.872 | _29153_/D\r\n 143 | 30 | 93 | 24 | 16 | -0.435 | -27.867 | _29153_/D\r\n 144 | 30 | 93 | 24 | 16 | -0.435 | -27.867 | _29153_/D\r\n 145 | 30 | 93 | 24 | 16 | -0.435 | -27.867 | _29153_/D\r\n 150 | 30 | 99 | 25 | 17 | -0.435 | -27.740 | _29153_/D\r\n 160 | 37 | 103 | 25 | 18 | -0.435 | -27.710 | _29153_/D\r\n 170 | 41 | 114 | 27 | 19 | -0.435 | -27.676 | _29153_/D\r\n 180 | 48 | 118 | 28 | 19 | -0.435 | -27.749 | _29153_/D\r\n 181 | 40 | 114 | 25 | 19 | -0.435 | -27.611 | _29153_/D\r\n 190 | 45 | 117 | 25 | 21 | -0.435 | -27.626 | _29153_/D\r\n 192 | 47 | 117 | 25 | 21 | -0.435 | -27.626 | _29153_/D\r\n 193 | 47 | 117 | 25 | 21 | -0.435 | -27.626 | _29153_/D\r\n 194 | 47 | 117 | 25 | 21 | -0.435 | -27.626 | _29153_/D\r\n 196 | 47 | 119 | 25 | 21 | -0.435 | -27.612 | _29153_/D\r\n 200 | 47 | 128 | 25 | 22 | -0.435 | -27.479 | _29153_/D\r\n 210 | 48 | 143 | 26 | 23 | -0.435 | -27.367 | _29153_/D\r\n 220 | 52 | 159 | 28 | 23 | -0.435 | -27.116 | _29153_/D\r\n 222 | 52 | 161 | 28 | 24 | -0.435 | -27.083 | _29153_/D\r\n 223 | 52 | 161 | 28 | 24 | -0.435 | -27.083 | _29153_/D\r\n 225 | 52 | 166 | 28 | 24 | -0.435 | -27.076 | _29153_/D\r\n 226 | 52 | 166 | 28 | 24 | -0.435 | -27.076 | _29153_/D\r\n 230 | 54 | 169 | 28 | 24 | -0.435 | -26.969 | _29153_/D\r\n 230 | 54 | 169 | 28 | 24 | -0.435 | -26.969 | _29153_/D\r\n 231 | 54 | 169 | 28 | 24 | -0.435 | -26.969 | _29153_/D\r\n 232 | 54 | 169 | 28 | 24 | -0.435 | -26.969 | _29153_/D\r\n 240 | 58 | 177 | 28 | 25 | -0.435 | -27.070 | _29153_/D\r\n 240 | 57 | 176 | 28 | 25 | -0.435 | -26.951 | _29153_/D\r\n 241 | 57 | 176 | 28 | 25 | -0.435 | -26.977 | _29153_/D\r\n 242 | 57 | 176 | 28 | 25 | -0.435 | -26.977 | _29153_/D\r\n 243 | 57 | 176 | 28 | 25 | -0.435 | -26.977 | _29153_/D\r\n 250 | 62 | 178 | 28 | 25 | -0.435 | -27.065 | _29153_/D\r\n 251 | 60 | 177 | 28 | 25 | -0.435 | -26.948 | _29153_/D\r\n 252 | 60 | 177 | 28 | 25 | -0.435 | -26.973 | _29153_/D\r\n 253 | 60 | 177 | 28 | 25 | -0.435 | -26.973 | _29153_/D\r\n 254 | 60 | 177 | 28 | 25 | -0.435 | -26.973 | _29153_/D\r\n 256 | 60 | 180 | 28 | 25 | -0.435 | -26.961 | _29153_/D\r\n 257 | 60 | 180 | 28 | 25 | -0.435 | -26.961 | _29153_/D\r\n 260 | 60 | 186 | 28 | 26 | -0.342 | -26.340 | _29153_/D\r\n 270 | 60 | 213 | 30 | 27 | -0.315 | -24.739 | _29235_/D\r\n 280 | 66 | 219 | 30 | 28 | -0.315 | -24.569 | _29235_/D\r\n 290 | 69 | 229 | 30 | 31 | -0.315 | -24.924 | _29235_/D\r\n 300 | 78 | 231 | 30 | 31 | -0.315 | -24.975 | _29235_/D\r\n 304 | 72 | 223 | 30 | 32 | -0.314 | -24.418 | _29235_/D\r\n 310 | 77 | 223 | 30 | 32 | -0.315 | -24.571 | _29235_/D\r\n 320 | 81 | 230 | 32 | 33 | -0.315 | -24.523 | _29235_/D\r\n 330 | 87 | 238 | 32 | 33 | -0.315 | -24.850 | _29235_/D\r\n 335 | 83 | 230 | 30 | 33 | -0.314 | -24.348 | _29235_/D\r\n 340 | 86 | 232 | 30 | 33 | -0.315 | -24.544 | _29235_/D\r\n 345 | 84 | 233 | 30 | 33 | -0.314 | -24.373 | _29235_/D\r\n 350 | 86 | 245 | 30 | 33 | -0.315 | -24.516 | _29235_/D\r\n 360 | 94 | 250 | 30 | 33 | -0.315 | -24.424 | _29235_/D\r\n 363 | 87 | 243 | 30 | 34 | -0.314 | -24.358 | _29235_/D\r\n 370 | 92 | 243 | 30 | 35 | -0.315 | -24.493 | _29235_/D\r\n 379 | 91 | 244 | 30 | 35 | -0.314 | -24.384 | _29235_/D\r\n 380 | 91 | 244 | 30 | 35 | -0.315 | -24.542 | _29235_/D\r\n 390 | 98 | 251 | 30 | 36 | -0.315 | -24.495 | _29235_/D\r\n 392 | 96 | 251 | 30 | 38 | -0.315 | -24.489 | _29235_/D\r\n 400 | 98 | 274 | 31 | 38 | -0.303 | -22.678 | _29208_/D\r\n 410 | 101 | 293 | 33 | 38 | -0.303 | -22.300 | _29208_/D\r\n 420 | 105 | 305 | 34 | 38 | -0.303 | -22.233 | _29208_/D\r\n 430 | 111 | 313 | 35 | 38 | -0.303 | -22.125 | _29208_/D\r\n 440 | 116 | 315 | 37 | 40 | -0.303 | -22.226 | _29208_/D\r\n 450 | 121 | 317 | 37 | 44 | -0.303 | -22.224 | _29208_/D\r\n 450 | 115 | 315 | 36 | 44 | -0.303 | -22.050 | _29208_/D\r\n 458 | 118 | 316 | 36 | 45 | -0.303 | -22.086 | _29208_/D\r\n 460 | 118 | 324 | 36 | 45 | -0.279 | -21.845 | _29131_/D\r\n 470 | 122 | 350 | 36 | 45 | -0.279 | -21.411 | _29131_/D\r\n 480 | 126 | 373 | 36 | 45 | -0.279 | -21.944 | _29131_/D\r\n 490 | 134 | 377 | 36 | 45 | -0.279 | -21.550 | _29131_/D\r\n 500 | 141 | 377 | 37 | 47 | -0.279 | -20.825 | _29131_/D\r\n 501 | 137 | 377 | 37 | 48 | -0.279 | -20.774 | _29131_/D\r\n 510 | 142 | 381 | 38 | 48 | -0.279 | -20.907 | _29131_/D\r\n 512 | 141 | 379 | 38 | 48 | -0.279 | -20.708 | _29131_/D\r\n 520 | 144 | 389 | 39 | 48 | -0.279 | -20.149 | _29131_/D\r\n 530 | 148 | 399 | 41 | 49 | -0.279 | -19.960 | _29131_/D\r\n 540 | 153 | 404 | 45 | 49 | -0.279 | -19.724 | _29131_/D\r\n 550 | 157 | 409 | 49 | 50 | -0.279 | -19.598 | _29131_/D\r\n 560 | 160 | 413 | 54 | 50 | -0.279 | -20.042 | _29131_/D\r\n 570 | 166 | 421 | 55 | 50 | -0.279 | -20.271 | _29131_/D\r\n 580 | 170 | 425 | 59 | 50 | -0.279 | -20.131 | _29131_/D\r\n 585 | 171 | 416 | 48 | 50 | -0.279 | -19.334 | _29131_/D\r\n 590 | 171 | 426 | 49 | 51 | -0.258 | -19.127 | _29168_/D\r\n 600 | 173 | 455 | 49 | 51 | -0.258 | -18.641 | _29168_/D\r\n 610 | 176 | 461 | 51 | 53 | -0.258 | -18.965 | _29168_/D\r\n 620 | 182 | 467 | 52 | 53 | -0.258 | -19.150 | _29168_/D\r\n 627 | 181 | 463 | 49 | 55 | -0.258 | -18.800 | _29168_/D\r\n 630 | 182 | 467 | 49 | 55 | -0.258 | -18.807 | _29168_/D\r\n 640 | 191 | 469 | 49 | 55 | -0.258 | -18.743 | _29168_/D\r\n 642 | 190 | 469 | 49 | 55 | -0.258 | -18.728 | _29168_/D\r\n 650 | 195 | 473 | 49 | 55 | -0.258 | -18.920 | _29168_/D\r\n 655 | 193 | 472 | 49 | 56 | -0.258 | -18.730 | _29168_/D\r\n 660 | 196 | 478 | 49 | 56 | -0.258 | -18.742 | _29168_/D\r\n 670 | 202 | 489 | 49 | 57 | -0.258 | -18.724 | _29168_/D\r\n 673 | 202 | 489 | 49 | 57 | -0.258 | -18.663 | _29168_/D\r\n 680 | 206 | 493 | 49 | 57 | -0.258 | -18.882 | _29168_/D\r\n 682 | 205 | 491 | 49 | 58 | -0.258 | -18.683 | _29168_/D\r\n 690 | 209 | 499 | 50 | 58 | -0.258 | -18.643 | _29168_/D\r\n 700 | 216 | 505 | 50 | 58 | -0.258 | -19.028 | _29168_/D\r\n 700 | 214 | 502 | 50 | 58 | -0.258 | -18.586 | _29168_/D\r\n 710 | 215 | 528 | 51 | 59 | -0.258 | -17.531 | _29168_/D\r\n 720 | 216 | 547 | 51 | 59 | -0.258 | -17.706 | _29168_/D\r\n 730 | 216 | 567 | 51 | 59 | -0.258 | -17.706 | _29168_/D\r\n 740 | 216 | 587 | 51 | 59 | -0.258 | -17.869 | _29168_/D\r\n 750 | 220 | 591 | 52 | 62 | -0.258 | -17.687 | _29168_/D\r\n 759 | 225 | 591 | 53 | 63 | -0.258 | -17.643 | _29168_/D\r\n 760 | 225 | 591 | 53 | 63 | -0.258 | -17.648 | _29168_/D\r\n 770 | 235 | 591 | 53 | 63 | -0.258 | -17.645 | _29168_/D\r\n 778 | 240 | 591 | 53 | 63 | -0.258 | -17.618 | _29168_/D\r\n 780 | 240 | 598 | 53 | 63 | -0.243 | -17.195 | _29214_/D\r\n 790 | 245 | 611 | 54 | 64 | -0.243 | -16.471 | _29214_/D\r\n 800 | 253 | 613 | 55 | 64 | -0.243 | -16.481 | _29214_/D\r\n 810 | 257 | 615 | 57 | 67 | -0.243 | -16.507 | _29214_/D\r\n 811 | 247 | 613 | 53 | 67 | -0.243 | -16.277 | _29214_/D\r\n 820 | 253 | 614 | 54 | 67 | -0.243 | -16.396 | _29214_/D\r\n 825 | 253 | 616 | 53 | 68 | -0.243 | -16.352 | _29214_/D\r\n 828 | 253 | 616 | 53 | 68 | -0.243 | -16.345 | _29214_/D\r\n 830 | 254 | 616 | 53 | 68 | -0.243 | -16.346 | _29214_/D\r\n 834 | 256 | 616 | 53 | 69 | -0.243 | -16.345 | _29214_/D\r\n 840 | 257 | 623 | 55 | 69 | -0.243 | -16.436 | _29214_/D\r\n 849 | 259 | 643 | 56 | 70 | -0.243 | -16.237 | _29214_/D\r\n 850 | 259 | 643 | 56 | 70 | -0.243 | -16.237 | _29214_/D\r\n 860 | 262 | 667 | 57 | 70 | -0.237 | -15.181 | _29263_/D\r\n 870 | 266 | 678 | 58 | 70 | -0.237 | -15.111 | _29263_/D\r\n 880 | 272 | 682 | 58 | 72 | -0.237 | -15.286 | _29263_/D\r\n 890 | 277 | 686 | 58 | 75 | -0.237 | -15.377 | _29263_/D\r\n 895 | 272 | 680 | 58 | 75 | -0.237 | -14.871 | _29263_/D\r\n 900 | 275 | 682 | 58 | 75 | -0.237 | -14.710 | _29263_/D\r\n 910 | 283 | 686 | 58 | 75 | -0.237 | -14.736 | _29263_/D\r\n 915 | 283 | 686 | 58 | 75 | -0.237 | -14.537 | _29263_/D\r\n 920 | 284 | 696 | 58 | 76 | -0.237 | -14.846 | _29263_/D\r\n 930 | 291 | 698 | 59 | 77 | -0.237 | -14.863 | _29263_/D\r\n 936 | 287 | 697 | 59 | 80 | -0.237 | -14.836 | _29263_/D\r\n 940 | 288 | 710 | 59 | 80 | -0.237 | -14.773 | _29263_/D\r\n 946 | 292 | 712 | 59 | 80 | -0.237 | -14.948 | _29263_/D\r\n 950 | 294 | 712 | 59 | 81 | -0.237 | -14.901 | _29263_/D\r\n 955 | 299 | 712 | 59 | 81 | -0.237 | -14.875 | _29263_/D\r\n 960 | 299 | 729 | 59 | 82 | -0.203 | -14.184 | _29141_/D\r\n 970 | 302 | 746 | 60 | 83 | -0.203 | -13.942 | _29141_/D\r\n 980 | 308 | 761 | 60 | 83 | -0.203 | -13.831 | _29141_/D\r\n 990 | 314 | 765 | 62 | 83 | -0.203 | -13.761 | _29141_/D\r\n 1000 | 320 | 775 | 62 | 84 | -0.203 | -14.076 | _29141_/D\r\n 1010 | 326 | 777 | 63 | 86 | -0.203 | -14.148 | _29141_/D\r\n 1020 | 333 | 782 | 64 | 86 | -0.203 | -14.169 | _29141_/D\r\n 1025 | 324 | 772 | 62 | 87 | -0.203 | -13.726 | _29141_/D\r\n 1026 | 324 | 772 | 62 | 87 | -0.203 | -13.789 | _29141_/D\r\n 1027 | 324 | 772 | 62 | 87 | -0.203 | -13.789 | _29141_/D\r\n 1028 | 324 | 772 | 62 | 87 | -0.203 | -13.789 | _29141_/D\r\n 1030 | 325 | 772 | 62 | 87 | -0.203 | -13.787 | _29141_/D\r\n 1038 | 331 | 779 | 62 | 87 | -0.203 | -13.625 | _29141_/D\r\n 1040 | 331 | 781 | 62 | 87 | -0.203 | -13.625 | _29141_/D\r\n 1050 | 335 | 794 | 63 | 87 | -0.203 | -13.674 | _29141_/D\r\n 1058 | 336 | 793 | 62 | 87 | -0.203 | -13.525 | _29141_/D\r\n 1060 | 336 | 794 | 62 | 87 | -0.203 | -13.515 | _29141_/D\r\n 1070 | 343 | 796 | 64 | 87 | -0.203 | -13.505 | _29141_/D\r\n 1071 | 343 | 796 | 64 | 87 | -0.203 | -13.504 | _29141_/D\r\n 1079 | 348 | 797 | 64 | 87 | -0.203 | -13.438 | _29141_/D\r\n 1080 | 348 | 797 | 64 | 87 | -0.203 | -13.463 | _29141_/D\r\n 1080 | 348 | 797 | 64 | 87 | -0.203 | -13.463 | _29141_/D\r\n 1090 | 354 | 802 | 64 | 87 | -0.203 | -13.773 | _29141_/D\r\n 1100 | 359 | 808 | 65 | 88 | -0.203 | -13.938 | _29141_/D\r\n 1109 | 360 | 805 | 64 | 88 | -0.203 | -13.383 | _29141_/D\r\n 1110 | 360 | 805 | 64 | 88 | -0.203 | -13.411 | _29141_/D\r\n 1120 | 366 | 812 | 64 | 89 | -0.203 | -13.477 | _29141_/D\r\n 1128 | 373 | 812 | 64 | 89 | -0.203 | -13.476 | _29141_/D\r\n 1130 | 373 | 812 | 65 | 89 | -0.203 | -13.451 | _29141_/D\r\n 1140 | 378 | 826 | 65 | 90 | -0.203 | -13.434 | _29141_/D\r\n 1150 | 382 | 832 | 68 | 90 | -0.203 | -13.396 | _29141_/D\r\n 1160 | 384 | 841 | 70 | 92 | -0.203 | -13.436 | _29141_/D\r\n 1163 | 384 | 840 | 69 | 93 | -0.203 | -13.360 | _29141_/D\r\n 1170 | 388 | 845 | 69 | 93 | -0.203 | -13.317 | _29141_/D\r\n 1175 | 388 | 845 | 69 | 94 | -0.203 | -13.306 | _29141_/D\r\n 1180 | 389 | 848 | 70 | 95 | -0.203 | -13.217 | _29141_/D\r\n 1190 | 398 | 853 | 70 | 95 | -0.203 | -13.195 | _29141_/D\r\n 1199 | 406 | 853 | 70 | 96 | -0.203 | -13.185 | _29141_/D\r\n 1200 | 406 | 853 | 70 | 96 | -0.203 | -13.185 | _29141_/D\r\n 1210 | 410 | 872 | 71 | 97 | -0.203 | -12.789 | _29141_/D\r\n 1220 | 412 | 886 | 72 | 100 | -0.203 | -12.539 | _29141_/D\r\n 1230 | 417 | 890 | 74 | 101 | -0.203 | -12.487 | _29141_/D\r\n 1240 | 424 | 900 | 74 | 101 | -0.203 | -12.398 | _29141_/D\r\n 1250 | 431 | 911 | 75 | 101 | -0.203 | -12.317 | _29141_/D\r\n 1259 | 434 | 914 | 76 | 101 | -0.203 | -12.234 | _29141_/D\r\n 1260 | 434 | 914 | 76 | 101 | -0.203 | -12.308 | _29141_/D\r\n 1270 | 442 | 916 | 77 | 101 | -0.203 | -12.303 | _29141_/D\r\n 1280 | 451 | 918 | 77 | 101 | -0.203 | -12.299 | _29141_/D\r\n 1285 | 449 | 918 | 77 | 102 | -0.203 | -12.265 | _29141_/D\r\n 1290 | 452 | 920 | 77 | 102 | -0.203 | -12.483 | _29141_/D\r\n 1300 | 460 | 924 | 77 | 102 | -0.203 | -12.774 | _29141_/D\r\n 1310 | 468 | 928 | 77 | 102 | -0.203 | -12.758 | _29141_/D\r\n 1311 | 461 | 923 | 77 | 102 | -0.203 | -12.255 | _29141_/D\r\n 1320 | 466 | 932 | 78 | 102 | -0.203 | -12.186 | _29141_/D\r\n 1330 | 470 | 941 | 79 | 103 | -0.203 | -12.438 | _29141_/D\r\n 1340 | 478 | 945 | 79 | 103 | -0.203 | -12.454 | _29141_/D\r\n 1343 | 476 | 943 | 79 | 103 | -0.203 | -12.335 | _29141_/D\r\n 1349 | 481 | 943 | 79 | 103 | -0.203 | -12.414 | _29141_/D\r\n 1350 | 481 | 943 | 79 | 103 | -0.203 | -12.414 | _29141_/D\r\n 1360 | 489 | 948 | 79 | 103 | -0.203 | -12.624 | _29141_/D\r\n 1365 | 486 | 947 | 79 | 105 | -0.203 | -12.429 | _29141_/D\r\n 1370 | 487 | 953 | 80 | 105 | -0.203 | -12.609 | _29141_/D\r\n 1380 | 493 | 961 | 80 | 105 | -0.203 | -13.169 | _29141_/D\r\n 1390 | 502 | 963 | 80 | 105 | -0.203 | -13.083 | _29141_/D\r\n 1393 | 499 | 957 | 80 | 105 | -0.203 | -12.367 | _29141_/D\r\n 1400 | 499 | 963 | 83 | 106 | -0.203 | -11.830 | _29141_/D\r\n 1410 | 501 | 976 | 86 | 107 | -0.203 | -11.844 | _29141_/D\r\n 1420 | 506 | 986 | 87 | 108 | -0.203 | -11.805 | _29141_/D\r\n 1430 | 510 | 994 | 87 | 110 | -0.203 | -12.308 | _29141_/D\r\n 1440 | 513 | 1014 | 87 | 110 | -0.217 | -15.681 | _29141_/D\r\n 1450 | 523 | 1014 | 87 | 110 | -0.217 | -15.595 | _29141_/D\r\n 1452 | 516 | 996 | 87 | 110 | -0.203 | -11.590 | _29141_/D\r\n 1460 | 521 | 1005 | 87 | 110 | -0.204 | -14.426 | _29141_/D\r\n 1469 | 523 | 1006 | 87 | 110 | -0.204 | -14.412 | _29141_/D\r\n 1470 | 523 | 1006 | 87 | 110 | -0.204 | -14.426 | _29141_/D\r\n 1480 | 531 | 1013 | 87 | 110 | -0.204 | -14.321 | _29141_/D\r\n 1483 | 534 | 1013 | 87 | 110 | -0.204 | -14.313 | _29141_/D\r\n 1490 | 536 | 1022 | 87 | 111 | -0.204 | -14.180 | _29141_/D\r\n 1500 | 543 | 1028 | 87 | 112 | -0.204 | -14.184 | _29141_/D\r\n 1500 | 538 | 1024 | 87 | 112 | -0.203 | -11.566 | _29141_/D\r\n 1510 | 545 | 1026 | 88 | 112 | -0.203 | -14.787 | _29141_/D\r\n 1520 | 554 | 1028 | 88 | 112 | -0.203 | -14.591 | _29141_/D\r\n 1530 | 561 | 1028 | 90 | 113 | -0.203 | -14.565 | _29141_/D\r\n 1538 | 565 | 1028 | 92 | 115 | -0.203 | -13.241 | _29141_/D\r\n 1540 | 565 | 1030 | 92 | 115 | -0.203 | -13.410 | _29141_/D\r\n 1545 | 566 | 1029 | 92 | 115 | -0.203 | -13.217 | _29141_/D\r\n 1550 | 570 | 1029 | 92 | 115 | -0.203 | -11.661 | _29141_/D\r\n 1550 | 570 | 1029 | 92 | 115 | -0.203 | -11.661 | _29141_/D\r\n 1551 | 570 | 1029 | 92 | 115 | -0.203 | -11.661 | _29141_/D\r\n 1558 | 573 | 1031 | 92 | 115 | -0.203 | -11.609 | _29141_/D\r\n 1560 | 573 | 1033 | 92 | 115 | -0.203 | -11.658 | _29141_/D\r\n 1570 | 580 | 1043 | 92 | 115 | -0.203 | -11.575 | _29141_/D\r\n 1572 | 582 | 1043 | 92 | 115 | -0.203 | -11.573 | _29141_/D\r\n 1580 | 584 | 1063 | 92 | 115 | -0.203 | -11.126 | _29141_/D\r\n 1590 | 588 | 1065 | 96 | 116 | -0.203 | -10.967 | _29141_/D\r\n 1600 | 593 | 1070 | 98 | 117 | -0.203 | -10.889 | _29141_/D\r\n 1606 | 594 | 1076 | 101 | 117 | -0.203 | -10.833 | _29141_/D\r\n 1610 | 594 | 1080 | 102 | 118 | -0.203 | -10.299 | _29141_/D\r\n 1620 | 596 | 1095 | 104 | 120 | -0.203 | -10.061 | _29141_/D\r\n 1625 | 598 | 1098 | 105 | 121 | -0.203 | -9.977 | _29141_/D\r\n 1630 | 600 | 1102 | 105 | 121 | -0.203 | -10.168 | _29141_/D\r\n 1637 | 607 | 1102 | 105 | 121 | -0.203 | -10.163 | _29141_/D\r\n 1640 | 609 | 1102 | 105 | 121 | -0.203 | -10.151 | _29141_/D\r\n 1650 | 618 | 1109 | 105 | 121 | -0.203 | -10.081 | _29141_/D\r\n 1652 | 619 | 1115 | 105 | 121 | -0.203 | -10.068 | _29141_/D\r\n 1660 | 620 | 1138 | 105 | 121 | -0.191 | -9.532 | _29171_/D\r\n 1670 | 623 | 1152 | 107 | 122 | -0.191 | -9.418 | _29171_/D\r\n 1680 | 628 | 1157 | 109 | 123 | -0.180 | -9.379 | _29171_/D\r\n 1690 | 635 | 1159 | 110 | 124 | -0.180 | -9.336 | _29171_/D\r\n 1700 | 641 | 1163 | 111 | 125 | -0.180 | -9.316 | _29171_/D\r\n 1710 | 647 | 1168 | 112 | 127 | -0.180 | -9.263 | _29171_/D\r\n 1713 | 631 | 1161 | 109 | 127 | -0.179 | -9.306 | _29153_/D\r\n 1719 | 635 | 1161 | 109 | 127 | -0.179 | -9.574 | _29153_/D\r\n 1720 | 635 | 1161 | 109 | 127 | -0.179 | -9.574 | _29153_/D\r\n 1727 | 641 | 1162 | 109 | 127 | -0.179 | -9.577 | _29153_/D\r\n 1730 | 643 | 1162 | 109 | 127 | -0.179 | -9.578 | _29153_/D\r\n 1733 | 646 | 1162 | 109 | 127 | -0.179 | -9.571 | _29153_/D\r\n 1740 | 649 | 1164 | 110 | 128 | -0.179 | -9.540 | _29153_/D\r\n 1749 | 653 | 1173 | 112 | 128 | -0.179 | -9.914 | _29153_/D\r\n 1750 | 653 | 1173 | 112 | 128 | -0.179 | -9.914 | _29153_/D\r\n 1760 | 655 | 1191 | 113 | 131 | -0.179 | -9.511 | _29153_/D\r\n 1770 | 663 | 1193 | 114 | 131 | -0.179 | -9.461 | _29153_/D\r\n 1780 | 670 | 1195 | 114 | 133 | -0.179 | -9.637 | _29153_/D\r\n 1781 | 662 | 1194 | 114 | 134 | -0.179 | -9.434 | _29153_/D\r\n 1790 | 667 | 1196 | 116 | 134 | -0.179 | -9.387 | _29153_/D\r\n 1795 | 665 | 1196 | 116 | 135 | -0.179 | -9.353 | _29153_/D\r\n 1800 | 668 | 1198 | 116 | 135 | -0.179 | -10.719 | _29153_/D\r\n 1810 | 675 | 1204 | 116 | 136 | -0.179 | -9.912 | _29153_/D\r\n 1820 | 681 | 1208 | 118 | 136 | -0.179 | -11.014 | _29153_/D\r\n 1830 | 686 | 1215 | 119 | 138 | -0.179 | -10.544 | _29153_/D\r\n 1837 | 688 | 1216 | 119 | 141 | -0.179 | -10.541 | _29153_/D\r\n 1840 | 690 | 1216 | 119 | 141 | -0.179 | -9.639 | _29153_/D\r\n 1850 | 698 | 1220 | 119 | 141 | -0.179 | -9.772 | _29153_/D\r\n 1860 | 706 | 1224 | 119 | 141 | -0.179 | -10.815 | _29153_/D\r\n 1870 | 712 | 1230 | 120 | 141 | -0.179 | -12.656 | _29153_/D\r\n 1872 | 704 | 1224 | 119 | 141 | -0.179 | -9.050 | _29153_/D\r\n 1877 | 707 | 1228 | 119 | 141 | -0.179 | -9.318 | _29153_/D\r\n 1880 | 709 | 1228 | 119 | 141 | -0.179 | -9.312 | _29153_/D\r\n 1890 | 719 | 1228 | 119 | 141 | -0.179 | -9.312 | _29153_/D\r\n 1892 | 721 | 1228 | 119 | 141 | -0.179 | -9.308 | _29153_/D\r\n 1900 | 727 | 1230 | 119 | 141 | -0.179 | -9.046 | _29153_/D\r\n 1910 | 735 | 1234 | 119 | 141 | -0.179 | -9.046 | _29153_/D\r\n 1911 | 732 | 1233 | 119 | 141 | -0.179 | -8.994 | _29153_/D\r\n 1920 | 737 | 1235 | 120 | 142 | -0.179 | -9.132 | _29153_/D\r\n 1930 | 747 | 1235 | 120 | 142 | -0.179 | -9.120 | _29153_/D\r\n 1931 | 738 | 1234 | 120 | 142 | -0.179 | -8.898 | _29153_/D\r\n 1940 | 744 | 1238 | 120 | 142 | -0.179 | -9.471 | _29153_/D\r\n 1947 | 742 | 1237 | 120 | 142 | -0.179 | -8.653 | _29153_/D\r\n 1950 | 743 | 1242 | 120 | 142 | -0.179 | -8.674 | _29153_/D\r\n 1960 | 750 | 1253 | 120 | 142 | -0.179 | -8.696 | _29153_/D\r\n 1970 | 756 | 1255 | 121 | 144 | -0.179 | -8.644 | _29153_/D\r\n 1973 | 758 | 1255 | 121 | 144 | -0.179 | -8.640 | _29153_/D\r\n 1974 | 758 | 1255 | 121 | 144 | -0.179 | -8.642 | _29153_/D\r\n 1980 | 762 | 1255 | 121 | 145 | -0.179 | -8.650 | _29153_/D\r\n 1980 | 760 | 1255 | 121 | 145 | -0.179 | -8.641 | _29153_/D\r\n 1990 | 766 | 1264 | 121 | 145 | -0.179 | -8.591 | _29153_/D\r\n 1990 | 764 | 1264 | 121 | 145 | -0.179 | -8.545 | _29153_/D\r\n 2000 | 771 | 1268 | 121 | 145 | -0.179 | -8.479 | _29153_/D\r\n 2010 | 779 | 1273 | 122 | 145 | -0.179 | -8.504 | _29153_/D\r\n 2016 | 772 | 1269 | 121 | 146 | -0.179 | -8.375 | _29153_/D\r\n 2020 | 775 | 1269 | 121 | 146 | -0.179 | -8.475 | _29153_/D\r\n 2021 | 776 | 1269 | 121 | 146 | -0.179 | -8.472 | _29153_/D\r\n 2028 | 780 | 1273 | 121 | 146 | -0.179 | -8.436 | _29153_/D\r\n 2030 | 780 | 1275 | 121 | 146 | -0.179 | -8.427 | _29153_/D\r\n 2038 | 785 | 1279 | 121 | 146 | -0.179 | -8.388 | _29153_/D\r\n 2040 | 786 | 1279 | 121 | 146 | -0.179 | -8.389 | _29153_/D\r\n 2040 | 786 | 1279 | 121 | 146 | -0.179 | -8.389 | _29153_/D\r\n 2050 | 794 | 1284 | 121 | 146 | -0.179 | -8.396 | _29153_/D\r\n 2051 | 794 | 1284 | 121 | 146 | -0.179 | -8.396 | _29153_/D\r\n 2060 | 801 | 1284 | 121 | 147 | -0.179 | -8.378 | _29153_/D\r\n 2061 | 802 | 1284 | 121 | 147 | -0.179 | -8.371 | _29153_/D\r\n 2070 | 807 | 1289 | 121 | 147 | -0.179 | -8.507 | _29153_/D\r\n 2080 | 814 | 1293 | 121 | 148 | -0.179 | -8.518 | _29153_/D\r\n 2080 | 810 | 1288 | 121 | 148 | -0.179 | -8.357 | _29153_/D\r\n 2090 | 818 | 1290 | 121 | 148 | -0.179 | -8.384 | _29153_/D\r\n 2090 | 815 | 1289 | 121 | 148 | -0.179 | -8.316 | _29153_/D\r\n 2091 | 815 | 1289 | 121 | 148 | -0.179 | -8.349 | _29153_/D\r\n 2100 | 816 | 1303 | 123 | 148 | -0.179 | -8.356 | _29153_/D\r\n 2110 | 820 | 1308 | 126 | 148 | -0.179 | -8.364 | _29153_/D\r\n 2110 | 820 | 1307 | 126 | 148 | -0.179 | -8.355 | _29153_/D\r\n 2114 | 821 | 1310 | 126 | 148 | -0.179 | -8.333 | _29153_/D\r\n 2116 | 821 | 1316 | 126 | 148 | -0.179 | -8.295 | _29153_/D\r\n 2120 | 823 | 1319 | 126 | 148 | -0.179 | -8.274 | _29153_/D\r\n 2130 | 828 | 1327 | 126 | 149 | -0.179 | -8.401 | _29153_/D\r\n 2136 | 833 | 1331 | 126 | 149 | -0.179 | -8.280 | _29153_/D\r\n 2140 | 836 | 1331 | 126 | 149 | -0.179 | -8.275 | _29153_/D\r\n 2144 | 840 | 1331 | 126 | 149 | -0.179 | -8.267 | _29153_/D\r\n 2146 | 840 | 1335 | 126 | 149 | -0.179 | -8.242 | _29153_/D\r\n 2147 | 840 | 1335 | 126 | 149 | -0.179 | -8.242 | _29153_/D\r\n 2150 | 841 | 1337 | 126 | 149 | -0.179 | -8.218 | _29153_/D\r\n 2160 | 849 | 1339 | 127 | 149 | -0.179 | -8.226 | _29153_/D\r\n 2170 | 857 | 1341 | 128 | 149 | -0.179 | -8.241 | _29153_/D\r\n 2170 | 850 | 1339 | 126 | 149 | -0.179 | -8.176 | _29153_/D\r\n 2173 | 850 | 1348 | 126 | 149 | -0.179 | -8.178 | _29153_/D\r\n 2178 | 852 | 1348 | 127 | 150 | -0.179 | -8.177 | _29153_/D\r\n 2179 | 852 | 1348 | 127 | 150 | -0.179 | -8.177 | _29153_/D\r\n 2180 | 852 | 1348 | 127 | 150 | -0.179 | -8.177 | _29153_/D\r\n 2190 | 861 | 1350 | 127 | 150 | -0.179 | -8.608 | _29153_/D\r\n 2198 | 868 | 1354 | 127 | 150 | -0.179 | -8.150 | _29153_/D\r\n 2199 | 868 | 1354 | 127 | 150 | -0.179 | -8.150 | _29153_/D\r\n 2200 | 868 | 1354 | 127 | 150 | -0.179 | -8.150 | _29153_/D\r\n 2203 | 871 | 1354 | 127 | 150 | -0.179 | -8.132 | _29153_/D\r\n 2204 | 871 | 1354 | 127 | 150 | -0.179 | -8.132 | _29153_/D\r\n 2210 | 875 | 1357 | 127 | 150 | -0.179 | -8.119 | _29153_/D\r\n 2220 | 884 | 1357 | 127 | 151 | -0.179 | -8.094 | _29153_/D\r\n 2221 | 885 | 1357 | 127 | 151 | -0.179 | -8.079 | _29153_/D\r\n 2225 | 888 | 1357 | 127 | 151 | -0.179 | -8.088 | _29153_/D\r\n 2230 | 892 | 1357 | 127 | 151 | -0.179 | -8.080 | _29153_/D\r\n 2240 | 900 | 1361 | 127 | 151 | -0.179 | -8.043 | _29153_/D\r\n 2242 | 897 | 1361 | 127 | 151 | -0.179 | -8.030 | _29153_/D\r\n 2246 | 899 | 1361 | 128 | 151 | -0.179 | -8.022 | _29153_/D\r\n 2247 | 899 | 1361 | 128 | 151 | -0.179 | -8.022 | _29153_/D\r\n 2248 | 899 | 1361 | 128 | 151 | -0.179 | -8.022 | _29153_/D\r\n 2250 | 900 | 1361 | 128 | 151 | -0.179 | -8.008 | _29153_/D\r\n 2253 | 902 | 1361 | 128 | 152 | -0.179 | -8.015 | _29153_/D\r\n 2257 | 905 | 1361 | 128 | 152 | -0.179 | -8.004 | _29153_/D\r\n 2260 | 906 | 1364 | 128 | 152 | -0.179 | -7.969 | _29153_/D\r\n 2270 | 913 | 1366 | 129 | 153 | -0.179 | -7.935 | _29153_/D\r\n 2280 | 918 | 1366 | 133 | 154 | -0.179 | -7.938 | _29153_/D\r\n 2281 | 916 | 1366 | 130 | 154 | -0.179 | -7.901 | _29153_/D\r\n 2290 | 916 | 1379 | 131 | 156 | -0.179 | -7.650 | _29153_/D\r\n 2300 | 918 | 1386 | 134 | 158 | -0.179 | -7.684 | _29153_/D\r\n 2310 | 927 | 1386 | 134 | 159 | -0.179 | -7.614 | _29153_/D\r\n 2314 | 929 | 1388 | 135 | 159 | -0.179 | -7.606 | _29153_/D\r\n 2316 | 930 | 1388 | 135 | 159 | -0.179 | -7.598 | _29153_/D\r\n 2317 | 930 | 1388 | 135 | 159 | -0.179 | -7.598 | _29153_/D\r\n 2318 | 930 | 1388 | 135 | 159 | -0.179 | -7.598 | _29153_/D\r\n 2319 | 930 | 1388 | 135 | 159 | -0.179 | -7.598 | _29153_/D\r\n 2320 | 930 | 1388 | 135 | 159 | -0.179 | -7.598 | _29153_/D\r\n 2330 | 937 | 1397 | 136 | 160 | -0.179 | -7.583 | _29153_/D\r\n 2339 | 943 | 1399 | 136 | 161 | -0.179 | -7.594 | _29153_/D\r\n 2340 | 943 | 1399 | 136 | 161 | -0.179 | -7.596 | _29153_/D\r\n 2348 | 950 | 1399 | 136 | 162 | -0.179 | -7.592 | _29153_/D\r\n 2350 | 951 | 1399 | 136 | 162 | -0.179 | -7.590 | _29153_/D\r\n 2360 | 960 | 1399 | 136 | 163 | -0.179 | -7.593 | _29153_/D\r\n 2360 | 958 | 1399 | 136 | 163 | -0.179 | -7.589 | _29153_/D\r\n 2370 | 963 | 1405 | 136 | 163 | -0.179 | -7.568 | _29153_/D\r\n 2378 | 971 | 1405 | 136 | 163 | -0.179 | -7.570 | _29153_/D\r\n 2380 | 972 | 1405 | 136 | 163 | -0.179 | -7.550 | _29153_/D\r\n 2390 | 975 | 1419 | 140 | 163 | -0.179 | -7.509 | _29153_/D\r\n 2392 | 976 | 1421 | 140 | 163 | -0.179 | -7.514 | _29153_/D\r\n 2393 | 976 | 1421 | 140 | 163 | -0.179 | -7.514 | _29153_/D\r\n 2394 | 976 | 1421 | 140 | 163 | -0.179 | -7.514 | _29153_/D\r\n 2395 | 976 | 1421 | 140 | 163 | -0.179 | -7.514 | _29153_/D\r\n 2400 | 977 | 1434 | 140 | 164 | -0.179 | -7.494 | _29153_/D\r\n 2409 | 983 | 1434 | 142 | 164 | -0.179 | -7.403 | _29153_/D\r\n 2410 | 983 | 1434 | 142 | 164 | -0.179 | -7.403 | _29153_/D\r\n 2410 | 983 | 1434 | 142 | 164 | -0.179 | -7.403 | _29153_/D\r\n 2411 | 983 | 1434 | 142 | 164 | -0.179 | -7.403 | _29153_/D\r\n 2420 | 989 | 1436 | 142 | 165 | -0.179 | -7.419 | _29153_/D\r\n 2422 | 990 | 1437 | 142 | 165 | -0.179 | -7.408 | _29153_/D\r\n 2430 | 997 | 1437 | 142 | 165 | -0.179 | -7.406 | _29153_/D\r\n 2434 | 1001 | 1437 | 142 | 165 | -0.179 | -7.403 | _29153_/D\r\n 2435 | 1001 | 1437 | 142 | 165 | -0.179 | -7.403 | _29153_/D\r\n 2436 | 1001 | 1437 | 142 | 165 | -0.179 | -7.403 | _29153_/D\r\n 2440 | 1004 | 1437 | 142 | 165 | -0.179 | -7.406 | _29153_/D\r\n 2444 | 1006 | 1437 | 142 | 166 | -0.179 | -7.401 | _29153_/D\r\n 2445 | 1006 | 1437 | 142 | 166 | -0.179 | -7.403 | _29153_/D\r\n 2450 | 1010 | 1437 | 142 | 166 | -0.179 | -7.392 | _29153_/D\r\n 2451 | 1011 | 1437 | 142 | 166 | -0.179 | -7.358 | _29153_/D\r\n 2460 | 1017 | 1443 | 142 | 166 | -0.179 | -7.370 | _29153_/D\r\n 2470 | 1025 | 1449 | 142 | 167 | -0.179 | -7.345 | _29153_/D\r\n 2480 | 1034 | 1449 | 142 | 168 | -0.179 | -7.361 | _29153_/D\r\n 2480 | 1025 | 1449 | 142 | 168 | -0.179 | -7.319 | _29153_/D\r\n 2488 | 1030 | 1453 | 142 | 168 | -0.179 | -7.335 | _29153_/D\r\n 2489 | 1030 | 1453 | 142 | 168 | -0.179 | -7.340 | _29153_/D\r\n 2490 | 1030 | 1453 | 142 | 168 | -0.179 | -7.340 | _29153_/D\r\n 2490 | 1030 | 1453 | 142 | 168 | -0.179 | -7.340 | _29153_/D\r\n 2491 | 1030 | 1453 | 142 | 168 | -0.179 | -7.340 | _29153_/D\r\n 2492 | 1030 | 1453 | 142 | 168 | -0.179 | -7.340 | _29153_/D\r\n 2494 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2495 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2496 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2497 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2498 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2499 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2500 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2500 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2501 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2502 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2503 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2504 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2505 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2506 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2507 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2508 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2509 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2510 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2510 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2511 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2512 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2513 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2514 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2515 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2516 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2517 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2518 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2519 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2520 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2520 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2521 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2522 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2523 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2524 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2525 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2526 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2527 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2528 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2529 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2530 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2530 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2531 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2532 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2533 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2534 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2535 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2536 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2537 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2538 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2539 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2540 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2540 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2541 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2542 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2543 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2544 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2545 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2546 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2547 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2548 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2549 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2550 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2550 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2551 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2552 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2553 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2554 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n 2555 | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n final | 1031 | 1453 | 142 | 168 | -0.179 | -7.339 | _29153_/D\r\n---------------------------------------------------------------------------------------\r\n[INFO RSZ-0045] Inserted 929 buffers, 1 to split loads.\r\n[INFO RSZ-0041] Resized 1031 instances.\r\n[INFO RSZ-0043] Swapped pins on 168 instances.\r\n[INFO RSZ-0049] Cloned 142 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n[INFO RSZ-0033] No hold violations found.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 10624.3 u\r\naverage displacement 0.7 u\r\nmax displacement 13.9 u\r\noriginal HPWL 215453.4 u\r\nlegalized HPWL 225675.4 u\r\ndelta HPWL 5 %\r\n\r\nglobal_connect\r\n# estimate for metrics\r\nestimate_parasitics -placement\r\nSC_METRIC: report_checks -path_delay max\r\nStartpoint: ld (input port clocked by clk)\r\nEndpoint: _29126_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\n Cap Delay Time Description\r\n----------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 0.16 0.16 v input external delay\r\n 53.75 0.00 0.16 v ld (in)\r\n 370.00 0.02 0.18 v input1489/Z (BUF_X32)\r\n 50.40 0.40 0.59 ^ _14773_/ZN (INV_X32)\r\n 1.80 0.03 0.62 v _15694_/ZN (NAND2_X1)\r\n 20.04 0.08 0.70 v _15700_/Z (MUX2_X2)\r\n 33.66 0.03 0.73 ^ _15701_/ZN (NAND2_X4)\r\n 40.12 0.03 0.76 v _15702_/ZN (INV_X4)\r\n 23.50 0.06 0.83 v _28478_/CO (HA_X1)\r\n 12.54 0.04 0.87 ^ _17425_/ZN (OAI21_X2)\r\n 4.02 0.02 0.89 v _17426_/ZN (NAND2_X4)\r\n 1.05 0.06 0.94 v _17449_/Z (MUX2_X1)\r\n 1.05 0.06 1.00 v _17450_/Z (MUX2_X1)\r\n 0.95 0.06 1.05 v _17458_/Z (MUX2_X1)\r\n 2.30 0.06 1.11 v _17470_/Z (MUX2_X1)\r\n 4.09 0.06 1.18 v _17494_/Z (MUX2_X1)\r\n 0.00 1.18 v _29126_/D (DFF_X1)\r\n 1.18 data arrival time\r\n\r\n 0.82 0.82 clock clk (rise edge)\r\n 0.00 0.82 clock source latency\r\n 35.37 0.00 0.82 ^ clk (in)\r\n 21.15 0.03 0.85 ^ clkbuf_0_clk/Z (BUF_X4)\r\n 94.84 0.08 0.93 ^ clkbuf_1_1__f_clk/Z (BUF_X4)\r\n 51.54 0.06 0.99 ^ clkbuf_leaf_4_clk/Z (BUF_X4)\r\n 0.00 0.99 ^ _29126_/CK (DFF_X1)\r\n 0.00 0.99 clock reconvergence pessimism\r\n -0.03 0.96 library setup time\r\n 0.96 data required time\r\n----------------------------------------------------------------\r\n 0.96 data required time\r\n -1.18 data arrival time\r\n----------------------------------------------------------------\r\n -0.22 slack (VIOLATED)\r\n\r\nSC_METRIC: report_checks -path_delay min\r\nStartpoint: text_in[89] (input port clocked by clk)\r\nEndpoint: _28793_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: min\r\n\r\n Cap Delay Time Description\r\n----------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 0.16 0.16 ^ input external delay\r\n 7.19 0.00 0.16 ^ text_in[89] (in)\r\n 3.52 0.02 0.19 ^ input1606/Z (BUF_X1)\r\n 1.47 0.04 0.22 ^ _28341_/Z (MUX2_X1)\r\n 0.00 0.22 ^ _28793_/D (DFF_X1)\r\n 0.22 data arrival time\r\n\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock source latency\r\n 35.37 0.00 0.00 ^ clk (in)\r\n 21.15 0.03 0.03 ^ clkbuf_0_clk/Z (BUF_X4)\r\n 94.84 0.08 0.11 ^ clkbuf_1_1__f_clk/Z (BUF_X4)\r\n 52.67 0.06 0.17 ^ clkbuf_leaf_10_clk/Z (BUF_X4)\r\n 0.00 0.17 ^ _28793_/CK (DFF_X1)\r\n 0.00 0.17 clock reconvergence pessimism\r\n 0.01 0.19 library hold time\r\n 0.19 data required time\r\n----------------------------------------------------------------\r\n 0.19 data required time\r\n -0.22 data arrival time\r\n----------------------------------------------------------------\r\n 0.04 slack (MET)\r\n\r\nSC_METRIC: unconstrained\r\nStartpoint: ld (input port clocked by clk)\r\nEndpoint: _29126_ (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\n Cap Delay Time Description\r\n----------------------------------------------------------------\r\n 0.00 0.00 clock clk (rise edge)\r\n 0.00 0.00 clock network delay (propagated)\r\n 0.16 0.16 v input external delay\r\n 53.75 0.00 0.16 v ld (in)\r\n 370.00 0.02 0.18 v input1489/Z (BUF_X32)\r\n 50.40 0.40 0.59 ^ _14773_/ZN (INV_X32)\r\n 1.80 0.03 0.62 v _15694_/ZN (NAND2_X1)\r\n 20.04 0.08 0.70 v _15700_/Z (MUX2_X2)\r\n 33.66 0.03 0.73 ^ _15701_/ZN (NAND2_X4)\r\n 40.12 0.03 0.76 v _15702_/ZN (INV_X4)\r\n 23.50 0.06 0.83 v _28478_/CO (HA_X1)\r\n 12.54 0.04 0.87 ^ _17425_/ZN (OAI21_X2)\r\n 4.02 0.02 0.89 v _17426_/ZN (NAND2_X4)\r\n 1.05 0.06 0.94 v _17449_/Z (MUX2_X1)\r\n 1.05 0.06 1.00 v _17450_/Z (MUX2_X1)\r\n 0.95 0.06 1.05 v _17458_/Z (MUX2_X1)\r\n 2.30 0.06 1.11 v _17470_/Z (MUX2_X1)\r\n 4.09 0.06 1.18 v _17494_/Z (MUX2_X1)\r\n 0.00 1.18 v _29126_/D (DFF_X1)\r\n 1.18 data arrival time\r\n\r\n 0.82 0.82 clock clk (rise edge)\r\n 0.00 0.82 clock source latency\r\n 35.37 0.00 0.82 ^ clk (in)\r\n 21.15 0.03 0.85 ^ clkbuf_0_clk/Z (BUF_X4)\r\n 94.84 0.08 0.93 ^ clkbuf_1_1__f_clk/Z (BUF_X4)\r\n 51.54 0.06 0.99 ^ clkbuf_leaf_4_clk/Z (BUF_X4)\r\n 0.00 0.99 ^ _29126_/CK (DFF_X1)\r\n 0.00 0.99 clock reconvergence pessimism\r\n -0.03 0.96 library setup time\r\n 0.96 data required time\r\n----------------------------------------------------------------\r\n 0.96 data required time\r\n -1.18 data arrival time\r\n----------------------------------------------------------------\r\n -0.22 slack (VIOLATED)\r\n\r\nSC_METRIC: clock_skew\r\nClock clk\r\nLatency CRPR Skew\r\n_29095_/CK ^\r\n 0.17\r\n_28904_/CK ^\r\n 0.13 0.00 0.04\r\n\r\nSC_METRIC: DRV violators\r\nmax capacitance\r\n\r\nPin Limit Cap Slack\r\n------------------------------------------------------------\r\n_26264_/ZN 104.07 110.69 -6.63 (VIOLATED)\r\nclone781/ZN 53.41 53.82 -0.42 (VIOLATED)\r\n_26909_/ZN 104.07 104.16 -0.10 (VIOLATED)\r\n\r\nSC_METRIC: floating nets\r\n[WARNING RSZ-0020] found 79 floating nets.\r\n net1069\r\n net1072\r\n net1073\r\n net1078\r\n net1111\r\n net1112\r\n net1113\r\n net1135\r\n net1138\r\n net1151\r\n net1152\r\n net1153\r\n net1178\r\n net1183\r\n net1184\r\n net1243\r\n net1245\r\n net1269\r\n net1270\r\n net1271\r\n net133\r\n net135\r\n net136\r\n net228\r\n net232\r\n net314\r\n net399\r\n net400\r\n net401\r\n net402\r\n net403\r\n net406\r\n net408\r\n net413\r\n net415\r\n net416\r\n net417\r\n net419\r\n net420\r\n net453\r\n net455\r\n net457\r\n net549\r\n net550\r\n net567\r\n net568\r\n net570\r\n net571\r\n net574\r\n net61\r\n net62\r\n net63\r\n net635\r\n net64\r\n net65\r\n net66\r\n net708\r\n net710\r\n net729\r\n net730\r\n net731\r\n net732\r\n net733\r\n net74\r\n net745\r\n net746\r\n net75\r\n net76\r\n net77\r\n net776\r\n net780\r\n net83\r\n net84\r\n net841\r\n net845\r\n net846\r\n net85\r\n net868\r\n net90\r\nSC_METRIC: tns\r\ntns -13.98\r\n\r\nSC_METRIC: setupslack\r\nworst slack -0.22\r\n\r\nSC_METRIC: holdslack\r\nworst slack 0.04\r\n\r\nSC_METRIC: fmax\r\n960.0213894408657 MHz\r\nSC_METRIC: power\r\nPower for corner: typical\r\nGroup Internal Switching Leakage Total\r\n Power Power Power Power (Watts)\r\n----------------------------------------------------------------\r\nSequential 1.16e-02 4.77e-03 5.90e-05 1.64e-02 4.0%\r\nCombinational 1.93e-01 2.01e-01 5.45e-04 3.95e-01 96.0%\r\nMacro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%\r\nPad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%\r\n----------------------------------------------------------------\r\nTotal 2.05e-01 2.06e-01 6.04e-04 4.11e-01 100.0%\r\n 49.8% 50.0% 0.1%\r\n\r\nSC_METRIC: cellarea\r\nDesign area 23343 u^2 12% utilization.\r\n[INFO FLW-0001] Saving \"snapshot\" to reports/images/aes_cipher_top.png\r\n[INFO FLW-0001] Saving \"placement\" to reports/images/aes_cipher_top.placement.png\r\n[INFO FLW-0001] Saving \"placement density heatmap\" to reports/images/heatmap/placement_density.png\r\n[INFO FLW-0001] Saving \"power density for typical heatmap\" to reports/images/heatmap/power_density/typical.png\r\n[INFO FLW-0001] Saving \"clocks\" to reports/images/aes_cipher_top.clocks.png\r\n[INFO FLW-0001] Saving clk clock tree for typical in reports/images/clocktree/clk.typical.png\r\n[INFO FLW-0001] Saving \"optimizer\" to reports/images/aes_cipher_top.optimizer.png\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "The file to add or remove the -skip_gate_cloning to is located in:\r\n`build/aes/job0_freepdk45_demo_orfs/sc_collected_files/scripts_fccbfa790db5ddcc0abfcccac3b21bc686d7535a/sc_cts.tcl`"}, {"assistant": "@gadfort resolved?"}]} +{"num": 3909, "messages": [{"user": "### Description\r\n\r\nI'm using routing by abutment for two different macros that need to have the same pin-layout.\r\n\r\nIf I use `PLACE_PINS_ARGS=-annealing`, I get different pin layout for these two macros.\r\n\r\n\r\n### Suggested Solution\r\n\r\nAdd option to io placement to write out the pin-placement to a .tcl file. This .tcl file can then be fed into the second macro and pin layout will be identical.\r\n\r\nFurthermore, it would be great to have the pins in this file grouped by edges (generate a comment to indicate edge). This would allow using a combination where only one edge is copy and pasted from an io placement annealing run.\r\n\r\nAnother use-case for this .tcl file is to write out best found solutions for long running io placement efforts. The user can then hand-tweak the best result.\r\n\r\nThere should be some sort of output for long running io placement efforts.\r\n\r\nIdeally the io placement would print out a recommendation to improve convergence speed, like \"reduce number of pins on left edge by 10%\", or something.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe If it is guaranteed that the two different macros have the same track positions, we can use the `place_pin` command for each IO pin of the design. So the output .tcl file would be a list of calls for the `place_pin` command, like this:\r\n\r\n```\r\nplace_pin -pin_name pin0 -layer layer -location \"x0 y0\"\r\nplace_pin -pin_name pin1 -layer layer -location \"x1 y1\"\r\n...\r\nplace_pin -pin_name pinN -layer layer -location \"xN yN\"\r\n```\r\n\r\nWe could easily group the pins by edge in this format. The only problem is that this file can be huge with one line per pin, but I think this is not a blocker.\r\n\r\nAbout the output for long runtime, do you think an `-timeout` option could help? The annealing run would end after reaching the timeout, and the current result would be the final assignment, followed by a warning message and the suggestion of a new configuration for the constraints."}, {"user": "> @oharboe If it is guaranteed that the two different macros have the same track positions, we can use the `place_pin` command for each IO pin of the design. So the output .tcl file would be a list of calls for the `place_pin` command, like this:\r\n> \r\n> ```\r\n> place_pin -pin_name pin0 -layer layer -location \"x0 y0\"\r\n> place_pin -pin_name pin1 -layer layer -location \"x1 y1\"\r\n> ...\r\n> place_pin -pin_name pinN -layer layer -location \"xN yN\"\r\n> ```\r\n> \r\n> We could easily group the pins by edge in this format.\r\n\r\n:+1: \r\n\r\nThis would allow me to cut and paste some pins for my use-case and also to use the annealing.\r\n\r\n> The only problem is that this file can be huge with one line per pin, but I think this is not a blocker.\r\n\r\nSize doesn't really matter here, no. It's thousands of lines for thousands of pins. That's easily understood.\r\n\r\n\r\n> \r\n> About the output for long runtime, do you think an `-timeout` option could help? The annealing run would end after reaching the timeout, and the current result would be the final assignment, followed by a warning message and the suggestion of a new configuration for the constraints.\r\n\r\nI think perhaps an \"effort\" similar to detailed routing/global routing would make sense. I'm thinking this default should be something reasonable, like minutes and then the user can bump it up. The results should be idempotent, so it shouldn't matter how fast your CPU is."}, {"assistant": "> > @oharboe If it is guaranteed that the two different macros have the same track positions, we can use the `place_pin` command for each IO pin of the design. So the output .tcl file would be a list of calls for the `place_pin` command, like this:\r\n> > ```\r\n> > place_pin -pin_name pin0 -layer layer -location \"x0 y0\"\r\n> > place_pin -pin_name pin1 -layer layer -location \"x1 y1\"\r\n> > ...\r\n> > place_pin -pin_name pinN -layer layer -location \"xN yN\"\r\n> > ```\r\n> > \r\n> > \r\n> > \r\n> > \r\n> > \r\n> > \r\n> > \r\n> > \r\n> > \r\n> > \r\n> > \r\n> > We could easily group the pins by edge in this format.\r\n> \r\n> \ud83d\udc4d\r\n> \r\n> This would allow me to cut and paste some pins for my use-case and also to use the annealing.\r\n> \r\n> > The only problem is that this file can be huge with one line per pin, but I think this is not a blocker.\r\n> \r\n> Size doesn't really matter here, no. It's thousands of lines for thousands of pins. That's easily understood.\r\n> \r\n> > About the output for long runtime, do you think an `-timeout` option could help? The annealing run would end after reaching the timeout, and the current result would be the final assignment, followed by a warning message and the suggestion of a new configuration for the constraints.\r\n> \r\n> I think perhaps an \"effort\" similar to detailed routing/global routing would make sense. I'm thinking this default should be something reasonable, like minutes and then the user can bump it up. The results should be idempotent, so it shouldn't matter how fast your CPU is.\r\n\r\nSounds good. I will address this issue in two PRs, starting with the .tcl file with the IO placement and then another PR for the long runtimes."}]} +{"num": 3910, "messages": [{"user": "### Describe the bug\r\n\r\nUsing https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1398\r\n\r\nRun `make floorplan`, then `make open_floorplan` and run:\r\n\r\n```\r\nreport_checks -path_delay max -fields {slew cap}\r\n```\r\n\r\n### Expected Behavior\r\n\r\nSkew in 10s of picoseconds\r\n\r\n### Environment\r\n\r\n```shell\r\nlatest master\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n```\r\n[INFO][FLOW] Using platform directory ./platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nDefault PVT selection: BC\r\nODB_FILE=./results/asap7/megaboom/base/2_floorplan.odb /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -no_init ./scripts/gui.tcl\r\nOpenROAD v2.0-10014-g6dba515c2 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> report_checks -path_delay max -fields {slew cap}\r\nStartpoint: reset (input port clocked by clock)\r\nEndpoint: coreplex/AsyncQueueSink/AsyncValidSync/sink_valid_sync_0/reg_0/_09_\r\n (recovery check against rising-edge clock clock)\r\nPath Group: asynchronous\r\nPath Type: max\r\n\r\n Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 1500.00 1500.00 v input external delay\r\n1699.69 0.00 0.00 1500.00 v reset (in)\r\n 6.58 40.45 18.97 1518.97 ^ _38_/Y (INVx1_ASAP7_75t_R)\r\n 3.42 27.34 21.69 1540.66 v coreplex/AsyncQueueSink/_156_/Y (NAND2x1_ASAP7_75t_R)\r\n 1.16 14.07 11.72 1552.38 ^ coreplex/AsyncQueueSink/AsyncValidSync/sink_valid_sync_0/reg_0/_05_/Y (INVx1_ASAP7_75t_R)\r\n 14.07 0.00 1552.38 ^ coreplex/AsyncQueueSink/AsyncValidSync/sink_valid_sync_0/reg_0/_09_/SETN (DFFASRHQNx1_ASAP7_75t_R)\r\n 1552.38 data arrival time\r\n\r\n 0.00 7500.00 7500.00 clock clock (rise edge)\r\n 0.00 7500.00 clock network delay (ideal)\r\n 0.00 7500.00 clock reconvergence pessimism\r\n 7500.00 ^ coreplex/AsyncQueueSink/AsyncValidSync/sink_valid_sync_0/reg_0/_09_/CLK (DFFASRHQNx1_ASAP7_75t_R)\r\n -0.38 7499.62 library recovery time\r\n 7499.62 data required time\r\n-----------------------------------------------------------------------\r\n 7499.62 data required time\r\n -1552.38 data arrival time\r\n-----------------------------------------------------------------------\r\n 5947.23 slack (MET)\r\n\r\n\r\nStartpoint: coreplex/RocketTile/core/lsu/_739642_\r\n (falling edge-triggered flip-flop clocked by clock')\r\nEndpoint: coreplex/RocketTile/dcache/meta/_T_157/_T_157_ext/_26_\r\n (rising clock gating-check end-point clocked by clock)\r\nPath Group: gated clock\r\nPath Type: max\r\n\r\n Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clock' (fall edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 v coreplex/RocketTile/core/lsu/_739642_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n 959.24 5588.26 2545.65 2545.65 ^ coreplex/RocketTile/core/lsu/_739642_/QN (DFFLQNx1_ASAP7_75t_R)\r\n 41.69 1476.40 1679.98 4225.63 v coreplex/RocketTile/core/lsu/_374928_/Y (INVx1_ASAP7_75t_R)\r\n 10.82 500.55 467.48 4693.11 ^ coreplex/RocketTile/core/lsu/_703459_/CON (HAxp5_ASAP7_75t_R)\r\n 7.89 125.83 88.84 4781.95 v coreplex/RocketTile/core/lsu/_369377_/Y (INVx1_ASAP7_75t_R)\r\n 1.99 24.02 45.75 4827.70 v coreplex/RocketTile/core/lsu/_685974_/Y (AND2x2_ASAP7_75t_R)\r\n 318.81 3235.95 1458.02 6285.71 ^ coreplex/RocketTile/core/lsu/_703477_/CON (HAxp5_ASAP7_75t_R)\r\n 298.35 1703.22 4975.16 11260.87 v coreplex/RocketTile/core/lsu/_370136_/Y (INVx1_ASAP7_75t_R)\r\n 1.26 43.40 210.56 11471.43 v coreplex/RocketTile/core/lsu/_370141_/Y (OR3x1_ASAP7_75t_R)\r\n 0.53 18.76 30.30 11501.73 v coreplex/RocketTile/core/lsu/_370146_/Y (OR3x1_ASAP7_75t_R)\r\n 1.84 14.74 27.85 11529.58 v coreplex/RocketTile/core/lsu/_370147_/Y (AO221x1_ASAP7_75t_R)\r\n 333.86 1896.60 853.96 12383.54 ^ coreplex/RocketTile/core/lsu/_370272_/Y (OAI21x1_ASAP7_75t_R)\r\n 2.01 39.55 79.86 12463.40 ^ coreplex/RocketTile/core/lsu/_370273_/Y (AO21x1_ASAP7_75t_R)\r\n 0.49 11.72 21.06 12484.46 ^ coreplex/RocketTile/core/lsu/_370433_/Y (AO221x1_ASAP7_75t_R)\r\n 377.77 2560.37 1157.29 13641.74 ^ coreplex/RocketTile/core/lsu/_370455_/Y (OR5x1_ASAP7_75t_R)\r\n 211.46 1046.41 1994.61 15636.35 v coreplex/RocketTile/core/lsu/_421488_/Y (NAND2x1_ASAP7_75t_R)\r\n 23.14 115.85 158.21 15794.56 v coreplex/RocketTile/core/lsu/_437179_/Y (AO21x1_ASAP7_75t_R)\r\n 6.10 155.28 40.62 15835.18 ^ coreplex/RocketTile/core/MemExeUnit/_03051_/Y (AOI21x1_ASAP7_75t_R)\r\n 13.74 52.77 60.49 15895.67 ^ coreplex/RocketTile/dcacheArb/_0421_/Y (OR2x2_ASAP7_75t_R)\r\n 18.64 67.23 56.09 15951.76 ^ coreplex/RocketTile/dcache/metaReadArb/_527_/Y (OR2x2_ASAP7_75t_R)\r\n 67.23 0.00 15951.76 ^ coreplex/RocketTile/dcache/meta/_T_157/_T_157_ext/_26_/A (AND2x2_ASAP7_75t_R)\r\n 15951.76 data arrival time\r\n\r\n 0.00 7500.00 7500.00 clock clock (rise edge)\r\n 0.00 7500.00 clock network delay (ideal)\r\n 0.00 7500.00 clock reconvergence pessimism\r\n 7500.00 ^ coreplex/RocketTile/dcache/meta/_T_157/_T_157_ext/_26_/B (AND2x2_ASAP7_75t_R)\r\n 0.00 7500.00 clock gating setup time\r\n 7500.00 data required time\r\n-----------------------------------------------------------------------\r\n 7500.00 data required time\r\n -15951.76 data arrival time\r\n-----------------------------------------------------------------------\r\n -8451.76 slack (VIOLATED)\r\n\r\n\r\nStartpoint: coreplex/RocketTile/core/issue_unit/IssueSlot_3/_3572_\r\n (falling edge-triggered flip-flop clocked by clock')\r\nEndpoint: coreplex/RocketTile/core/register_read/_16994_\r\n (falling edge-triggered flip-flop clocked by clock')\r\nPath Group: clock\r\nPath Type: max\r\n\r\n Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clock' (fall edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 v coreplex/RocketTile/core/issue_unit/IssueSlot_3/_3572_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n 3.07 27.16 41.46 41.46 ^ coreplex/RocketTile/core/issue_unit/IssueSlot_3/_3572_/QN (DFFLQNx1_ASAP7_75t_R)\r\n 246.45 2405.31 1134.34 1175.80 v coreplex/RocketTile/core/issue_unit/IssueSlot_3/_3534_/CON (HAxp5_ASAP7_75t_R)\r\n 1.88 279.10 200.78 1376.58 ^ coreplex/RocketTile/core/issue_unit/IssueSlot_3/_1826_/Y (INVx1_ASAP7_75t_R)\r\n 0.91 13.72 31.19 1407.76 ^ coreplex/RocketTile/core/issue_unit/IssueSlot_3/_1828_/Y (AO21x1_ASAP7_75t_R)\r\n 4.19 182.36 27.06 1434.82 v coreplex/RocketTile/core/issue_unit/IssueSlot_3/_1830_/Y (AOI211x1_ASAP7_75t_R)\r\n 239.51 599.33 345.83 1780.65 v coreplex/RocketTile/core/issue_unit/_211429_/Y (AO222x2_ASAP7_75t_R)\r\n 348.49 1849.39 1019.56 2800.21 v coreplex/RocketTile/core/issue_unit/_211997_/Y (OR5x1_ASAP7_75t_R)\r\n 1.75 42.96 160.55 2960.76 v coreplex/RocketTile/core/issue_unit/_232765_/Y (AND3x1_ASAP7_75t_R)\r\n 231.17 1226.51 637.50 3598.27 v coreplex/RocketTile/core/issue_unit/_232825_/Y (OR5x1_ASAP7_75t_R)\r\n 8.43 65.38 156.13 3754.40 v coreplex/RocketTile/core/issue_unit/_232826_/Y (OR3x1_ASAP7_75t_R)\r\n 53.27 289.90 172.83 3927.23 v coreplex/RocketTile/core/issue_unit/_232833_/Y (OR3x1_ASAP7_75t_R)\r\n 224.22 1488.23 865.93 4793.16 ^ coreplex/RocketTile/core/issue_unit/_232834_/Y (NOR2x1_ASAP7_75t_R)\r\n 5.79 57.12 157.22 4950.37 ^ coreplex/RocketTile/core/issue_unit/_232848_/Y (AND3x1_ASAP7_75t_R)\r\n 172.92 854.79 416.40 5366.77 v coreplex/RocketTile/core/issue_unit/_233214_/Y (NAND2x1_ASAP7_75t_R)\r\n 88.68 504.30 321.68 5688.46 v coreplex/RocketTile/core/issue_unit/_251387_/Y (AND4x1_ASAP7_75t_R)\r\n 125.43 671.28 394.90 6083.35 v coreplex/RocketTile/core/issue_unit/_251388_/Y (OR3x1_ASAP7_75t_R)\r\n 7.47 49.32 92.53 6175.89 v coreplex/RocketTile/core/issue_unit/_251390_/Y (AO21x1_ASAP7_75t_R)\r\n 152.97 1018.58 482.60 6658.49 ^ coreplex/RocketTile/core/issue_unit/_251640_/Y (NOR2x1_ASAP7_75t_R)\r\n 217.69 1155.40 1501.69 8160.18 v coreplex/RocketTile/core/issue_unit/_251641_/Y (NAND2x1_ASAP7_75t_R)\r\n 90.18 486.59 401.24 8561.42 v coreplex/RocketTile/core/issue_unit/_268963_/Y (AND5x1_ASAP7_75t_R)\r\n 135.35 918.91 629.44 9190.86 ^ coreplex/RocketTile/core/issue_unit/_269156_/Y (NOR3x1_ASAP7_75t_R)\r\n 157.12 1063.81 538.12 9728.98 ^ coreplex/RocketTile/core/issue_unit/_269157_/Y (AND3x1_ASAP7_75t_R)\r\n 0.63 27.77 89.01 9817.99 ^ coreplex/RocketTile/core/issue_unit/_278518_/Y (AO221x1_ASAP7_75t_R)\r\n 0.53 29.81 14.48 9832.47 ^ coreplex/RocketTile/core/issue_unit/_278519_/Y (AO21x1_ASAP7_75t_R)\r\n2260.32 13774.20 5936.37 15768.83 ^ coreplex/RocketTile/core/issue_unit/_278520_/Y (AO221x1_ASAP7_75t_R)\r\n1025.73 1565.81 63165.54 78934.38 v coreplex/RocketTile/core/regfile/_329442_/Y (INVx1_ASAP7_75t_R)\r\n 117.42 321.99 374.73 79309.11 v coreplex/RocketTile/core/regfile/_329744_/Y (AND2x2_ASAP7_75t_R)\r\n 0.60 48.51 42.77 79351.88 ^ coreplex/RocketTile/core/regfile/_335832_/Y (AOI22x1_ASAP7_75t_R)\r\n 0.59 15.24 24.83 79376.70 ^ coreplex/RocketTile/core/regfile/_335833_/Y (AND3x1_ASAP7_75t_R)\r\n 0.53 11.48 20.04 79396.75 ^ coreplex/RocketTile/core/regfile/_335834_/Y (OA33x2_ASAP7_75t_R)\r\n 1.16 18.29 20.04 79416.79 ^ coreplex/RocketTile/core/regfile/_335835_/Y (OR5x1_ASAP7_75t_R)\r\n 1.18 13.78 17.87 79434.66 ^ coreplex/RocketTile/core/regfile/_335935_/Y (AO31x2_ASAP7_75t_R)\r\n 1.33 52.09 11.06 79445.72 v coreplex/RocketTile/core/register_read/_11800_/Y (OAI22x1_ASAP7_75t_R)\r\n 0.62 38.51 15.13 79460.85 ^ coreplex/RocketTile/core/register_read/_11803_/Y (OAI22x1_ASAP7_75t_R)\r\n 38.51 0.00 79460.85 ^ coreplex/RocketTile/core/register_read/_16994_/D (DFFLQNx1_ASAP7_75t_R)\r\n 79460.85 data arrival time\r\n\r\n 0.00 7500.00 7500.00 clock clock' (fall edge)\r\n 0.00 7500.00 clock network delay (ideal)\r\n 0.00 7500.00 clock reconvergence pessimism\r\n 7500.00 v coreplex/RocketTile/core/register_read/_16994_/CLK (DFFLQNx1_ASAP7_75t_R)\r\n -9.86 7490.14 library setup time\r\n 7490.14 data required time\r\n-----------------------------------------------------------------------\r\n 7490.14 data required time\r\n -79460.85 data arrival time\r\n-----------------------------------------------------------------------\r\n -71970.72 slack (VIOLATED)\r\n\r\n\r\nopenroad> \r\n```\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe how does this compare with the numbers out of place? This is basically just the synthesis numbers which are not particularly great before `repair_design` has been called. Also I see several instances of a 0p5 gate which should not be used in synthesis"}, {"assistant": "In floorplan we call remove_buffers. If you want to look at timing this early you should do so either right after synthesis or after repair_design."}, {"user": "From `make gui_place`, the largest slew is now 206.87.\r\n\r\n```\r\n>>> report_checks -path_delay max -fields {slew cap}\r\nStartpoint: reset (input port clocked by clock)\r\nEndpoint: AsyncQueueSink/widx_gray_sync_0/reg_0/_09_\r\n (recovery check against rising-edge clock clock)\r\nPath Group: asynchronous\r\nPath Type: max\r\n\r\n Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 1500.00 1500.00 v input external delay\r\n 1.83 0.00 0.00 1500.00 v reset (in)\r\n 16.42 13.47 14.51 1514.51 v input417/Y (BUFx10_ASAP7_75t_R)\r\n 53.01 20.98 39.93 1554.44 v wire138167/Y (BUFx16f_ASAP7_75t_R)\r\n 57.82 12.78 66.29 1620.74 v load_slew138166/Y (BUFx16f_ASAP7_75t_R)\r\n 64.88 35.84 85.90 1706.63 v load_slew138159/Y (BUFx16f_ASAP7_75t_R)\r\n 65.15 35.59 51.41 1758.04 v load_slew138156/Y (BUFx16f_ASAP7_75t_R)\r\n 37.26 18.42 50.36 1808.40 v max_length138155/Y (BUFx16f_ASAP7_75t_R)\r\n 64.30 24.71 54.89 1863.28 v wire138154/Y (BUFx16f_ASAP7_75t_R)\r\n 48.37 8.80 23.45 1886.73 v load_slew138153/Y (BUFx16f_ASAP7_75t_R)\r\n 46.98 15.00 95.80 1982.53 v wire138152/Y (BUFx16f_ASAP7_75t_R)\r\n 48.03 12.36 54.93 2037.46 v wire138151/Y (BUFx16f_ASAP7_75t_R)\r\n 27.12 14.36 105.48 2142.94 v wire138149/Y (BUFx16f_ASAP7_75t_R)\r\n 20.46 11.89 63.47 2206.41 v max_length138148/Y (BUFx12f_ASAP7_75t_R)\r\n 1.23 10.61 8.63 2215.04 ^ AsyncQueueSink/widx_gray_sync_0/reg_0/_05_/Y (INVx1_ASAP7_75t_R)\r\n 10.61 0.02 2215.05 ^ AsyncQueueSink/widx_gray_sync_0/reg_0/_09_/SETN (DFFASRHQNx1_ASAP7_75t_R)\r\n 2215.05 data arrival time\r\n\r\n 0.00 7500.00 7500.00 clock clock (rise edge)\r\n 0.00 7500.00 clock network delay (ideal)\r\n 0.00 7500.00 clock reconvergence pessimism\r\n 7500.00 ^ AsyncQueueSink/widx_gray_sync_0/reg_0/_09_/CLK (DFFASRHQNx1_ASAP7_75t_R)\r\n -2.51 7497.49 library recovery time\r\n 7497.49 data required time\r\n-----------------------------------------------------------------------\r\n 7497.49 data required time\r\n -2215.05 data arrival time\r\n-----------------------------------------------------------------------\r\n 5282.44 slack (MET)\r\n\r\n\r\nStartpoint: coreplex/RocketTile/core/rob/_679912_\r\n (falling edge-triggered flip-flop clocked by clock')\r\nEndpoint: coreplex/RocketTile/core/register_read/_17028_\r\n (falling edge-triggered flip-flop clocked by clock')\r\nPath Group: clock\r\nPath Type: max\r\n\r\n Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clock' (fall edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 v coreplex/RocketTile/core/rob/_679912_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 4.08 24.57 44.89 44.89 ^ coreplex/RocketTile/core/rob/_679912_/QN (DFFLQNx2_ASAP7_75t_R)\r\n 2.74 8.85 7.45 52.35 v coreplex/RocketTile/core/rob/_341445_/Y (INVx4_ASAP7_75t_R)\r\n 31.92 8.82 16.48 68.83 v wire128223/Y (BUFx16f_ASAP7_75t_R)\r\n 36.06 11.14 46.83 115.66 v wire128222/Y (BUFx16f_ASAP7_75t_R)\r\n 20.45 15.77 111.67 227.33 v max_length128221/Y (BUFx12f_ASAP7_75t_R)\r\n 54.13 14.56 51.27 278.59 v wire128220/Y (BUFx16f_ASAP7_75t_R)\r\n 56.41 15.71 93.68 372.28 v load_slew128219/Y (BUFx16f_ASAP7_75t_R)\r\n 56.26 16.77 112.54 484.81 v load_slew128218/Y (BUFx16f_ASAP7_75t_R)\r\n 19.01 206.87 163.97 648.78 ^ coreplex/RocketTile/core/issue_unit/IssueSlot_2/_1830_/Y (AOI211x1_ASAP7_75t_R)\r\n 20.53 72.93 100.20 748.98 ^ coreplex/RocketTile/core/issue_unit/_211429_/Y (AO222x2_ASAP7_75t_R)\r\n 27.87 13.01 30.75 779.73 ^ max_length100249/Y (BUFx16f_ASAP7_75t_R)\r\n 24.09 94.53 53.95 833.68 ^ coreplex/RocketTile/core/issue_unit/_211467_/Y (OR4x2_ASAP7_75t_R)\r\n 21.26 82.62 78.15 911.83 ^ coreplex/RocketTile/core/issue_unit/_211500_/Y (OR5x2_ASAP7_75t_R)\r\n 9.37 48.72 48.10 959.93 v coreplex/RocketTile/core/issue_unit/_211507_/Y (NOR2x2_ASAP7_75t_R)\r\n 21.23 40.16 49.62 1009.55 v coreplex/RocketTile/core/issue_unit/_211508_/Y (AND3x4_ASAP7_75t_R)\r\n 31.22 55.58 57.94 1067.49 v coreplex/RocketTile/core/issue_unit/_211514_/Y (AND3x4_ASAP7_75t_R)\r\n 28.61 11.08 28.06 1095.55 v max_length86395/Y (BUFx16f_ASAP7_75t_R)\r\n 15.57 29.94 31.73 1127.28 v coreplex/RocketTile/core/issue_unit/_211515_/Y (AND3x4_ASAP7_75t_R)\r\n 42.82 21.24 22.51 1149.79 v wire83422/Y (BUFx16f_ASAP7_75t_R)\r\n 29.32 10.22 44.40 1194.19 v max_length83421/Y (BUFx16f_ASAP7_75t_R)\r\n 29.10 19.22 52.87 1247.06 v max_length83420/Y (BUFx16f_ASAP7_75t_R)\r\n 29.61 9.09 35.62 1282.68 v wire83418/Y (BUFx16f_ASAP7_75t_R)\r\n 22.05 19.71 61.61 1344.29 v max_length83416/Y (BUFx12f_ASAP7_75t_R)\r\n 29.57 14.13 34.07 1378.36 v max_length83415/Y (BUFx16f_ASAP7_75t_R)\r\n 32.14 10.56 48.70 1427.06 v max_length83414/Y (BUFx16f_ASAP7_75t_R)\r\n 24.22 101.37 93.80 1520.85 ^ coreplex/RocketTile/core/issue_unit/_211749_/Y (NAND2x2_ASAP7_75t_R)\r\n 30.84 19.85 34.91 1555.76 ^ wire77807/Y (BUFx16f_ASAP7_75t_R)\r\n 33.71 18.79 43.45 1599.22 ^ wire77806/Y (BUFx16f_ASAP7_75t_R)\r\n 26.39 12.00 47.38 1646.60 ^ max_length77803/Y (BUFx16f_ASAP7_75t_R)\r\n 34.81 10.61 35.64 1682.24 ^ wire77802/Y (BUFx16f_ASAP7_75t_R)\r\n 23.76 13.55 64.15 1746.39 ^ max_length77800/Y (BUFx16f_ASAP7_75t_R)\r\n 35.40 13.08 44.06 1790.45 ^ load_slew77798/Y (BUFx16f_ASAP7_75t_R)\r\n 3.55 19.05 51.19 1841.64 ^ coreplex/RocketTile/core/issue_unit/_232859_/Y (AND2x2_ASAP7_75t_R)\r\n 20.46 98.87 49.81 1891.45 v coreplex/RocketTile/core/issue_unit/_232860_/Y (OAI21x1_ASAP7_75t_R)\r\n 5.54 44.42 38.65 1930.10 ^ coreplex/RocketTile/core/issue_unit/_232861_/Y (NAND2x2_ASAP7_75t_R)\r\n 18.89 67.24 40.28 1970.38 v coreplex/RocketTile/core/issue_unit/_232878_/Y (NOR2x2_ASAP7_75t_R)\r\n 31.69 10.73 29.90 2000.28 v wire60126/Y (BUFx16f_ASAP7_75t_R)\r\n 31.88 12.29 62.75 2063.03 v wire60125/Y (BUFx16f_ASAP7_75t_R)\r\n 26.60 9.69 46.27 2109.30 v wire60124/Y (BUFx16f_ASAP7_75t_R)\r\n 24.36 18.24 75.80 2185.11 v max_length60123/Y (BUFx16f_ASAP7_75t_R)\r\n 33.12 9.90 37.61 2222.72 v wire60122/Y (BUFx16f_ASAP7_75t_R)\r\n 16.55 68.36 39.49 2262.20 ^ coreplex/RocketTile/core/issue_unit/_233249_/Y (NAND2x2_ASAP7_75t_R)\r\n 23.90 11.34 26.74 2288.95 ^ load_slew55404/Y (BUFx16f_ASAP7_75t_R)\r\n 23.22 17.17 32.45 2321.40 ^ load_slew55403/Y (BUFx16f_ASAP7_75t_R)\r\n 32.14 8.55 26.11 2347.51 ^ wire55395/Y (BUFx16f_ASAP7_75t_R)\r\n 30.14 16.20 69.59 2417.10 ^ load_slew55394/Y (BUFx16f_ASAP7_75t_R)\r\n 24.72 12.25 44.03 2461.13 ^ load_slew55393/Y (BUFx16f_ASAP7_75t_R)\r\n 31.19 9.54 37.75 2498.88 ^ max_length55392/Y (BUFx16f_ASAP7_75t_R)\r\n 3.76 16.76 57.13 2556.01 ^ coreplex/RocketTile/core/issue_unit/_251408_/Y (AO21x2_ASAP7_75t_R)\r\n 2.65 15.84 11.70 2567.71 v coreplex/RocketTile/core/issue_unit/_251419_/Y (NOR2x2_ASAP7_75t_R)\r\n 28.33 7.71 18.01 2585.72 v wire46361/Y (BUFx16f_ASAP7_75t_R)\r\n 27.47 14.36 90.67 2676.39 v wire46360/Y (BUFx16f_ASAP7_75t_R)\r\n 24.38 32.33 103.81 2780.20 v coreplex/RocketTile/core/issue_unit/_251420_/Y (AND2x6_ASAP7_75t_R)\r\n 29.43 10.06 22.91 2803.11 v max_length38667/Y (BUFx16f_ASAP7_75t_R)\r\n 28.22 10.77 55.72 2858.83 v wire38662/Y (BUFx16f_ASAP7_75t_R)\r\n 23.57 13.37 77.58 2936.41 v max_length38661/Y (BUFx16f_ASAP7_75t_R)\r\n 30.92 7.25 18.51 2954.92 v wire38660/Y (BUFx16f_ASAP7_75t_R)\r\n 35.89 12.99 79.77 3034.69 v wire38659/Y (BUFx16f_ASAP7_75t_R)\r\n 22.28 85.03 140.07 3174.76 v coreplex/RocketTile/core/issue_unit/_269131_/Y (OR5x2_ASAP7_75t_R)\r\n 19.01 90.04 60.93 3235.68 ^ coreplex/RocketTile/core/issue_unit/_269142_/Y (NAND2x2_ASAP7_75t_R)\r\n 20.82 90.26 51.26 3286.94 v coreplex/RocketTile/core/issue_unit/_269143_/Y (NOR2x2_ASAP7_75t_R)\r\n 35.14 12.05 33.28 3320.22 v wire24102/Y (BUFx16f_ASAP7_75t_R)\r\n 33.10 11.29 41.40 3361.61 v wire24083/Y (BUFx16f_ASAP7_75t_R)\r\n 29.12 14.90 61.34 3422.95 v load_slew24082/Y (BUFx16f_ASAP7_75t_R)\r\n 31.09 10.99 46.17 3469.12 v wire24081/Y (BUFx16f_ASAP7_75t_R)\r\n 16.48 52.91 85.35 3554.47 v coreplex/RocketTile/core/issue_unit/_278544_/Y (AO221x2_ASAP7_75t_R)\r\n 18.08 10.15 24.42 3578.89 v max_length21791/Y (BUFx12f_ASAP7_75t_R)\r\n 54.17 10.36 52.16 3631.05 v wire21790/Y (BUFx16f_ASAP7_75t_R)\r\n 42.51 26.50 142.12 3773.17 v load_slew21778/Y (BUFx16f_ASAP7_75t_R)\r\n 38.09 19.34 57.85 3831.03 v load_slew21765/Y (BUFx16f_ASAP7_75t_R)\r\n 29.49 16.21 48.37 3879.40 v load_slew21700/Y (BUFx16f_ASAP7_75t_R)\r\n 43.19 11.84 45.39 3924.78 v wire21699/Y (BUFx16f_ASAP7_75t_R)\r\n 40.84 18.42 84.04 4008.82 v wire21698/Y (BUFx16f_ASAP7_75t_R)\r\n 36.06 17.99 53.37 4062.19 v load_slew21697/Y (BUFx16f_ASAP7_75t_R)\r\n 39.08 21.89 53.80 4115.98 v load_slew21696/Y (BUFx16f_ASAP7_75t_R)\r\n 43.10 11.64 47.83 4163.82 v load_slew21695/Y (BUFx16f_ASAP7_75t_R)\r\n 40.08 15.88 81.41 4245.22 v load_slew21693/Y (BUFx16f_ASAP7_75t_R)\r\n 40.76 20.80 70.49 4315.71 v load_slew21691/Y (BUFx16f_ASAP7_75t_R)\r\n 30.36 11.22 36.96 4352.67 v load_slew21690/Y (BUFx16f_ASAP7_75t_R)\r\n 29.99 20.00 50.34 4403.01 v load_slew21689/Y (BUFx16f_ASAP7_75t_R)\r\n 40.91 24.13 32.62 4435.62 v load_slew21687/Y (BUFx16f_ASAP7_75t_R)\r\n 40.36 22.55 44.44 4480.07 v load_slew21686/Y (BUFx16f_ASAP7_75t_R)\r\n 11.76 69.36 52.91 4532.98 ^ coreplex/RocketTile/core/regfile/_329511_/Y (NOR2x2_ASAP7_75t_R)\r\n 34.89 14.29 33.76 4566.74 ^ wire19613/Y (BUFx16f_ASAP7_75t_R)\r\n 32.33 10.92 50.89 4617.63 ^ wire19609/Y (BUFx16f_ASAP7_75t_R)\r\n 31.69 12.75 77.16 4694.79 ^ wire19599/Y (BUFx16f_ASAP7_75t_R)\r\n 37.76 13.54 90.35 4785.14 ^ wire19597/Y (BUFx16f_ASAP7_75t_R)\r\n 28.93 13.26 86.39 4871.53 ^ max_length19596/Y (BUFx16f_ASAP7_75t_R)\r\n 31.17 12.22 68.72 4940.24 ^ wire19594/Y (BUFx16f_ASAP7_75t_R)\r\n 0.71 33.28 72.42 5012.67 v coreplex/RocketTile/core/regfile/_342068_/Y (AOI22x1_ASAP7_75t_R)\r\n 0.97 9.54 21.96 5034.63 v coreplex/RocketTile/core/regfile/_342069_/Y (AND3x1_ASAP7_75t_R)\r\n 18.10 56.13 45.06 5079.69 v coreplex/RocketTile/core/regfile/_342081_/Y (OA33x2_ASAP7_75t_R)\r\n 19.07 73.55 111.25 5190.94 v coreplex/RocketTile/core/regfile/_342126_/Y (OR5x2_ASAP7_75t_R)\r\n 6.86 23.60 63.22 5254.16 v coreplex/RocketTile/core/regfile/_342217_/Y (AO31x2_ASAP7_75t_R)\r\n 20.37 9.97 23.32 5277.48 v wire4635/Y (BUFx12f_ASAP7_75t_R)\r\n 1.66 11.78 59.50 5336.99 v coreplex/RocketTile/core/register_read/_12036_/Y (OA22x2_ASAP7_75t_R)\r\n 0.69 7.18 15.49 5352.48 v coreplex/RocketTile/core/register_read/_12039_/Y (AO21x1_ASAP7_75t_R)\r\n 7.18 0.01 5352.49 v coreplex/RocketTile/core/register_read/_17028_/D (DFFLQNx2_ASAP7_75t_R)\r\n 5352.49 data arrival time\r\n\r\n 0.00 7500.00 7500.00 clock clock' (fall edge)\r\n 0.00 7500.00 clock network delay (ideal)\r\n 0.00 7500.00 clock reconvergence pessimism\r\n 7500.00 v coreplex/RocketTile/core/register_read/_17028_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n -9.79 7490.21 library setup time\r\n 7490.21 data required time\r\n-----------------------------------------------------------------------\r\n 7490.21 data required time\r\n -5352.49 data arrival time\r\n-----------------------------------------------------------------------\r\n 2137.73 slack (MET)\r\n\r\n```\r\n"}, {"user": "There is something very wrong with the megaboom RTL as it stands. There's no way the RTL, as it stands, can get to 1GHz with dozens of levels of logic in Yosys without some heavy rewriting on Yosys' part...\r\n\r\nIn particular, retiming is mentioned: https://docs.boom-core.org/en/latest/sections/physical-realization.html#register-retiming\r\n\r\nIt is possible that the above path could be fixed by breaking it into several pipeline stages with retiming, but I don't have details to know...\r\n\r\nHere is an unanswered post that pointed me to some issues...\r\n\r\nhttps://groups.google.com/g/riscv-boom/c/ief9H6WA6sQ\r\n\r\n"}, {"user": "abk@ucsd.edu wrote about megaboom and retiming... https://www.tauworkshop.com/2019/slides/TAU2019-Kahng-keynote-final-posted.pdf"}]} +{"num": 3922, "messages": [{"user": "### Describe the bug\n\n[io_placement.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12464784/io_placement.zip)\r\n\r\n```\r\n$ ./run-me-megaboom_RegisterRead-asap7-base.sh \r\nvars-megaboom_RegisterRead-asap7-base.sh: line 11: export: `=./results/asap7/megaboom_RegisterRead/base/ ./scripts/gui.tcl': not a valid identifier\r\nOpenROAD v2.0-10016-g5e28cea35 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nFound 0 macro blocks.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0001] Number of slots 2984\r\n[INFO PPL-0002] Number of I/O 7710\r\n[INFO PPL-0003] Number of I/O w/sink 7710\r\n[INFO PPL-0004] Number of I/O w/o sink 2359\r\n/usr/include/c++/11/bits/stl_vector.h:1045: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](std::vector<_Tp, _Alloc>::size_type) [with _Tp = int; _Alloc = std::allocator; std::vector<_Tp, _Alloc>::reference = int&; std::vector<_Tp, _Alloc>::size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x000055F374D8BD1B in openroad\r\n 1# 0x00007FEDA0842520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x000055F374D89F28 in openroad\r\n 6# ppl::SimulatedAnnealing::randomAssignment() in openroad\r\n 7# ppl::SimulatedAnnealing::run(float, int, int, float, bool) in openroad\r\n 8# ppl::IOPlacer::runAnnealing(bool) in openroad\r\n 9# 0x000055F374EE26E7 in openroad\r\n10# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# 0x00007FEDA4CEB028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n15# ord::tclAppInit(Tcl_Interp*) in openroad\r\n16# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# main in openroad\r\n18# 0x00007FEDA0829D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n19# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n20# _start in openroad\r\n./run-me-megaboom_RegisterRead-asap7-base.sh: line 7: 137562 Aborted (core dumped) openroad -no_init ./scripts/io_placement_random.tcl\r\n```\r\n\n\n### Expected Behavior\n\nNo crash\n\n### Environment\n\n```shell\nOpenROAD v2.0-10016-g5e28cea35\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@eder-matheus FYI"}]} +{"num": 3924, "messages": [{"user": "### Describe the bug\r\n\r\nUnrelated to gate cloning (did not find any other places where nets with connections were deleted so far). Code to see floating terms:\r\n\r\n```\r\nforeach iterm [[ord::get_db_block] getITerms] {\r\n if { [ $iterm getIoType ] == \"INPUT\" && [ $iterm getNet ] == \"NULL\" } {\r\n\tputs \"Floating iterm: [[$iterm getInst] getName]/[[$iterm getMTerm] getName]\"\r\n }\r\n}\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo floating terms. \r\n\r\n### Environment\r\n\r\n```shell\r\nNot needed\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nsrc/rsz/test/repair_fanout7.tcl with code to dump floating iterms at the end of the script.\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nN/A\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "cc: @gadfort @maliberty : To track the issue of floating terms not related to gate cloning. "}, {"assistant": "Appears to be resolved by #3926"}]} +{"num": 3928, "messages": [{"user": "### Describe the bug\r\n\r\n[WARNING DRT-0418] Term inst143924/Q1[3] has no pins on routing grid\r\n\r\nbut the pin is accessible from at least 6 routing tracks\r\n\r\n\"image\"\r\n\r\n\r\n### Expected Behavior\r\n\r\nno warning\r\n\r\n### Environment\r\n\r\n```shell\r\nM1 MacBook Ventura\r\nOpenROAD v2.0-10072-g70db1404a (Aug 29 2023)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nread_lef ispd19_test6/ispd19_test6.input.lef.gz\r\nread_def ispd19_test6/ispd19_test6.input.def.gz\r\nset_thread_count 8\r\nset_routing_layers -signal Metal2-Metal9 -clock Metal2-Metal9\r\nset_global_routing_layer_adjustment Metal2-Metal9 0.5\r\n#global_route -verbose\r\nread_guides ispd19_test6/ispd19_test6.guide \r\ndetailed_route -output_drc 5_route_drc.rpt -verbose 1\r\nwrite_db route.db\r\nexit\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I think the problem is that the warning is issued when the center of a pin doesn't lie on a routing track. The warning doesn't change any behavior of detailed route so it can safely be ignored, but it indicates that the check needs to be refined a little more."}, {"assistant": "PR #3961 is merged and solves this issue.\r\nDuplicated the issue before the fix and confirmed the warnings are gone with the fix."}]} +{"num": 3933, "messages": [{"user": "### Description\n\nImprove error message. What is a \"section\" and how does it relate to floorplan area?\r\n\r\n\n\n### Suggested Solution\n\nThe error message should give some actionable advice, like \"increase area such that width + height is n% greater\" or somesuch.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Is this with the old ppl?"}, {"user": "> Is this with the old ppl?\r\n\r\nYes. The error is correct, the area is too small(not enough space on the edges).\r\n\r\nThis feature request is about.making the error more immediately actionable."}, {"assistant": "@oharboe Could you share the complete PPL log? I want to understand the flow of messages you're having in this design."}, {"user": "I will reopen if I have the complete logs."}]} +{"num": 3936, "messages": [{"user": "### Describe the bug\r\n\r\nUse `make tapcell_issue` [archive](https://drive.google.com/file/d/1PjqyemlycfRku4lQeTZuIaW5oi7kYzQa/view?usp=sharing)\r\n\r\n```\r\n./run-me-megaboom-asap7-base.sh\r\nOpenROAD v2.0-10090-g067d1f4be \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO-FLOW] Tap and End Cap cell insertion\r\n[INFO-FLOW] TAP Cell : TAPCELL_ASAP7_75t_R\r\n[INFO-FLOW] ENDCAP Cell : TAPCELL_ASAP7_75t_R\r\n[INFO-FLOW] Halo Around Macro : 2 2\r\n[INFO-FLOW] TAP Cell Distance : 25\r\n[INFO ODB-0303] The initial 119565 rows (-1535139212 sites) were cut with 18 shapes for a total of 153977 rows (-1894716766 sites).\r\n[INFO TAP-0004] Inserted 239130 endcaps.\r\n```\r\n\r\nI set CORE_UTILIZATION=0.5 as a first guess and I got this result, which was surprising... The die area ended up very large... 1024mm^2 or so...\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/9ed86262-bb12-4af3-a91a-36ca869b049f)\r\n\r\nLower right corner:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/51553905-5ec9-4d2c-b3b2-5e85448f2a7d)\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\ncomplete in a reasonable amount of time\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-10090-g067d1f4be\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe \r\nThe core area seems very high.\r\n```\r\n>>> ord::get_core_area\r\n2.052 2.16 32284.764 32284.71\r\n```\r\n`CORE_UTILIZATION=0.5` this will increase die size. Normally `30-60` reasonable for automatic die size calculation.\r\n\r\nI have seen such cases, if users give big die size more than 10k micron, tapcell insertion takes too long."}, {"user": "> `CORE_UTILIZATION=0.5` this will increase die size. Normally `30-60` reasonable for automatic die size calculation.\r\n\r\nActually, the design I'm working on has pretty much only macros at the top level. CORE_UTILIZATION misbehaves in this case, giving very, very large die area, even for 0.99 :-)\r\n\r\n> I have seen such cases, if users give big die size more than 10k micron, tapcell insertion takes too long.\r\n\r\n\r\nThis github issue is a bug report(or perhaps feature request rather) to fix error messages. There is some sort of overflow, see \"(-1535139212 sites)\" in the error message.\r\n\r\n\r\n"}, {"assistant": "Would you make an example test case for the ifp issue?"}, {"assistant": "Note that\r\n```\r\n| `-utilization` | Percentage utilization. Allowed values are `double` in the range `(0-100]`.\r\n```\r\n0.99 is still very low (0.99% not 99%)."}, {"user": "> Note that\r\n> \r\n> ```\r\n> | `-utilization` | Percentage utilization. Allowed values are `double` in the range `(0-100]`.\r\n> ```\r\n> \r\n> 0.99 is still very low (0.99% not 99%).\r\n\r\ndoh! I saw it next to place density :-) place density is between 0 and 1, not percent. Therefore I mixed things up and attributed it to behavior of core_utilization when there are nearly only macros top level."}, {"user": "> Would you make an example test case for the ifp issue?\r\n\r\nifp?"}, {"assistant": "Ifp is initialize floorplan. However with your misunderstanding I don't think it matters anymore"}, {"assistant": "Is this still an issue? The problem with tapcell here is that it needs to place cells in more than 150k rows, each row with almost 600k sites. This seems like a lot, but I don't know if we can have a real design with such large dimensions."}, {"user": "> Is this still an issue? The problem with tapcell here is that it needs to place cells in more than 150k rows, each row with almost 600k sites. This seems like a lot, but I don't know if we can have a real design with such large dimensions.\r\n\r\nThe design is not real, it is a mistake in floorplanning w.r.t. units.\r\n\r\nIf it isnt worth fixing the overflow in the error message, then I would just close the issue.\r\n\r\nPerhaps a clearer error message for such floorplanning mistakes could be worthwhile."}, {"assistant": "@eder-matheus on `initialize_floorplan` it probably would have been good to generate an error when the computed area overflowed (it looks like it might have since the width is ~2^15)"}, {"assistant": "> @eder-matheus on `initialize_floorplan` it probably would have been good to generate an error when the computed area overflowed (it looks like it might have since the width is ~2^15)\r\n\r\nIt is not overflowing since we represent the die area with std::int64_t. The value is huge, tho: 1042437131372025.\r\nI will add an error message in the cutRows code to detect the overflow when calculating the total number of sites."}]} +{"num": 3938, "messages": [{"user": "### Description\n\nThis is the output from mpl2.\r\n\r\nSurely not all of this is relevant and actionable for users?\r\n\r\nImportant information, like `\tArea of macros with halos : 5155.80` is buried.\r\n\r\n```\r\n\r\nOpenROAD v2.0-10094-g9b66d243f \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nHierRTLMP Flow enabled...\r\nCall Macro Placer -halo_width 10 -report_directory build//objects/[deleted]/base/rtlmp\r\nFloorplan Outline: (0.0, 0.0) (120.0, 180.0), Core Outline: (0, 0) (119, 179)\r\nTraversed logical hierarchy\r\n\tNumber of std cell instances : 7839\r\n\tArea of std cell instances : 811.97\r\n\tNumber of macros : 4\r\n\tArea of macros : 2615.00\r\n\tArea of macros with halos : 5155.80\r\n\tTotal area : 3426.98\r\n\tDesign Utilization : 0.16\r\n\tCore Utilization: 0.04\r\n\tManufacturing Grid: 1\r\n\r\nReset number of levels to 1\r\nReset macro_blockage_weight : 50.0\r\nnum level: 1, max_macro: 5, min_macro: 1, max_inst:5000, min_inst:1000\r\narea_weight_ = 0.1\r\noutline_weight_ = 100.0\r\nwirelength_weight_ = 100.0\r\nguidance_weight_ = 10.0\r\nfence_weight_ = 10.0\r\nboundary_weight_ = 50.0\r\nnotch_weight_ = 10.0\r\nmacro_blockage_weight_ = 50.0\r\nhalo_width_ = 10.0\r\nhalo_height_ = 10.0\r\nbus_planning_flag_ = false\r\n\r\nCreate Data Flow\r\n\r\nPerform Clustering..\r\n\r\nBreak mixed clusters into std cell and macro clusters.\r\n\r\nPrint Physical Hierachy Tree after splitting std cell and macros in leaf clusters\r\n\r\nroot (0) num_macro : 4 num_std_cell : 7839 macro_area : 2615.003 std_cell_area : 811.97314\r\n\r\n+---L0 (1) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L1 (2) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---L2 (3) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T0 (4) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---T1 (5) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R0 (7) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R1 (8) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---R2 (9) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B1 (11) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---B2 (12) num_macro : 0 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\n+---ComputeElementMemory (13) num_macro : 0 num_std_cell : 5 macro_area : 0.0 std_cell_area : 0.30618003\r\n\r\n+---alu (14) num_macro : 0 num_std_cell : 1656 macro_area : 0.0 std_cell_area : 183.51816\r\n\r\n+---ce_1 (15) num_macro : 0 num_std_cell : 5202 macro_area : 0.0 std_cell_area : 557.028\r\n\r\n+---(root)_glue_logic (16) num_macro : 0 num_std_cell : 976 macro_area : 0.0 std_cell_area : 71.12077\r\n\r\n+---\r\n[deleted]\r\nnum_macro : 4 num_std_cell : 0 macro_area : 0.0 std_cell_area : 0.0\r\n\r\nDetermine shaping function for clusters -- Macro Tilings.\r\n\r\nCreating the pin blockage for root cluster\r\nPerform Multilevel macro placement...\r\n[MultiLevelMacroPlacement] working on children of cluster root\r\n[MultiLevelMacroPlacement] lx = 0.486, ly = 0.54, ux = 119.016, uy = 178.74\r\n[MultiLevelMacroPlacement] Finish calculating connection\r\n[MultiLevelMacroPlacement] Finish updating dataflow\r\nFinish creating bundled connections\r\n[MultiLevelMacroPlacement] Start Simulated Annealing Core\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 1, target_util = 0.25, target_dead_space = 0.05\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 2, target_util = 0.25, target_dead_space = 0.1\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 3, target_util = 0.25, target_dead_space = 0.15\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 4, target_util = 0.25, target_dead_space = 0.2\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 5, target_util = 0.25, target_dead_space = 0.25\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 6, target_util = 0.25, target_dead_space = 0.3\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 7, target_util = 0.25, target_dead_space = 0.35000002\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 8, target_util = 0.25, target_dead_space = 0.4\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 9, target_util = 0.25, target_dead_space = 0.45000002\r\n[MultiLevelMacroPlacement] Finish generating shapes for children of cluster root\r\nsa_id = 10, target_util = 0.25, target_dead_space = 0.5\r\n[MultiLevelMacroPlacement] Finish Simulated Annealing Core\r\n[MultiLevelMacroPlacement] Finish Simulated Annealing for cluster root\r\n\r\n[Hier-RTLMP::HardMacroClusterMacroPlacement] Place macros in cluster: ComputeElementMemory/SramBridge/SRAM2RW16x32||ComputeElementMemory/SramBridge/SRAM2RW16x32_1||ComputeElementMemory/SramBridge/SRAM2RW16x32_2||ComputeElementMemory/SramBridge/SRAM2RW16x32_3\r\nAlign macros within the cluster root\r\nboundary_h_th : 18.864, boundary_v_th : 54.656\r\nnotch_h_th : 23.58, notch_v_th : 68.32\r\nnumber of updated macros : 4\r\nnumber of macros in HardMacroCluster : 4\r\nDelete buffers for RTLMP flow...\r\n[INFO RSZ-0026] Removed 0 buffers.\r\nElapsed time: 0:10.12[h:]min:sec. CPU time: user 72.84 sys 0.03 (720%). Peak memory: 214296KB.\r\n```\n\n### Suggested Solution\n\nConvert to debug level logging those parts which aren't relevant for the user and move important information to the bottom, close to any error messages.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Its vastly too noisy - the output is from the original development."}]} +{"num": 3945, "messages": [{"user": "### Describe the bug\n\nunzip and run, this opens the GUI with the design, close the OpenROAD GUI window.\r\n[gui_crash.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12523114/gui_crash.zip)\r\n\r\n\r\n\r\n```\r\n$ ./run-me-gcd-nangate45-base.sh \r\nOpenROAD v2.0-10101-g6e6cd3fad \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nLoading spef\r\n[WARNING GUI-0066] Heat map \"IR Drop\" has not been populated with data.\r\npure virtual method called\r\nterminate called without an active exception\r\nSignal 6 received\r\nStack trace:\r\n[ERROR GUI-0077] QThread: Destroyed while thread is still running\r\nterminate called recursively\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x000055D1EB82801A in openroad\r\n 1# 0x00007F1D9103C4B0 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007F1D914A4EE6 in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# 0x00007F1D914B6E9C in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 7# 0x00007F1D914B6F07 in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 8# 0x00007F1D914B7C35 in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 9# gui::RenderThread::getColor(odb::dbTechLayer*) in openroad\r\n10# gui::RenderThread::drawInstanceShapes(odb::dbTechLayer*, QPainter*, std::vector > const&, odb::Rect const&, gui::GuiPainter&) in openroad\r\n11# gui::RenderThread::drawLayer(QPainter*, odb::dbBlock*, odb::dbTechLayer*, std::vector > const&, odb::Rect const&, gui::GuiPainter&) in openroad\r\n12# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in openroad\r\n13# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in openroad\r\n14# gui::RenderThread::run() in openroad\r\n15# 0x00007F1D920CCCC7 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n16# 0x00007F1D9108F18A in /lib/x86_64-linux-gnu/libc.so.6\r\n17# 0x00007F1D9111DBD0 in /lib/x86_64-linux-gnu/libc.so.6\r\n./run-me-gcd-nangate45-base.sh: line 8: 200456 Aborted (core dumped) openroad -gui -no_init ${SCRIPTS_DIR}/gui.tcl\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/55078ed1-4437-4cc1-8abf-a80c1c4e9198)\r\n\n\n### Expected Behavior\n\nno crash\n\n### Environment\n\n```shell\nOpenROAD v2.0-10101-g6e6cd3fad\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@gadfort @maliberty Bisecting:\r\n\r\n```\r\n$ git bisect good\r\n18ddd0fa41bdd53e653a592d56e7602903471956 is the first bad commit\r\ncommit 18ddd0fa41bdd53e653a592d56e7602903471956\r\nAuthor: Peter Gadfort \r\nDate: Mon Sep 4 16:19:58 2023 -0400\r\n\r\n gui: restore gui hide behavior\r\n \r\n Signed-off-by: Peter Gadfort \r\n\r\n src/gui/src/gui.cpp | 8 ++++----\r\n src/gui/src/mainWindow.cpp | 1 +\r\n 2 files changed, 5 insertions(+), 4 deletions(-)\r\n```"}, {"assistant": "@maliberty I would suggest reverting the change I made. I still think it's \"more\" correct, but this seems to have broken our CI and recreated the crashing issue. It will take some time for me to dig through the correct behavior we need."}, {"assistant": "@oharboe \r\nis that local or docker based installation?\r\nIn Centos tried, no crash\r\n```\r\n./run-me-gcd-nangate45-base.sh \r\nQStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-vijayan'\r\nOpenROAD v2.0-10101-g6e6cd3fad \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nLoading spef\r\n```"}, {"user": "> @oharboe is that local or docker based installation?\r\n\r\nI only tried local install on ubuntu 23. It looks like @gadfort knows what is going on here."}, {"assistant": "> > @oharboe is that local or docker based installation?\r\n> \r\n> I only tried local install on ubuntu 23. It looks like @gadfort knows what is going on here.\r\n\r\nPlz mention the OS name part of environment, so it will be understood. Thanks"}]} +{"num": 3950, "messages": [{"user": "### Describe the bug\n\nWhen I am running the command `partition_gcd.tcl`, it errors out with `Killed` error.\r\n\r\nOutput matches perfectly of this ground truth file until L4650 of this [log file](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/par/test/partition_gcd.ok). \r\n\r\nDoes anyone know what are the memory requirements to run this test?\n\n### Expected Behavior\n\nShould complete without errors. \n\n### Environment\n\n```shell\nUsing OpenROAD binary build from Precision Innovations 2023-09-05 in Ubuntu 22.04. OpenROAD using \r\n\r\nOpenROAD binary commit `6e6cd3fadce83be5c8ec345799cce89de20c498d` \r\n\r\nOpenROAD commit `3ab16ae0755a94277a0f79074d4d5ca755b33595`\n```\n\n\n### To Reproduce\n\n- Start docker image `docker run -it --platform linux/amd64 ubuntu:22.04`\r\n- Install OpenROAD binary from https://github.com/Precision-Innovations/OpenROAD/releases\r\n- Clone OpenROAD and cd into par. \r\n- Run par test: `openroad partition_gcd.tcl`\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n\"image\"\r\n\n\n### Additional Context\n\n_No response_"}, {"assistant": "@luarss \r\nCheck your physical RAM usage. Use `htop` in new tab and `openroad > source partition_gcd.tcl` in another tab, check the memory usage.\r\n\r\nNot facing any issue with Ubuntu20.04 and 16gb RAM\r\n```\r\n[INFO PAR-0143] Total number of timing paths = 52\r\n[INFO PAR-0144] Total number of timing-critical paths = 1\r\n[INFO PAR-0145] Total number of timing-noncritical paths = 51\r\n[INFO PAR-0146] The worst number of cuts on timing-critical paths = 1\r\n[INFO PAR-0147] The average number of cuts on timing-critical paths = 1.0\r\n[INFO PAR-0148] Total number of timing-noncritical to timing critical paths = 32\r\n[INFO PAR-0149] The worst number of cuts on timing-non2critical paths = 2\r\n[INFO PAR-0150] The average number of cuts on timing-non2critical paths = 1.28125\r\n===============================================\r\nExiting TritonPart\r\nWriting partition to verilog.\r\nNo differences found.\r\nNo differences found.\r\nopenroad> \r\n```"}, {"user": "Yes, confirmed it is a RAM issue. Do you think we should update the minimum specifications in docs?"}, {"assistant": "If user has 8GB RAM with windows and using WSL with docker based means, only 4GB RAM shared with WSL/VM.\r\nSo mostly memory issue will be there."}, {"assistant": "> If user has 8GB RAM with windows and using WSL with docker based means, only 4GB RAM shared with WSL/VM. So mostly memory issue will be there.\r\n\r\n@luarss, this is an important thing to warn the users when using docker. They must ensure that the docker container/VM has access to the minimum required CPU/RAM."}]} +{"num": 3964, "messages": [{"user": "### Describe the bug\n\nI try to implement a Face-to-face 3D flow. I use the Ibex core design as a base to develop it, I place all its IO pins on the top layer (Pad in ASAP7) but the detailled routing doesn't seem to converge towards an optimized solution with 0 violations. It always \"oscillates\" around a certain number of violations but never seems to tend to zero.\n\n### Expected Behavior\n\nDetailled routing finishing and optimization converging towards 0 violations.\n\n### Environment\n\n```shell\nGit commit: 972137093aa4850b04b51185956b51b8a28b2ccf\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\n```\n\n\n### To Reproduce\n\n[Test3D.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12552899/Test3D.zip)\r\n\r\nIn this zip file should be all the files needed to reproduce the issue. \r\nCreate a directory \"Test3D\" in _/OpenROAD/test/_, enter it, open the GUI and enter _source \"ibex3D_asap7_bottom.tcl\"_ in the GUI TCL command box.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@lustefan97 Thanks for the test case. Debugging it, I've noticed that some IO pins placed on the PAD layer are very close to each other in adjacent tracks:\r\n\"image\"\r\n\r\nI'm trying a different config for the define_pin_shape_pattern command. Can you try a larger x/y pitch?\r\nI'm running this config now:\r\n```\r\nset top_layer Pad\r\nset top_pin_size {0.04 0.04}\r\nset x_pitch 0.16\r\nset y_pitch 0.16\r\n```\r\n\r\nWith one track between each pin, I expect the detailed router will have more room to route those pins."}, {"assistant": "@lustefan97 I found a good setup for your test case, where the detailed route finishes in 4 iterations with zero DRCs.\r\n\r\nI suggest you using this configuration for global and detailed routing:\r\n\r\n```\r\n# Global Routing\r\nset global_routing_layers M2-M9\r\nset global_routing_clock_layers M4-M6\r\nset global_routing_layer_adjustments {{M1 0.5} {M2 0.5} {M3 0.5} {M4 0.5} {M5 0.5} {M6 0.5} {M7 0.5} {M8 0.5} {M9 0.5}}\r\n\r\n# Detailled Routing\r\nset min_routing_layer M2\r\nset max_routing_layer M9\r\n```\r\n\r\nBy excluding the layer `Pad` from the range, the router will connect to the pins from below without any wires being created in this layer. Without wires in this layer, fewer DRCs are created during the iterations. If I remember correctly, this layer should not have signal routing.\r\n\r\nAlso, I've increased the `x_pitch` and `y_pitch` to 0.32 because smaller values will always cause spacing violations:\r\n\r\n```\r\nset top_layer Pad\r\nset top_pin_size {0.04 0.04}\r\nset x_pitch 0.32\r\nset y_pitch 0.32\r\n```\r\n\r\nI suggest you look at the pin size to ensure the minimum area of the pin is correct. I think it needs to be larger, but I'm not 100% sure."}, {"user": "@eder-matheus Sorry for the late response, I was testing larger pitch between pins (with the old setup). It indeed resulted in lower DRCs but still never converged. \r\n\r\nI have a few questions (some due to being a beginner, I work on this for my master thesis) : \r\n\r\n1. Why do we route only from M2 to M9 and not take into account M1 and Pad layers ? How will the tool handle M1 then ?\r\n2. I tried the setup that you gave me (without updating OpenROAD yet) and it crashed due to some stacked vias. Do those stacked vias are in a configuration going, for example, \"M2-V3-M3-V4-M4-V5-etc\" ? Is this what has been fixed, to make the tool capable of making a long vertical via towards the IO pins on the TOP layer (by stacking vias and metal layer on the same (x,y) position) even if they are multiple layers above the maximum ROUTING layer (so say the maximum routing layer is M7, the tool will stack vias and metal layer to connect IOs on the Pad layer ? Was the tool not capable of handling that before ? Just fyi, here is the log I had (again keep in mind, OpenROAD was not yet updated) : \r\n``` \r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000565128B2AF7B in openroad\r\n 1# 0x00007F087162E520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# triton_route::TritonRoute::stackVias(odb::dbBTerm*, int, int) in openroad\r\n 3# triton_route::TritonRoute::processBTermsAboveTopLayer() in openroad\r\n 4# triton_route::TritonRoute::initDesign() in openroad\r\n 5# triton_route::TritonRoute::pinAccess(std::vector >) in openroad\r\n 6# pin_access_cmd(char const*, char const*, char const*, int, int) in openroad\r\n 7# 0x000056512934FFAD in openroad\r\n 8# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 9# 0x00007F08754C6028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# gui::TclCmdInputWidget::executeCommand(QString const&, bool, bool) in openroad\r\n13# gui::CmdInputWidget::handleEnterKeyPress(QKeyEvent*) in openroad\r\n14# gui::TclCmdInputWidget::keyPressEvent(QKeyEvent*) in openroad\r\n15# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n16# QFrame::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n17# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n18# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n19# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n20# 0x00007F0872F2902F in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n21# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n22# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n23# QGuiApplicationPrivate::processKeyEvent(QWindowSystemInterfacePrivate::KeyEvent*) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n24# QWindowSystemInterface::sendWindowSystemEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n25# 0x00007F086DDE6D6E in /lib/x86_64-linux-gnu/libQt5XcbQpa.so.5\r\n26# g_main_context_dispatch in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n27# 0x00007F0870CCA258 in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n28# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n29# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n30# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n31# QCoreApplication::exec() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n32# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n33# ord::tclAppInit(Tcl_Interp*) in openroad\r\n34# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n35# main in openroad\r\n36# 0x00007F0871615D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n37# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n38# _start in openroad\r\nSegmentation fault\r\n```\r\n3. I indeed, per default, choose to make pins have the minimum size, I will definitely try larger sizes in the future, and I will see what happens\r\n\r\nI will update OpenROAD and try again. I will do the same for the top die after that, if I have any issues I will come back to you ! Thank you !\r\n\r\nEDIT : I did a pull request to update OpenROAD on the url : _https://github.com/The-OpenROAD-Project/OpenROAD_ to update the tools, but OpenROAD still crash with the same logs as shown above. Has the fix been implemented ?"}, {"assistant": "@lustefan97 I will answer your questions below:\r\n\r\n1. The first routing layer usually has many shapes from the placed instances, making it hard to create wires. By setting the first usable routing layer as M2, we ensure that wires will not be created in this layer and access to the M1 pins will be made only through vias. Similarly, we don't want wires in the Pad layers, and the access will be made only through vias.\r\n\r\n2. I'm working to fix the crash. I have an open PR to fix it, but I'm also looking at a last issue related to your test case. I hope to have it on master in the next couple of hours. But the crash is related to not having a default via defined for the layer V0. The stack vias process looks for the default vias in every cut layer, but we needed a check for layers that don't have it, leading to this crash. My PR also fixes other bugs besides the crash.\r\nAnd yes, the tool will stack vias from the max routing layer defined to the pin layer. So if you define the max routing layer as M7, it will have a stack of vias M7-M8-M9-Pad, and the routing will connect to the M7 shape of the via.\r\n\r\nI'll let you know when the fix is merged."}, {"assistant": "@lustefan97 Could you try your test case again with the latest master branch? My fixes were just merged."}, {"user": "@eder-matheus I just tried my test case and it worked nicely ! \r\n\r\nI do though have another problem seemingly related to the V0 default vias... This test case was for the bottom die. Now I tried to run a flow for the top die (which is basically a copy/paste of the bottom with a few differences : I manually place the IO pins based on the mirrored positions from the bottom that I recover using a file), multiples warnings are raised about V0 vias and I then run into pin access issues...\r\n\r\nDo I open another issue for this ? I have the exact same setup, I just have a few more/modified lines of code related to the pin placement (open/read/close file, store them into a variable and place them)"}, {"assistant": "@ lustefan97 It would be nice to have a different issue for your new problem. If possible, attach a new reproducible and the log files of your problem."}, {"user": "Perfect I'll do that !"}]} +{"num": 3967, "messages": [{"user": "### Describe the bug\n\nAfter DRT the flow claims that the database is DRC clean despite numerous min spacing violations. \r\nAs can be seen on the attached screenshot, the wide M1 VDD stripe is too close (less than 0.23) to the VDD wire in the standard cell. This should had been flagged as a violation.\r\n\n\n### Expected Behavior\n\nThe min spacing violation should be flagged \n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.17.3\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Check for working CXX compiler: /usr/bin/c++\r\n-- Check for working CXX compiler: /usr/bin/c++ - works\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10105-g3ab16ae07\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Check for working C compiler: /usr/bin/cc\r\n-- Check for working C compiler: /usr/bin/cc - works\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3 (found version \"3.10.12\") found components: Interpreter\r\n-- Looking for pthread.h\r\n-- Looking for pthread.h - found\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMCMake Error at /usr/local/share/cmake-3.17/Modules/FindPackageHandleStandardArgs.cmake:164 (message):\r\n Could NOT find Python3 (missing: Python3_INCLUDE_DIRS Development) (found\r\n version \"3.8\")\r\nCall Stack (most recent call first):\r\n /usr/local/share/cmake-3.17/Modules/FindPackageHandleStandardArgs.cmake:445 (_FPHSA_FAILURE_MESSAGE)\r\n /usr/local/share/cmake-3.17/Modules/FindPython/Support.cmake:2437 (find_package_handle_standard_args)\r\n /usr/local/share/cmake-3.17/Modules/FindPython3.cmake:309 (include)\r\n src/CMakeLists.txt:169 (find_package)\r\n\r\n\r\nPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.78.0/BoostConfig.cmake (found version \"1.78.0\")\r\n-- boost: 1.78.0\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nUse the following tar archive to recreate the issue. \r\nhttps://drive.google.com/file/d/1-ttQAO9keKGJEUyxkhx97PjMXQOQ8fJh/view?usp=drive_link\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n![DRC_M1 2_viol](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56942214/41202b52-046d-4c21-85e6-5d56787bbf8b)\r\n\n\n### Additional Context\n\n_No response_"}, {"assistant": "DRT only does checking for routed nets against other nets (e.g. signal to signal, signal to power). It does not check power to power DRC nor power to ITerm. Adding checks for those would likely be a nontrivial refactor because GC (the DRC engine) would have to be invoked outside of the detailed routing loop. I think this request has been brought up in the past but it hasn't made it to the front of the development queue."}, {"assistant": "It is a router and not a general purpose DRC tool. It only looks at the shapes it creates and not pre-existing ones for correctness as it can't do anything about such."}, {"user": "Make sense given the existence of tools such as KLayout. I am trying to create `.lydrc` and `.lylvs` in order to setup KLayout to run DRC and LVS. Please let me know if you have any pointers on how to generate these files. \r\n"}, {"assistant": "For public PDKs you can readily find preexisting KLayout files. For creating your own files for a private PDK, it can be difficult and time consuming. You'll need to look at the DRC manual and/or the proprietary DRC deck the foundry provides and then manually translate it yourself.\r\n\r\nFor syntax, etc, you can look at the KLayout documentation."}]} +{"num": 3982, "messages": [{"user": "### Describe the bug\n\nThere are two caravel user project designs with multiple power domains. Both have a single macro inside. Also in both cases this macro is connected to a single power domain. However only one design is failing during `analyze_power_grid` with the following error `Unable to connect macro/pad Instance mprj to the power grid`.\n\n### Expected Behavior\n\nI suppose either both should work or both fail. It would be helpful to understand why one design fails and the other work.\n\n### OpenROAD Environment\n\n```shell\nGit commit: 5a27687a8c8c5193555bf98395a0a4cdaca3cbd3\r\nkernel: Linux 5.15.0-83-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10236-g5a27687a8\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format -CMake Warning at src/CMakeLists.txt:233 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: f4e526d05d38ee32b37fb9e439b2b71fd326f151\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.NhYwg7Gceu\n```\n\n\n### OpenLane Environment\n\n```shell\nKernel: Linux v5.15.0-83-generic\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.12 (OK)\r\nContainer Engine: docker v20.10.22 (OK)\r\nOpenLane Git Version: 7e5a2e9fb274c0a100b4859a927adce7089455ff\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n7e5a2e9f 2023-09-10T19:06:55+03:00 fix synthesis `chparam` issue (#1981) - Kareem Farid - (HEAD -> master, origin/master)\r\n0e8827eb 2023-09-10T19:04:45+03:00 `run_sta`: fix `-blackbox_check` not running when `-multi_corner` is not set (#1980) - Kareem Farid - ()\r\n023f6673 2023-09-10T15:47:28+03:00 Fix issue where `BASE_SDC_FILE` could not be overridden properly (#1979) - Mohamed Gaber - ()\r\n---\r\nGit Remotes\r\n\r\ndonn\tgit@github.com:donn/openlane.git (fetch)\r\ndonn\tgit@github.com:donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\n```\n\n\n### To Reproduce\n\nWorking test case:\r\n[issue_reproducible.partaa.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12596546/issue_reproducible.partaa.tar.gz)\r\n[issue_reproducible.partab.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12596549/issue_reproducible.partab.tar.gz)\r\nMerge to a single archive and then uncompress `cat issue_reproducible.partaa.tar.gz issue_reproducible.partab.tar.gz > issue.tar.gz; tar xvf issue.tar.gz`\r\n\r\nNot working:\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12596602/issue_reproducible.tar.gz)\r\n\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-10236-g5a27687a8\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Reading ODB at './results/routing/user_project_wrapper.odb'\u2026\r\ndefine_corners Typical\r\nread_liberty -corner Typical pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib\r\n[INFO] Setting operating conditions to 'tt_025C_1v80'\u2026\r\nread_liberty -corner Typical home/karim/work/caravel_user_project/openlane/user_project_wrapper/../../lib/user_proj_example.lib\r\nUsing 1e-12 for capacitance...\r\nUsing 1e+03 for resistance...\r\nUsing 1e-09 for time...\r\nUsing 1e+00 for voltage...\r\nUsing 1e-03 for current...\r\nUsing 1e-09 for power...\r\nUsing 1e-06 for distance...\r\nReading design constraints file at 'home/karim/work/caravel_user_project/openlane/user_project_wrapper/base_user_project_wrapper.sdc'\u2026\r\n[INFO]: Creating clock {clk} for port wb_clk_i with period: 25\r\n[INFO]: Setting clock uncertainity to: 0.25\r\n[INFO]: Setting clock transition to: 0.15\r\n[INFO]: Setting maximum transition to: 1.5\r\n[INFO]: Setting maximum fanout to: 10\r\n[INFO]: Setting timing derate to: 5.0 %\r\n[INFO]: Setting clock latency range: 4.65 : 5.57\r\n[INFO]: Setting clock transition: 0.61\r\n[INFO]: Setting RC values...\r\n[INFO PSM-0022] Using 1.800V for vccd1\r\n[INFO PSM-0076] Setting metal node density to be standard cell height times 5.\r\n[INFO PSM-0031] Number of PDN nodes on net vccd1 = 1260.\r\n[INFO PSM-0064] Number of voltage sources = 4140.\r\n[INFO PSM-0040] All PDN stripes on net vccd1 are connected.\r\n########## IR report #################\r\nCorner: Typical\r\nWorstcase voltage: 1.80e+00 V\r\nAverage IR drop : 3.87e-08 V\r\nWorstcase IR drop: 2.85e-07 V\r\n######################################\r\n[INFO PSM-0022] Using 1.800V for vccd2\r\n[INFO PSM-0076] Setting metal node density to be standard cell height times 5.\r\n[INFO PSM-0031] Number of PDN nodes on net vccd2 = 806.\r\n[ERROR PSM-0042] Unable to connect macro/pad Instance mprj to the power grid\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort do you have time to look at this?"}, {"assistant": "@maliberty probably not in the next couple of days (or more)"}, {"assistant": "I tried the 'not working' case but I get\r\n```\r\nread_liberty -corner Typical pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib\r\nError: irdrop.tcl, 15 Traceback (most recent call last):\r\n File \"home/karim/work/OpenLane/scripts/utils/get_default_operating_conditions.py\", line 18, in \r\n from libparse import LibertyParser\r\nModuleNotFoundError: No module named 'libparse'\r\n```\r\nplease fix the test case to be portable."}, {"user": "Re-uploaded both: \r\nWorking:\r\n[issue_reproducible.partaa.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12602256/issue_reproducible.partaa.tar.gz)\r\n[issue_reproducible.partab.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12602260/issue_reproducible.partab.tar.gz)\r\nNot working:\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12602265/issue_reproducible.tar.gz)\r\n\r\n"}, {"assistant": "In your passing test case you have an met4 blockage along with the power pins:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/47cd5725-e2db-4a55-b099-ed6a98f607e4)\r\n\r\nin not working you don't:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/cea13692-c843-4c19-83e7-4df39164ef36)\r\n\r\nWhat is the cause for the difference? In any case the problem seems to start in pdn and I would need a reproducer for that step to see what's going on. "}, {"user": "Here are reproducibles at pdn stage\r\nDesign A \"Working\": \r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12739931/issue_reproducible.tar.gz)\r\nDesign B:\r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12739939/issue_reproducible.tar.gz)\r\n\r\nThe reason for the difference is that in design B the macro has no signal routing on met4 so the generated lef has no obstructions over met4. "}, {"assistant": "@gadfort can you take a look? If not I'll look next week when I'm back"}, {"assistant": "@maliberty I probably dont have time this week. Looking at the pictures I would assume it's the overlapping obs and power pin that isn't handled correctly."}, {"assistant": "@maliberty Any updates on this issue? It's blocking caravel user project from updating to the latest openlane."}, {"assistant": "@gadfort do you have any time now? If not I'll look next week as I've got some items that need to be finished by end-Nov."}, {"assistant": "@maliberty I can take a look this afternoon"}, {"assistant": "@kareefardi when I rerun with your PDN stage files, I get vias to the macros in both examples (ie. everything is connected) and I checked with `check_power_grid` and they are both passing.\r\nI noticed the OpenROAD version you are using is fairly old `OpenROAD v2.0-10236-g5a27687a8`. Can try again with a new compile?"}, {"assistant": "@kareefardi nevermind, I misunderstood the issue. In the not working case, the vccd2 is not connected to anything, I think that is why PSM is complaining, that should be fixable."}, {"assistant": "In pdn or psm?"}, {"assistant": "PSM, pdn is connecting the macros correctly"}, {"assistant": "@gadfort will you fix that?"}, {"assistant": "@gadfort nvm - just saw the PR"}]} +{"num": 3985, "messages": [{"user": "### Description\n\nCurrently the OpenROAD GUI has a \"Scripting\" window which accepts TCL commands. TCL isn't a commonly known language and not that user friendly, while Python has become one of the most popular languages on the planet and is well praised for its user friendliness.\n\n### Suggested Solution\n\nIt would be **_really_** nice if you could select the \"Scripting\" window to be either the TCL or Python language.\n\n### Additional Context\n\n_No response_"}, {"assistant": "There is a start to this in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2762 but I don't think @gadfort has had any time for it recently."}, {"assistant": "@maliberty yeah, that fell through the cracks.\r\nBasically, there are a couple of issues:\r\n- the logging doesn't go through the logger, so that doesn't work right\r\n- exit and hide needs to be reworked to handle the GUI properly\r\n"}]} +{"num": 3998, "messages": [{"user": "### Describe the bug\n\nf(it) doesn't fit def DIEAREA ( -10000 -10000 ) ( 31000 10000 ) ;\r\n\r\npanning also doesn't take the lower left portion of the design into account.\n\n### Expected Behavior\n\nfit should also work for DIEAREA that are not ( 0 0 ) ( width height )\n\n### Environment\n\n```shell\nv2.0-10263-ga70c5128c (Sept 14 2023) \r\nM1 MacBook, compiled with mpl2 enabled.\n```\n\n\n### To Reproduce\n\n[test_origin.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12613506/test_origin.tgz)\r\n\r\nopenroad -gui origin.or\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/25827408/ed98dbf6-546e-487e-8e96-be8c226d1706)\r\n\n\n### Additional Context\n\n_No response_"}, {"user": "it also doesn't work well when the left bottom of the die area is larger then 0,0\r\n[test_origin_offset.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12614340/test_origin_offset.tgz)\r\n"}]} +{"num": 3999, "messages": [{"user": "### Description\n\nCurrently `save_image` has a `-resolution` argument which is microns per pixel. This means if you want an image a certain number of pixels wide, you have to calculate the value by first extracting the size of your design in microns and then working out a resolution value which gives you the right number of pixels.\r\n\r\nIt also took me a while to figure out it was microns per pixel and not pixels per micron and thus for 0.13 micron process I'm going to want a value very small number like `0.1` for a high resolution image (and **not** a big number like `10,000`).\n\n### Suggested Solution\n\nIt would be nice if you could just specify the image output width in pixels.\r\n\r\n`save_image -width 10000px` or `save_image -height 10000px`\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mithro Wouldn't it be better if we were to specify both dimensions instead of just one?\r\n\r\ne. g., `save_image -size 7200x3200`"}, {"assistant": "@mithro what happens if the aspect ratio doesn't match the area viewed? It seems simpler to specify one and let the tool find the other."}]} +{"num": 4000, "messages": [{"user": "### Describe the bug\n\nIt seems like `save_image` will fail to create a proper image when the output file width / height gets too big (by setting `-resolution` too small). Instead you seem to get an empty black image. \r\n\r\nIt doesn't look like OpenROAD is failing or segfaulting. You get a black image that is of roughly the size you would expected.\r\n\r\nThe same database file produces valid images at lower image sizes.\n\n### Expected Behavior\n\nYou get an image.\n\n### OpenROAD Environment\n\n```shell\nI believe commit hash 65053db0233ffb6e3235b3f28774afbcd063d569\n```\n\n\n### OpenLane Environment\n\n```shell\nN/A - Using `bazel_hdl_rules`\n```\n\n\n### To Reproduce\n\nFailing pixel image output size is 3816 x 7200, while a working image size is 1100 x 2075.\r\n\r\n`cmd script` is\r\n\r\n```tcl\r\nread_db \"add_sky130_pnr_straight__export_def_global_placement.db\"\r\nsave_image -resolution 0.01 \"add_sky130_pnr_straight__export_def_global_placement.png\"\r\nputs \"Wrote add_sky130_pnr_straight__export_def_global_placement.png\"\r\n```\n\n### Relevant log output\n\n```shell\nINFO: Running command line: blaze-bin/third_party/open_road/openroad -exit /tmp/tmpc_t7kbcl.tcl\r\nOpenROAD 1.0.0-Google bazel_rules_hdl\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nWrote /google/obj/workspace/a980c46c0b22c44d96ddb3e865bf9b7262f4b004a10a578f713293a558ad5b35/7178466f-ed9b-41c1-9fc2-2a96c3a140a9/blaze-out/k8-fastbuild/bin/experimental/users/tansell/adders/add_sky130_pnr_straight__place_pins.png\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "db I was using can be found at https://github.com/mithro/scratchpad/raw/master/add_sky130_pnr_straight__resizing.db.gz"}]} +{"num": 4006, "messages": [{"user": "### Describe the bug\n\nThe new [buffer_varying_lengths](https://github.com/The-OpenROAD-Project/OpenROAD/pull/4005#top) test for rsz shows cases where the buffering needs improvement. Two-pin wires are increasing length are generated and buffered:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/04e3ff73-a8b3-4273-a393-d662035056ad)\r\n\r\nThe buffering is far from optimal with some wires showing one buffer right next to the driver.\n\n### Expected Behavior\n\nOptimal buffering for all lengths.\n\n### Environment\n\n```shell\nHead of master\n```\n\n\n### To Reproduce\n\nRun the test\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "For example moving instance wire15 to the middle (x=450um) reduces the slack from -0.14 to -0.12"}]} +{"num": 4009, "messages": [{"user": "### Description\n\nIf I add this line:\r\n\r\n```\r\nexport PDN_TCL = $(FLOW_HOME)/platforms/asap7/openRoad/pdn/BLOCKS_grid_strategy.tcl\r\n```\r\n\r\nThese error messages go away:\r\n\r\n```\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - foo_2\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - foo_3\r\n[deleted]\r\n[WARNING PDN-0232] CORE_macro_grid_1 - foo_2 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - foo_3 does not contain any shapes or vias.\r\n[ERROR PDN-0233] Failed to generate full power grid.\r\n```\n\n### Suggested Solution\n\nImprove the warnings and errors above to give the user more actionable guidance.\n\n### Additional Context\n\n_No response_"}, {"assistant": "If you add that line to what?"}, {"user": "> If you add that line to what?\r\n\r\nI add this line to our config.mk file for our design(that I can't share publically), then the error above goes away."}, {"assistant": "Its hard to say what the message should be if I don't know what the problem is."}, {"assistant": "[test.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12665216/test.zip)\r\n\r\n@gadfort would you give advise on what the issue and how we could give better messages with the test case attached"}, {"assistant": "@maliberty the message is just there to indicate that nothing was generated in a particular grid. No vias, straps, rings, etc. So the powergrid is incomplete. I'll look at the testcase and see if there is anything else it could provide"}]} +{"num": 4014, "messages": [{"user": "### Describe the bug\n\nI've executed the [upf_test.tcl](https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/ifp/test/upf_test.tcl) with ifp test case.\r\nThe power domain area seems not fit into OpenROAD gui.\r\n\r\n![upf_test_ifp_issue](https://github.com/The-OpenROAD-Project/OpenROAD/assets/85787913/bb8f1d04-d1ba-4943-81cb-87d89ee89a6d)\r\n\n\n### Expected Behavior\n\nFit all relevant db into OpenROAD gui.\n\n### Environment\n\n```shell\nWith latest master\n```\n\n\n### To Reproduce\n\n```\r\ncd src/ifp/test\r\nopenroad upf_test.tcl\r\n```\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I think it is just a poorly constructed test case as having objects outside the die area doesn't make much sense. Perhaps that should just be an error at the end of ifp."}, {"assistant": "@gadfort @rovinski do you see any reason for this not to be an error?"}, {"assistant": "@maliberty what would the error condition be? the power domain is defined before the floorplan."}, {"assistant": "@gadfort the error would be from the end of initialize_floorplan that there are objects (here regions) outside the die area"}, {"assistant": "does `initialize_floorplan` create the domain areas? If so, I would say yes (or initialize_floorplan should scale correctly to get the domains included"}, {"assistant": "@gadfort it doesn't create them but they exist beforehand (at least in this test) so we can check them. "}, {"assistant": "Seems like it should definitely be an error somewhere."}, {"user": "@maliberty \r\nIs this resolved?\r\n\r\nI am getting blank screen in GUI. Not able to reproduce this now."}, {"assistant": "I fixed the test case. If I turn on rows I see\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/8784bf9d-064e-4b53-a2db-c0863cd96830)\r\n"}, {"user": "@maliberty \r\nI've updated to latest master and ran the test case. If I load with `gui::show` it return empty.\r\nAlso can you help to restore OpenROAD gui with default background. I'm getting grey color background.\r\n![upf_testcase](https://github.com/The-OpenROAD-Project/OpenROAD/assets/85787913/1bb525ae-c9d8-45a0-b093-1dbfdae9b2f8)\r\n"}, {"assistant": "I don't see the gray screen you describe:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/d8ceb039-7f82-43c9-ac0f-3ad6caee2932)\r\n\r\nOn what OS are you running? What exact set of steps are you doing?"}, {"user": "CentOS 7.. GCP machine..\nVitor have have access to that machine as admin."}, {"assistant": "@vvbandeira please help me to get access to reproduce this issue. I recall Seyi had something similar a while ago."}, {"user": "I checked with commit ID 46f712bf130726641921fca4b3a6f6940eb1061b and it's got resolved now."}]} +{"num": 4015, "messages": [{"user": "I am currently trying to integrate a new platform (tower) into the flow, and I am mainly referring to [this](https://openroad-flow-scripts.readthedocs.io/en/latest/contrib/PlatformBringUp.html) tutorial. For the `config.mk` file in the platform directory, I simply copied the adequate file from the `sky130hd` platform and changed it accordingly, as seen below.\r\nI have managed to reach the routing stage in the flow, but I cannot get past the following error message:\r\n\r\n```\r\n[INFO DRT-0165] Start pin access.\r\n[ERROR DRT-0073] No access point for input35/A.\r\n[ERROR DRT-0073] No access point for _135_/A.\r\n[ERROR DRT-0073] No access point for _288_/D.\r\n[ERROR DRT-0073] No access point for _287_/D.\r\nError: detail_route.tcl, 70 DRT-0073\r\nCommand exited with non-zero status 1\r\n```\r\n\r\nBtw, as the input file, I have used the design example [spm](https://raw.githubusercontent.com/The-OpenROAD-Project/OpenLane/master/designs/spm/src/spm.v) provided by OpenROAD.\r\n\r\nThanks for any help in advance; I would really appreciate it!\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nA fully functional flow, that gets past the routing stage.\r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 6.2.0-31-generic\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10111-gb8c71cc9a\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig3.0 (found suitable version \"3.0.12\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 7cf916ba205115a06c4531a044ced481f1ff8f12\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/lnstaff/tragicomix/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.SsTfvmsGpg\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nFiles are listed below\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n### **route.log**\r\n```\r\nOpenROAD v2.0-10111-gb8c71cc9a \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ORD-0030] Using 16 thread(s).\r\ndetailed_route arguments: -bottom_routing_layer M1 -top_routing_layer TOP_M -save_guide_updates -verbose 1 -drc_report_iter_step 5\r\n[INFO DRT-0149] Reading tech and libs.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0160] Warning: M5 does not have viaDef aligned with layer direction, generating new viaDef TOP_V_FR.\r\n\r\nUnits: 1000\r\nNumber of layers: 13\r\nNumber of macros: 603\r\nNumber of vias: 38\r\nNumber of viarulegen: 5\r\n\r\n[INFO DRT-0150] Reading design.\r\n\r\nDesign: test\r\nDie area: ( 0 0 ) ( 146290 146290 )\r\nNumber of track patterns: 12\r\nNumber of DEF vias: 0\r\nNumber of components: 793\r\nNumber of terminals: 36\r\nNumber of snets: 2\r\nNumber of nets: 294\r\n\r\n[INFO DRT-0167] List of default vias:\r\n Layer V2\r\n default via: V2_cross\r\n Layer V3\r\n default via: V3_cross\r\n Layer V4\r\n default via: V4_cross\r\n Layer V5\r\n default via: V5_cross\r\n Layer TOP_V\r\n default via: TOP_V_FR\r\n[INFO DRT-0162] Library cell analysis.\r\n[INFO DRT-0163] Instance analysis.\r\n[INFO DRT-0164] Number of unique instances = 29.\r\n[INFO DRT-0168] Init region query.\r\n[INFO DRT-0024] Complete GC.\r\n[INFO DRT-0024] Complete Fr_VIA.\r\n[INFO DRT-0024] Complete M1.\r\n[INFO DRT-0024] Complete V2.\r\n[INFO DRT-0024] Complete M2.\r\n[INFO DRT-0024] Complete V3.\r\n[INFO DRT-0024] Complete M3.\r\n[INFO DRT-0024] Complete V4.\r\n[INFO DRT-0024] Complete M4.\r\n[INFO DRT-0024] Complete V5.\r\n[INFO DRT-0024] Complete M5.\r\n[INFO DRT-0024] Complete TOP_V.\r\n[INFO DRT-0024] Complete TOP_M.\r\n[INFO DRT-0033] GC shape region query size = 0.\r\n[INFO DRT-0033] FR_VIA shape region query size = 0.\r\n[INFO DRT-0033] M1 shape region query size = 8177.\r\n[INFO DRT-0033] V2 shape region query size = 540.\r\n[INFO DRT-0033] M2 shape region query size = 372.\r\n[INFO DRT-0033] V3 shape region query size = 540.\r\n[INFO DRT-0033] M3 shape region query size = 384.\r\n[INFO DRT-0033] V4 shape region query size = 540.\r\n[INFO DRT-0033] M4 shape region query size = 240.\r\n[INFO DRT-0033] V5 shape region query size = 450.\r\n[INFO DRT-0033] M5 shape region query size = 60.\r\n[INFO DRT-0033] TOP_V shape region query size = 0.\r\n[INFO DRT-0033] TOP_M shape region query size = 0.\r\n[INFO DRT-0165] Start pin access.\r\n[ERROR DRT-0073] No access point for input35/A.\r\n[ERROR DRT-0073] No access point for _135_/A.\r\n[ERROR DRT-0073] No access point for _288_/D.\r\n[ERROR DRT-0073] No access point for _287_/D.\r\nError: detail_route.tcl, 70 DRT-0073\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:01.53[h:]min:sec. CPU time: user 1.86 sys 0.09 (127%). Peak memory: 147620KB.\r\n```\r\n[5_2_route.tmp.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/12658414/5_2_route.tmp.log)\r\n\r\n### **config.mk**\r\n```\r\n# Process node\r\nexport PROCESS = 130\r\n\r\n#-----------------------------------------------------\r\n# Tech/Libs\r\n# ----------------------------------------------------\r\nexport TECH_LEF = $(PLATFORM_DIR)/lef/tsl180l6.lef\r\nexport SC_LEF = $(PLATFORM_DIR)/lef/tsl18fs390svt_wb.lef\r\n\r\nexport LIB_FILES = $(PLATFORM_DIR)/lib/tsl18fs390svt_wb_tt_3p3v_25c.lib \\\r\n $(ADDITIONAL_LIBS)\r\nexport GDS_FILES = $(wildcard $(PLATFORM_DIR)/gds/*.gds) \\\r\n $(ADDITIONAL_GDS)\r\n\r\nexport DONT_USE_CELLS += \r\n\r\n# Define fill cells\r\nexport FILL_CELLS = FILLER_X1_33_SVT_WB FILLER_X2_33_SVT_WB FILLER_X32_33_SVT_WB FILLER_X4_33_SVT_WB FILLER_X8_33_SVT_WB\r\n\r\n# -----------------------------------------------------\r\n# Yosys\r\n# ----------------------------------------------------\r\nexport TIEHI_CELL_AND_PORT = TIEH_33_SVT_WB HI\r\nexport TIELO_CELL_AND_PORT = TIEL_33_SVT_WB LO\r\n\r\nexport MIN_BUF_CELL_AND_PORTS = BUFT_X2_33_SVT_WB A X\r\n\r\n# Define ABC driver and load\r\nexport ABC_DRIVER_CELL = sky130_fd_sc_hd__buf_1\r\nexport ABC_LOAD_IN_FF = 5\r\n\r\n#--------------------------------------------------------\r\n# Floorplan\r\n# -------------------------------------------------------\r\n\r\n# Placement site for core cells\r\n# This can be found in the technology lef\r\nexport PLACE_SITE = \r\n\r\n# IO Placer pin layers\r\nexport IO_PLACER_H = M3\r\nexport IO_PLACER_V = M2\r\n\r\n# Define default PDN config\r\nexport PDN_TCL ?= $(PLATFORM_DIR)/pdn.tcl\r\n\r\nexport MACRO_PLACE_HALO ?= 40 40\r\nexport MACRO_PLACE_CHANNEL ?= 80 80\r\n\r\n#---------------------------------------------------------\r\n# Place\r\n# --------------------------------------------------------\r\n# default cell padding for cells \r\nexport CELL_PAD_IN_SITES_GLOBAL_PLACEMENT ?= 1\r\nexport CELL_PAD_IN_SITES_DETAIL_PLACEMENT ?= 0\r\n\r\nexport PLACE_DENSITY ?= 0.60\r\n\r\n# --------------------------------------------------------\r\n# CTS\r\n# -------------------------------------------------------\r\n# TritonCTS options\r\nexport CTS_BUF_CELL ?= CLKBUF_X8_33_SVT_WB\r\n\r\n# ---------------------------------------------------------\r\n# Route\r\n# ---------------------------------------------------------\r\n# FastRoute options\r\nexport MIN_ROUTING_LAYER = M1\r\nexport MAX_ROUTING_LAYER = TOP_M\r\n\r\n# ---------------------------------------------------------\r\n# IR Drop\r\n# ---------------------------------------------------------\r\n\r\n# IR drop estimation supply net name to be analyzed and supply voltage variable\r\n# For multiple nets: PWR_NETS_VOLTAGES = \"VDD1 1.8 VDD2 1.2\"\r\nexport PWR_NETS_VOLTAGES ?= \"VDD 1.8\"\r\nexport GND_NETS_VOLTAGES ?= \"VSS 0.0\"\r\nexport IR_DROP_LAYER ?= M1\r\n\r\n# DRC Check\r\nexport KLAYOUT_DRC_FILE = $(PLATFORM_DIR)/drc/$(PLATFORM).lydrc\r\n\r\n#LVS Check\r\nexport CDL_FILE = $(PLATFORM_DIR)/cdl/$(PLATFORM).cdl\r\nexport KLAYOUT_LVS_FILE = $(PLATFORM_DIR)/lvs/$(PLATFORM).lylvs\r\n```\r\n[config.mk.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/12658402/config.mk.log)\r\n\r\n### **stucture of the platform folder**\r\n\r\n```\r\nplatform\r\n |-- asap7\r\n |-- ...\r\n |-- sky130ram\r\n +-- tower\r\n |-- cells_latch.v\r\n |-- config.mk\r\n |-- constraint.sdc\r\n |-- fastroute.sdc\r\n |-- gds\r\n |-- lef\r\n |-- lib\r\n |-- make_tracks.tcl\r\n |-- pdn.tcl\r\n |-- setRC.tcl\r\n +-- tapcell.tcl\r\n```"}, {"assistant": "@Multimuffin \r\nCan you please run `make gui_cts` and check respective pins and its access?"}, {"user": "\"Screenshot\r\n\r\n\"Screenshot\r\n\r\n\"Screenshot\r\n\r\nHere are the cells and the pins that are causing the problems"}, {"assistant": "Is this a PDK that can be shared publicly? If so a test case would be helpful.\r\n\r\nIf not, you can try adding\r\n```\r\ndetailed_route_debug -pa -pa_markers -pin _135_:A\r\n```\r\nto scripts/detail_route.tcl before the router is invoked. If you run with the GUI it will stop on this pin and show you all the attempted pin access and the associated drc errors. Usually that gives a good clue as to what is going wrong."}, {"user": "Unfortunately not but I have figured out how to \"solve\" (or rather avoid) this issue. At first, I realized, that I forgot to adapt the values in the` pdn.tcl` file which caused some minor issues. But in the end, I was just adding the problematic cells to the `DONT_USE_CELLS` and the flow was running without any problems.\r\nIn the future I will try to figure out, what the exact reason for this issue has been but right now I am just happy, that I have got the flow up and running.\r\nNevertheless, thank you for the help, I appreciate that you are spending your time helping others"}]} +{"num": 4017, "messages": [{"user": "### Describe the bug\r\n\r\nThis is a follow up to #3964.\r\n\r\nI wanted to try and fully route a design using the mirrored pin position from the last design. In #3964 the goal was to design the bottom die and now I want to do the same for the top die. \r\n\r\nIn order to allow the pins positions to match when both dies are connected \"in the real world\" I mirror their positions with regards to the x axis, which is done during the \"bottom die flow\". Their positions are written in a file which contains their name, x/y position and width/length. This file is read at the beginning of the flow and the data is stored into a variable _pins_ .\r\nThe flow is executed normally as it is for the most part a copy/paste from the bottom die, and all parameters are the same. The only differences is that for the non-random I/O pin placement I place them manually using the _place_pin_ command and a for loop on _pins_ .\r\n\r\nDuring global routing, I first have this warning multiple times : \r\n\r\n`[WARNING DRT-0204] Cut layer V0 has no default via defined.`\r\n\r\nAnd after checking for pin access : \r\n\r\n`[ERROR DRT-0074] No access point for PIN/the name of the IO pin .`\r\n\r\nEDIT : \r\n\r\nJust now I also had a crash happening using this flow seemingly during filler cell placement, here is the log : \r\n\r\n```\r\n# Step 2 : Filler cell insertion\r\n#----------------------------------------------\r\nset_propagated_clock [all_clocks]\r\nfiller_placement $filler_cells\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000056015E0987FB in openroad\r\n 1# 0x00007FB9E16FE520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbTable::next(unsigned int, ...) in openroad\r\n 3# gui::Search::updateInsts(odb::dbBlock*) in openroad\r\n 4# gui::Search::searchInsts(odb::dbBlock*, int, int, int, int, int) in openroad\r\n 5# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in openroad\r\n 6# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in openroad\r\n 7# gui::RenderThread::run() in openroad\r\n 8# 0x00007FB9E22BCCA1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 9# 0x00007FB9E1750B43 in /lib/x86_64-linux-gnu/libc.so.6\r\n10# 0x00007FB9E17E2A00 in /lib/x86_64-linux-gnu/libc.so.6\r\nSegmentation fault\r\n```\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo warning for V0 default via and pass pin access (and routing after that).\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 765caaf66d5cd9c32814933b022e369e0865f4b8\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[Test3D.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12662260/Test3D.zip)\r\n\r\nIn this zip file should be all the files needed to reproduce the issue.\r\nCreate a directory \"Test3D\" in /OpenROAD/test/, enter it, open the GUI and enter source \"ibex3D_asap7_top.tcl\" in the GUI TCL command box.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@lustefan97 I got this error when running your test case: `Error: TopDieTest.tcl, 21 couldn't open \"miscellaneous/topDieFile.pin\": no such file or directory`.\r\n\r\nI've copied this file from your previous test case, but the detailed router ends with no errors with this file. Could you attach the correct `topDieFile.pin`? \r\n\r\nI've also noticed that you set the max routing layer for the detailed router as Pad. Consider using M9 for it to access the Pad pins from below.\r\n\r\nUPDATE: Never mind. When I updated the layer range, I was able to reproduce the problem."}, {"user": "@eder-matheus I think I may have only changed the tcl files without updating the rest in the test case I gave you I'm sorry... I have to delete certain files from the directory in order to be able to upload it here, so I took the older test case and forgot to update the rest.\n\nRegarding the pin access issue (not the crash), I looked into it again, could it be due to the way I mirror the pins ? I mirror them using the core area x coordinates from the top right corner of the die, may the pin access issue be due to a misalignment of the IO pins and routing tracks ? And if so, is it possible to access the coordinates of the right-most track to mirror everything with them ?"}, {"assistant": "@lustefan97 I think it is related to the pin shapes not being aligned in the routing tracks but not necessarily in the PAD layers. When I use the Pad as the max routing layer, pin access finishes without errors. But when using M9 as the max routing layer, the pin access error happens. DRT will try to find pin access points in the M9 via shape that connects to the Pad pin.\r\nHowever, pin access works again when setting the M8 as the max routing layer. You can try setting the max routing layer as M8 as a quick fix. The router found pin access points for the via shape in M8, which doesn't affect the router regarding DRCs."}, {"assistant": "Btw, the crash that you have is related to the GUI, not with the filler cell placement. This is a known issue and we're investigating to solve it. Meanwhile, you can use the GUI after the flow ends with the command `gui::show`."}, {"user": "I see, I will close this issue then, and look on a better way to mirror the pins. Thanks for your help !"}]} +{"num": 4032, "messages": [{"user": "### Describe the bug\n\nThis is a follow up of #3998.\r\n\r\nWhen zooming in, the contents of macros with large offset disappears from view.\r\n\r\nSame behavior whether top references macros for a, b and c or whether it's hierarchical def.\r\n\r\nIt seems that the \"is in view\" calculation doesn't properly take offset into account.\n\n### Expected Behavior\n\nDisplay should always show the macro contents.\n\n### Environment\n\n```shell\nv2.0-10398-gecc03c290 Sept 23\r\nM1 compiled with mpl2/par patch\n```\n\n\n### To Reproduce\n\nmake or \r\n\r\nand then zoom into macro b or c and you eventually get a blank screen.\r\n\r\n[test_origin_hier.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12707685/test_origin_hier.tgz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@stefanottili\r\n\r\nIn block.def you are setting the ORIGIN pt and the OBS RECT for each of your BLOCKS using as reference the top.def die area ( 0 0 1000 1000 ), but each BLOCK has its own die and its ORIGIN and OBS should be set accordingly. \r\n\r\nAs a result:\r\nEven though the blocks are being drawn in their desired position, they are misplaced. So when we zoom, and the viewer can no longer see the real position of the macro, its blockage disappears.\r\n\r\nUsing your block.def:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/104802710/32575e99-318c-4387-aec6-24aa91afabd3)\r\n\r\nSetting origin and obs according with its own die:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/104802710/e8c5c099-3156-4d4b-9af6-cf2aa34d8ced)"}, {"user": "Having large negative origin is unusual, but perfectly legal.\r\nThe blocks are not misplaced.\r\n\r\nThe lef/def input data is correct and OR displays's them correctly when doing a fit too.\r\n\r\nThe bbox calculation for b and c is wrong.\r\nIt doesn't seem to take the ORIGIN into account and thus the bboxes of b and c are not correct.\r\nThat's the reason why zooming into them makes them disappear.\r\n"}, {"assistant": "If that's truly the case, apparently this is not an issue related to the gui, but to odb."}, {"user": "it seems that LAYER TYPE OVERLAP ; RECT/POLYGON define the \"valid\" area of the MACRO.\r\nI've attached the fixed testcase, even though OR currently doesn't support non rectangle DIEAREA or MACRO.\r\n\r\n[test_origin_hier.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12901750/test_origin_hier.tgz)\r\n"}]} +{"num": 4033, "messages": [{"user": "### Description\r\n\r\nThe current OpenRoad behavior is to zoom in/out of the center of the view.\r\n\r\nIt's much more useful when the point to zoom in or out to is determined by the position of the mouse cursor in the view.\r\nThis is the default behavior of klayout.\r\n\r\n### Suggested Solution\r\n\r\n\"Zoom in window\" is already using the mouse cursor to determine the new view coordinates by drawing the new view bounding box.\r\nSo using the mouse position for keyboard or mouse wheel zoom in/out instead of the view center should be fairly straight forward.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "It has always zoomed around the mouse point. If that's changed it is a new bug "}, {"assistant": "@stefanottili Zooming with Ctrl+Wheel already zooms in/out based on the cursor position.\r\n\r\nSo, to be clear, what is being reported here is that zooming in/out with keyboard should have the same behavior?"}, {"user": "Yes"}, {"assistant": "That seems much less intuitive to me. When I navigate from the keyboard I don't expect the mouse to be involved"}, {"user": "klayout's behavior is \r\na) when you don't have the mouse in the layout view, use the center of the view for z/Z (zoom in/out).\r\nb) if the mouse is in the layout view, zoom in/out at the mouse location. \r\n\r\nWhich I find very intuitive.\r\n\r\nklayout also doesn't require the ctrl-key to be pressed to use the mouse wheel (don't need it on my Mac).\r\nIn that sense it doesn't require the keyboard to be involved when using the mouse to zoom.\r\nAnd with fit and zoom out gesture (move mouse up right/up left) you really don't need the keyboard."}, {"assistant": "And what about zooming through the context menu? It's currently set to zoom based on the center and not the position where the menu popped. Should it be kept that way?"}, {"user": "If the mouse is in the menu, then it's not pointing into the layout view.\r\nSo yes, zoom based on center in this case."}, {"user": "Thanks for working on this, but unfortunately it doesn't quite work as expected.\r\n\r\nThere seems to be some zoom out limit on the positioning of a chip in the view that get's in the way.\r\n\r\nAfter a \"fit\" point the mouse cursor to the bottom right corner of the chip.\r\nHitting z to zoom in the expectation is that the point the cursor points to will stay under the cursor, \r\nso subsequent z will keep on zooming in on where the cursor points.\r\n\r\nThis only works once the zoom level reaches a certain point, but it should work on a full chip view too."}, {"assistant": "This could be another issue, as this has to do with how the function that handles the zoom itself works. Zooming with mouse should result in the same problem. "}, {"user": "How to proceed here ?\r\nThis feature request has been closed even though the feature doesn\u2019t quite work for points at the edge of the view. The point the mouse is pointing to doesn\u2019t stay under the cursor.\r\nAm I supposed to create a new bug report ?"}, {"assistant": "The problem seems closely related so I'll reopen. It does occur with the mouse as well."}]} +{"num": 4035, "messages": [{"user": "### Describe the bug\n\nAs equivalence check added in OpenROAD, trying to install those with `sudo ./etc/DependencyInstaller.sh`. but it fails to complete the installation.\r\n\r\n\n\n### Expected Behavior\n\nComplete the Dependency installation.\n\n### Environment\n\n```shell\nGit commit: d0bd5cb959f6655f3efbca11572b24a3f5f3e12e\r\nkernel: Linux 5.15.0-84-generic\r\nos: Ubuntu 20.04.6 LTS (Focal Fossa)\r\ncmake version 3.25.0\r\n-- The CXX compiler identification is GNU 9.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10415-gd0bd5cb95\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 9.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/local/bin/python3.5 (found version \"3.5.0\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/local/lib/libtcl.so\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.1\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.76.0/BoostConfig.cmake (found version \"1.76.0\") \r\n-- boost: 1.76.0\r\n-- Found Python3: /usr/local/include/python3.5m (found version \"3.5.0\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.5.1\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.17\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 7cf916ba205115a06c4531a044ced481f1ff8f12\r\n-- System name: Linux\r\n-- Compiler: GNU 9.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/local/lib/libtcl.so\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/vijayan/OpenROAD-flow/Aug18_OpenROAD/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/local/lib/libtcl.so\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.3.9\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.76.0/BoostConfig.cmake (found version \"1.76.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/local/lib/libtcl.so\r\n-- TCL header: /usr/local/include/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.29s4QgMl51\n```\n\n\n### To Reproduce\n\n`sudo ./etc/DependencyInstaller.sh`\n\n### Relevant log output\n\n```shell\nYou are in 'detached HEAD' state. You can look around, make experimental\r\nchanges and commit them, and you can discard any commits you make in this\r\nstate without impacting any branches by switching back to a branch.\r\n\r\nIf you want to create a new branch to retain commits you create, you may\r\ndo so (now or later) by using -c with the switch command. Example:\r\n\r\n git switch -c \r\n\r\nOr undo this operation with:\r\n\r\n git switch -\r\n\r\nTurn off this advice by setting config variable advice.detachedHead to false\r\n\r\nHEAD is now at 0cc2ff0 Merge pull request #46 from YosysHQ/jix/dependent-shell-pattern-escape\r\nyosys-config --build src/eqy_combine.so src/eqy_combine.cc \r\nyosys-config --build src/eqy_partition.so src/eqy_partition.cc \r\nsrc/eqy_partition.cc:24:10: fatal error: kernel/json.h: No such file or directory\r\n 24 | #include \"kernel/json.h\"\r\n | ^~~~~~~~~~~~~~~\r\ncompilation terminated.\r\nmake: *** [Makefile:29: src/eqy_partition.so] Error 1\r\nmake: *** Waiting for unfinished jobs....\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I am having the same issue here. I am using \"sudo ./setup.sh\":\r\n\r\n```\r\n0 upgraded, 0 newly installed, 0 to remove and 30 not upgraded.\r\nCMake already installed.\r\nSwig already installed.\r\nBoost already installed.\r\nEigen already installed.\r\nCUSP already installed.\r\nLemon already installed.\r\nspdlog already installed.\r\nCloning into 'eqy'...\r\nremote: Enumerating objects: 1720, done.\r\nremote: Counting objects: 100% (183/183), done.\r\nremote: Compressing objects: 100% (138/138), done.\r\nremote: Total 1720 (delta 63), reused 74 (delta 42), pack-reused 1537\r\nReceiving objects: 100% (1720/1720), 1.04 MiB | 4.92 MiB/s, done.\r\nResolving deltas: 100% (1100/1100), done.\r\nNote: switching to '0cc2ff0'.\r\n\r\nYou are in 'detached HEAD' state. You can look around, make experimental\r\nchanges and commit them, and you can discard any commits you make in this\r\nstate without impacting any branches by switching back to a branch.\r\n\r\nIf you want to create a new branch to retain commits you create, you may\r\ndo so (now or later) by using -c with the switch command. Example:\r\n\r\n git switch -c \r\n\r\nOr undo this operation with:\r\n\r\n git switch -\r\n\r\nTurn off this advice by setting config variable advice.detachedHead to false\r\n\r\nHEAD is now at 0cc2ff0 Merge pull request #46 from YosysHQ/jix/dependent-shell-pattern-escape\r\nyosys-config --build src/eqy_combine.so src/eqy_combine.cc \r\nyosys-config --build src/eqy_partition.so src/eqy_partition.cc \r\nyosys-config --build src/eqy_recode.so src/eqy_recode.cc \r\nsrc/eqy_partition.cc:24:10: fatal error: kernel/json.h: No such file or directory\r\n 24 | #include \"kernel/json.h\"\r\n | ^~~~~~~~~~~~~~~\r\ncompilation terminated.\r\nmake: *** [Makefile:29: src/eqy_partition.so] Error 1\r\nmake: *** Waiting for unfinished jobs....\r\n```\r\n\r\nThe error message seems to be the same."}, {"assistant": "I cannot reproduce the error using the latest version of OR and a clean image from Docker. I will spin up a VM later to see if there's any difference, but it is unlikely. @vijayank88 can you manually install `eqy`?"}, {"assistant": "@vvbandeira @vijayank88 would it be possible to make yosys, sbu, eqy compiles and installs optional for runtime use, it seems like a huge overhead to make everyone compile it when its only used in a few CI tests?"}, {"assistant": "@gadfort, do you think one switch for all three (e.g., `-equivalence`) or would one for each tool be better?"}, {"assistant": "@vvbandeira I think one switch would be fine since most folks that would need it will need to install all three."}, {"user": "@vvbandeira \r\nCurrently I'm using this pre-built package of yosys for Centos7/Ubuntu20.04 to avoid installation issue: https://github.com/YosysHQ/oss-cad-suite-build#installation"}, {"assistant": "@vvbandeira any updates on this? We are getting similar failures"}, {"assistant": "@gadfort I have started #4052 to skip `yosys/eqy/sby` installs"}, {"assistant": "The issue is on a user machine they most likely have yosys installed (maybe from a previous install of openroad.)\r\nIn this case the yosys version might be older than 0.33 which seems to be required for eqy and sby.\r\nThe script does not update yosys if it's already installed (a reasonable default).\r\n**Installing yosys 0.33 solved the issue for me.**\r\nIf this is only for CI testing then skipping eqy and sby by default sounds like a good idea."}, {"assistant": "Thanks for the input @troibe, @vijayank88 could you check if that is the reason why your install is failing?\r\n\r\nCurrently, we are enabling `eqy` in the CI tests, but the goal is to provide a way for users to run equivalence checks (if the dependencies are available), somewhat like `report_check`."}, {"user": "Thanks @vvbandeira. With latest commit I am not facing any issues while executing `sudo ./etc/DependencyInstaller.sh`. \r\n"}, {"user": "Is any switch need to be added to install OpenROAD equivalence dependencies yosys/eqy/sby in general during `sudo ./etc/DependencyInstaller.sh` execution?\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/347459a5c91f473de611c0c0f403eb4880e8d339/etc/DependencyInstaller.sh#L11"}, {"assistant": "@vijayank88 \r\n```\r\n./etc/DependencyInstaller.sh -common -eqy\r\n```"}, {"assistant": "Hey guys, with the latest changes I was able to run \"sudo ./setup.sh\" (from ORFS) without any error messages. Although, when I run the flow I am getting the following error:\r\n\r\n```\r\nRepair setup and hold violations...\r\nTNS end percent 100\r\n[INFO RSZ-0094] Found 43 endpoints with setup violations.\r\n[INFO RSZ-0040] Inserted 22 buffers.\r\n[INFO RSZ-0041] Resized 107 instances.\r\n[INFO RSZ-0043] Swapped pins on 40 instances.\r\n[INFO RSZ-0049] Cloned 1 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n[INFO RSZ-0033] No hold violations found.\r\nError: cts.tcl, 110 couldn't execute \"eqy\": no such file or directory\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:06.42[h:]min:sec. CPU time: user 6.38 sys 0.03 (99%). Peak memory: 110052KB.\r\nmake[1]: *** [Makefile:673: do-4_1_cts] Error 1\r\nmake: *** [Makefile:673: results/nangate45/gcd/base/4_1_cts.odb] Error 2\r\n```\r\n\r\nShould I create a new issue on ORFS repository with more details (for example the build_openroad.log), or would anyone have a possible easy solution?\r\n\r\nFurthermore, as mentioned by @troibe, my Yosys version is 0.33 (as it seems it should be)."}, {"assistant": "Closing as it is currently working on CI and fixes for `eqy` issues have been merged. Please re-open if the problem persists or start a new issue after testing with the latest code."}]} +{"num": 4036, "messages": [{"user": "### Description\n\nI am using OpenROAD through OpenLane and the way power pins are placed are using PDNgen which only places rings or stripes in OpenLane. So, is there a way I can place a power pin rectangle in a specific location?\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mo-hosni I'm not sure if it will fit what you want, but we have the `place_pin` command, which places an individual IO pin in specific locations. You can also define the dimensions of the pin with this command. Here's the command description: https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/ppl#place-individual-pin"}, {"assistant": "More of a description of your use case would be helpful. "}, {"user": "The use case is:\r\nI am hardening a macro that will be placed inside a padframe. All the pins will be connected by abutment. So, all the signal pins are placed in the correct locations using the usual IO placer except for the power pins as the power pins are inserted as rings or strips using PDNgen. So, I was asking if there is a way to place a rectangle pin for power and PDNgen connect it to the rings of that power domain,"}, {"assistant": "There is no such facility as that's an usual use case. Usually blocks in the padframe are custom designs and not P&R. What sort of block is it?\r\n\r\nYou can directly create shapes through database APIs yourself. Roughly\r\n```\r\nset block [ord::get_db_block]\r\nset net [$block findNet ]\r\nset swire [odb::dbSWire_create $net FIXED]\r\nset tech [ord::get_db_tech]\r\nset layer [$tech findLayer ]\r\nodb::dbSBox_create $swire $layer FIXED\r\n```"}]} +{"num": 4040, "messages": [{"user": "### Description\n\nOpenROAD-flow-scripts has a pretty nice [`report_metrics.tcl` script](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/scripts/report_metrics.tcl) which makes nice looking report about important metrics in an design. As many people are likely to want to see these metrics, it would be nice if this was wrapped in a function and provided to anyone using OpenROAD.\r\n\n\n### Suggested Solution\n\nMove the function into the OpenROAD core.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mithro \r\nNot a solution/directly related, but just to make sure you are aware, `openroad` can output some metrics in a JSON format with `openroad -metrics .json`."}]} +{"num": 4041, "messages": [{"user": "### Description\n\nIt would be nice if the OpenROAD tool provides a set of functions to the TCL & Python scripting interface which made it quick and easy to do manual placement of a certain sets of cells. \r\n\r\nSome ideas include;\r\n\r\n * `place_column` -- Place the selected cells into a vertical column. Options could include;\r\n * `packed` for placing them as close together as possible.\r\n * `equal` for placing them equally distributed between top and bottom coordinates.\r\n * `global` for placing them in the rough locations which need to be legalized into actual valid positions.\r\n * `legalize` (or maybe `detailed`) for placing them directly into legalized positions.\r\n * `place_row` -- Place the selected cells into a horizontal row\r\n * Same as `place_column`.\r\n * `place_array` -- Place the selected cells into a grid / array pattern.\r\n * Same as `place_column`.\r\n\r\nSome related functions could include;\r\n\r\n * `legalize_cells` -- Legalize the set of cells into correct placements.\r\n * `route_net` -- Route the selected set of nets.\r\n\r\nThis are likely to be very useful in things like;\r\n\r\n * Automated analog like OpenFASoC\r\n * Building blocks like DFFRAM does\r\n * Distributing cells for wave style computation\r\n * Placing input / output flops in certain locations\r\n * Other things I haven't thought of\r\n\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Hi,\r\n\r\nI think I hit the same issue. I have congestions problems on a register-file using sky130 (doesn't pass at 20% density), and wanted to try helping the place and route by providing \"fixed location\" in column for the register-file latches."}, {"user": "@Dolu1990 - You might find using [DFFRAM](https://github.com/AUCOHL/DFFRAM) as an alternative solution."}, {"assistant": "Note that DFFRAM is rather skywater specific and some layouts are far from optimal."}, {"assistant": "@mithro Thanks ^^\r\nIt seems like DFFRAM won't work for my usecase (i would need 4R 2W and more)"}, {"user": "@Dolu1990 - You should know how to build a 4R 2W out of DFFRAM blocks, same thing we do as in FPGAs -- https://tomverbeure.github.io/2019/08/03/Multiport-Memories.html :-)"}, {"user": "@Dolu1990 - You should also log a feature request on the DFFRAM repository. BTW If you are doing this as part of funded research, funding AUC is extremely cheap."}, {"assistant": "@mithro \r\n\r\n> You should know how to build a 4R 2W out of DFFRAM blocks, same thing we do as in FPGAs\r\n\r\nYes right ^^\r\n\r\n> You should also log a feature request on the DFFRAM repository\r\n\r\nDone : https://github.com/AUCOHL/DFFRAM/issues/192\r\n\r\n> If you are doing this as part of funded research, funding AUC is extremely cheap.\r\n\r\nIt is part of a small exploratory project (~100h work) to see where are the bottleneck in porting NaxRiscv to asic, not enough left for it, but thanks for the tips, i keep it in mind :)\r\n"}, {"assistant": "Fwiw I did start a rewrite of DFFRAM in OR as a spare time project. If someone has interest in picking it up I would be glad to share the in progress code."}, {"assistant": "@maliberty that might be a good student project? If you share the code I can determine further."}, {"assistant": "fwiw I put the code at https://github.com/The-OpenROAD-Project/OpenROAD/pull/4496 - this is not complete and is not proposed a solution to anything in its current form. If someone wants to pick it up and complete it that would be great."}, {"assistant": "We should take a page from CSS Grid https://css-tricks.com/snippets/css/complete-guide-grid/ if we're looking for more generic layout primitives."}]} +{"num": 4042, "messages": [{"user": "### Describe the bug\n\nHi ,\r\nI was trying to build OpenRoad and got stuck with the error below. I tried finding the solution on the internet but failed.\r\nI am trying to build it on Ubuntu 22.04 LTS running on WSL-Windows. After running the \"cmake ..\" command, the error occured.\r\nPlease help me with the same. \r\n![1](https://github.com/The-OpenROAD-Project/OpenROAD/assets/62429762/3355fdef-1dc3-4c42-aea9-f8b57b380d0e)\r\n\n\n### Expected Behavior\n\nAfter the process, I should've able to run the make command to successfully install the OpenROAD locallly.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.10.16.3-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.27.6\r\nCMake Deprecation Warning at third-party/abc/CMakeLists.txt:1 (cmake_minimum_required):\r\n Compatibility with CMake < 3.5 will be removed from a future version of\r\n CMake.\r\n\r\n Update the VERSION argument value or use a ... suffix to tell\r\n CMake that the project does not need compatibility with older versions.\r\n\r\n\r\n-- The CXX compiler identification is Clang 14.0.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10416-gd879dd61b\r\n-- System name: Linux\r\n-- Compiler: Clang 14.0.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is Clang 14.0.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-forCMake Error at /usr/share/cmake-3.27/Modules/FindPackageHandleStandardArgs.cmake:230 (message):\r\n Could NOT find Python3 (missing: Python3_INCLUDE_DIRS Development\r\n Development.Module Development.Embed) (found version \"3.10\")\r\nCall Stack (most recent call first):\r\n /usr/share/cmake-3.27/Modules/FindPackageHandleStandardArgs.cmake:600 (_FPHSA_FAILURE_MESSAGE)\r\n /usr/share/cmake-3.27/Modules/FindPython/Support.cmake:3824 (find_package_handle_standard_args)\r\n /usr/share/cmake-3.27/Modules/FindPython3.cmake:545 (include)\r\n src/CMakeLists.txt:169 (find_package)\r\n\r\n\r\nmat - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/lib/x86_64-linux-gnu/cmake/Boost-1.74.0/BoostConfig.cmake (found version \"1.74.0\")\r\n-- boost: 1.74.0\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nI just ran \"cmake ..\" command in the build directory that I created in the OpenROAD folder.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mehta-nitin \r\nDid you install the dependencies manually, or did you follow the instructions from the docs?\r\nhttps://openroad.readthedocs.io/en/latest/user/Build.html#install-dependencies"}, {"assistant": "no response"}]} +{"num": 4044, "messages": [{"user": "### Description\n\nThe `etc/DependencyInstaller.sh` script has a lot of functionality (like being able to do a local install rather than using sudo).\r\n\r\nNone of this functionality is mentioned in the documentation.\n\n### Suggested Solution\n\nPut the output of `--help` into the documentation.\n\n### Additional Context\n\n_No response_"}, {"user": "My suggestion is the setup instructions should actually be;\r\n```\r\n# Install dependencies which come from the operating system (precompiled packages)\r\nsudo ./etc/DependencyInstaller.sh -base \r\n# Install dependencies which are unavailable from the operating system into ~/.local (alternatively used --prefix=. These can be removed with `rm -rf ~/.local`.\r\n./etc/DependencyInstaller.sh -common -local\r\n```\r\n\r\nInstall into `/usr/local` by default makes it almost impossible to uninstall things.\r\n"}, {"user": "It would be nice for the `etc/Build.sh` script to also support the same `-local` and `-prefix` arguments that `etc/DependencyInstaller.sh` supports."}]} +{"num": 4045, "messages": [{"user": "### Describe the bug\n\nI ran the regression tests and one failed. The output said I should `See /ssd/github/The-OpenROAD-Project/OpenROAD/test/results/diffs for differences` but this directory doesn't seem to exist.\r\n\r\nSee the following output;\r\n```\r\ntansell@tansell-glapstation:~/github/The-OpenROAD-Project/OpenROAD$ ./test/regression flow\r\n------------------------------------------------------\r\nFlow\r\ngcd_nangate45 (tcl) pass\r\ngcd_sky130hd (tcl) pass\r\ngcd_sky130hs (tcl) pass\r\nibex_sky130hd (tcl) pass\r\nibex_sky130hs (tcl) pass\r\naes_nangate45 (tcl) pass\r\naes_sky130hd (tcl) pass\r\naes_sky130hs (tcl) pass\r\ntinyRocket_nangate45 (tcl)\r\n pass\r\njpeg_sky130hs (tcl) *FAIL* RSZ::max_slew_slack -19% < 0% ; DRT::max_slew_slack -17% < -14%\r\njpeg_sky130hd (tcl) *FAIL* DRT::max_slew_slack -1% < -0%\r\n------------------------------------------------------\r\nFailed 2/11\r\nSee /ssd/github/The-OpenROAD-Project/OpenROAD/test/results/diffs for differences\r\nSee /ssd/github/The-OpenROAD-Project/OpenROAD/test/results for log files\r\n------------------------------------------------------\r\nFound 1 tool regression failures.\r\n```\r\n\r\n`/ssd/github/The-OpenROAD-Project/OpenROAD/test/results` does exist.\r\n\n\n### Expected Behavior\n\nThe directory `/ssd/github/The-OpenROAD-Project/OpenROAD/test/results/diffs` exists?\n\n### Environment\n\n```shell\nGit commit: 15d5c68f995104f38f6a3c8b50932e4c6d44e54b\r\nkernel: Linux 6.3.11-1rodete2-amd64 \r\nos: Debian GNU/Linux rodete \r\ncmake version 3.24.2 \r\n-- The CXX compiler identification is GNU 12.2.0 \r\n-- Detecting CXX compiler ABI info \r\n-- Detecting CXX compiler ABI info - done \r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features \r\n-- Detecting CXX compile features - done \r\n-- OpenROAD version: v2.0-10417-g15d5c68f9 \r\n-- System name: Linux \r\n-- Compiler: GNU 12.2.0 \r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local \r\n-- C++ Standard: 17 \r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF \r\n-- The C compiler identification is GNU 12.2.0 \r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done \r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.11 (found version \"3.11.5\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success \r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall \r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds \r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success \r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull \r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success \r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success \r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /home/tansell/.local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.11 (found version \"3.11.5\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.13\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.4\") found components: doxygen dot \r\n-- STA version: 2.4.0\r\n-- STA git sha: 68600a2921a47679e1c3a159bdb852df191a0a62\r\n-- System name: Linux\r\n-- Compiler: GNU 12.2.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /ssd/github/The-OpenROAD-Project/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /home/tansell/.local/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/tansell/.local/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/tansell/.local/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /home/tansell/.local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/tansell/.local/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /home/tansell/.local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /home/tansell/.local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /home/tansell/.local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.ljVSa4llSF\n```\n\n\n### To Reproduce\n\nFollow instructions at https://openroad.readthedocs.io/en/latest/main/README.html#regression-tests\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@mithro,\r\nThe regression gets fail/pass status by two main checks:\r\n1. If their log output is the same\r\n2. If the flow metrics are within a safety margin\r\n\r\nIf the logs differ, then the `diff` file is created.\r\nIf the metrics fail (in your case), the failing metrics are printed in the console, for example:\r\n```\r\njpeg_sky130hs (tcl) *FAIL* RSZ::max_slew_slack -19% < 0% ; DRT::max_slew_slack -17% < -14%\r\n```\r\nThe failing metrics are two:\r\n- RSZ::max_slew_slack -19% < 0%\r\n- DRT::max_slew_slack -17% < -14%\r\n\r\nCurrently, we do not keep track of different failure modes (`1.` vs `2.`, above).\r\nSo we print the message to see the diff file whenever there's an error.\r\n"}]} +{"num": 4050, "messages": [{"user": "### Description\r\n\r\nCurrently a def PIN and NET are both displayed depending on the Net switch in the gui.\r\n\r\nTrying to debug https://github.com/KLayout/klayout/issues/1490 I would have liked to be able to switch the pins off and see where the net is.\r\n\r\n### Suggested Solution\r\n\r\nadd pin switch\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I don't believe this is accurate though I'm not in front of a computer. There is a control for terms "}, {"user": "There is Pin Markers, but that toggles the pinname and the triangle showing the direction.\r\nIt would be nice if it could also toggle the pin geometry and probably renamed to just \"Pin\"\r\nCurrently the pin geometry is toggled by the Net switch together with the net geometry.\r\n"}, {"assistant": "@stefanottili We have currently in display control: Misc -> Instances -> Pins. That won't allow selection, but the geometry is visible. Wouldn't that help?"}, {"user": "I was not looking at instance pins, I was looking at the PINS section of a DESIGN.\r\n\r\nNets (also switches \"Pin geometry\" visibility)\r\nPin Markers (switches \"Pin Name\" and \"Pin Direction\")\r\nMisc->Instances->Pins\r\nMisc->Instances->Pin labels\r\n\r\n\"Pin Markers\" switches visibility of \"Pin Name\" and \"Pin Direction\"\r\nThe \"Pin geometry\" visibility gets switched by Nets.\r\n\r\nI would find it much more logical to have \"Pins\" instead of \"Pin Label\" and that it would switch visibility of \"Pin Name\", \"Pin Direction\" and \"Pin geometry\".\r\n\r\nAll that's needed is to rename \"Pin Labels\" to \"Pins\" and move the visibily switch for pin geometry from \"Nets\" to \"Pins\".\r\nAnd if you really want to treat Nets and Pins the same, add the selection switch to Pins too.\r\n\r\nLastly I would rename \"Misc->Instances->Pin labels\" to \"Misc->Instances->Pin Name\"."}, {"assistant": "I'm ok with this. @gadfort any thoughts?"}, {"assistant": "Seems reasonable "}, {"user": "loading mock-array_Element lef/def into klayout shows that the net routes to the edge of the pin.\r\n\r\nIn openroad, the \"Net\" switch also draws the pin geometry, so one can't distinguish pin from net.\r\n\r\n\"image\"\r\n\r\nopenroad -gui mock-array.or\r\n\r\ncat mock-array.or:\r\n```\r\nread_lef platforms/asap7/lef/asap7_tech_1x_201209.lef\r\nread_lef platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef\r\nread_lef results/asap7/mock-array_Element/base/Element.lef\r\nread_def results/asap7/mock-array/base/6_final.def\r\nread_def -child results/asap7/mock-array_Element/base/6_final.def\r\n```\r\n"}, {"assistant": "Turn off the net and you'll see the pin. "}]} +{"num": 4064, "messages": [{"user": "### Description\r\n\r\nIf the area is too small and the placement density is low, OpenROAD recommends a new placement density. This is very useful.\r\n\r\nSimilarly, it would be good, during normal execution, if OpenROAD estimate a possible placement density and width/height for the design. This should also take into account the amount space required for pins, given that pins are constrained to edges. Routing congestion should also, ideally, be taken into account in this estimate.\r\n\r\nIt would save a lot of iterations on running the design, if it was possible to do a run with generous area and placement density and then update the config.mk with a placement and area that is in the neighbourhood of what one can expect OpenROAD to do. \r\n\r\nThe estimate does not have to be perfect, and it should be given for each stage and refined for each stage: floorplan, placement and routing. Keep in mind that the \"competitor\" to such estimates is currently blind guesses by the user, so a heuristic by someone skilled in the arts of floorplan, placement and routing coded up in OpenROAD should be a lot better than blind guesses.\r\n\r\nA further refinement of this would be an \"auto\" option for placement density and design area. When doing architectural exploration, all that we are after is a reasonable area and placement density for the design, whatever that is at any one time.\r\n\r\nThe `distribute.py` script did not work when we tried it and it is not particularly user-friendly and the use case is different: eke out every last bit of quality of results.\r\n\r\nFor architectural exploration, it would be more ideal just to get usable estimates on these two parameters: placement density and width/height of the design.\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Create a more specific request later."}]} +{"num": 4068, "messages": [{"user": "### Description\r\n\r\nAdd support for moving and rotating macros from the GUI, snapping to the grid as well as saving of macro placement.\r\n\r\n### Suggested Solution\r\n\r\n1. grab and move macro, by default snapping to the grid so that detailed router and other stages do not run into trouble.\r\n2. add a `write_placement foo.tcl` command.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Snap to which grid? A macro can have arbitrary pin sizes and shapes and it would be extremely difficult to ensure that an arbitrary macro is snapped to the routing grid. Also, pins on different layers could want to snap to different tracks so that at least 1 layer isn't aligned.\r\n\r\nThere's a lot of potential for this feature to cause frustration if the macro doesn't follow standard conventions exactly. If you supply some more details about the expected behavior, then I imagine this request could gain better traction."}, {"user": "@rovinski I am afraid I dont know exactly what the constraints are, I was hoping some expert domain knowledge of this could be built into the GUI"}, {"assistant": "Well, you know how with Microsoft Word or PowerPoint when you move an image around and it snaps to everything except how you want it? That's what I am worried about. \ud83d\ude05\r\n\r\nHere's an example of a macro with no good way to snap because of pins off track:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/3936698/b3fbd1d6-d5d8-4325-8e51-e1d5ad2155e5)\r\n\r\nHere's an example of no good way to snap because of pins and tracks misaligned between layers\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/3936698/b9767546-f616-472e-a81e-227d5d9e4c29)\r\n\r\nHere's an example of very difficult to determine snapping because of polygon pin shapes\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/3936698/f7b69cce-cb0b-4846-ad42-b0d7dec717d7)\r\n\r\nHere's an example of too many potential snapping points because of wide pins\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/3936698/8b77d414-9d01-4f25-a148-421c2d5db343)\r\n\r\nSo I fear that checking for all of these arbitrary conditions would be difficult. Realistically there would have to be a lot of checking to see if a macro could be easily snapped to the routing grid.\r\nSnapping to the placement grid or the manufacturing grid would be much more straightforward."}, {"assistant": "Based on the discussion in #4069 I'm dropping the GUI editing and suggesting we just add a write_macro_placement command to mpl2."}, {"assistant": "@maliberty I'm looking at `write_pin_placement` to use as a reference to work on this. So, should we have a new function in mpl2 for single macro placement such as `place_macro`?\r\n\r\nWe could then write one command for each macro to the output file."}, {"assistant": "I believe the intention would be to save all the macro placements into one file."}]} +{"num": 4069, "messages": [{"user": "### Description\n\nAdd support in GUI to manually move and to save the IO placement.\r\n\r\nInitially, a constraint file might be written, but in later stages, manually moving pins can be quite useful.\n\n### Suggested Solution\n\n1. Add possibly to move pin, snapping to grids such that detailed placement and other stages do not run into trouble.\r\n2. add `write_io_placement foo.tcl` command.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@eder-matheus can PPL be adjusted to make `write_pin_placement` a separate command?"}, {"assistant": "> @eder-matheus can PPL be adjusted to make `write_pin_placement` a separate command?\r\n\r\nDone"}, {"assistant": "Turn OR into an interactive layout editing system is an endless task that we have avoided. This and #4068 are just the start once we open this door. Is it possible to define improvements that would obviate the need to this manually?"}, {"user": "@maliberty I just spoke with @louiic: he spoke of constraints to place macros. I think that coming up with some additional constraints is more idiomatic for OpenROAD's approach than to start down the path of GUI editing features...\r\n\r\nThat said, I think a write_io_placement and write_macro_placement Tcl commands is a good idea. For macros and io placement, this can be used to do some tinkering in text editors and also to speed up the flow by skipping macro and io placement..."}, {"assistant": "Eder already did write_pin_placement in #4073 so I'll close this. write_macro_placement wouldn't be hard and I'll revise #4068 to be just that.\r\n\r\nmpl2 has some support for constraints that we could build on."}]} +{"num": 4070, "messages": [{"user": "### Describe the bug\n\n`check_antennas -verbose` exits with the mentioned error. \n\n### Expected Behavior\n\nA clearer error message or perhaps no exit at all.\n\n### OpenROAD Environment\n\n```shell\nGit commit: 7ff344109037f2ace724a784d4801b691827ea49\r\nkernel: Linux 5.15.0-84-generic\r\nos: Ubuntu 22.04.1 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10516-g7ff344109\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format -CMake Warning at src/CMakeLists.txt:233 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 68600a2921a47679e1c3a159bdb852df191a0a62\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.FwyhqZ4KAP\n```\n\n\n### OpenLane Environment\n\n```shell\nopen_pdks dd7771c384ed36b91a25e9f8b314355fc26561be\r\nKernel: Linux v5.15.0-84-generic\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.12 (OK)\r\nContainer Engine: docker v20.10.22 (OK)\r\nOpenLane Git Version: update-openroad_app-dev\r\npip: INSTALLED\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\nf32a3908 2023-10-03T12:21:27+03:00 export c++ before python modules installs since libparse requires gcc - kareefardi - (HEAD -> update-openroad_app, fork/update-openroad_app)\r\nf60fdae9 2023-10-03T11:38:13+03:00 update openroad app - kareefardi - ()\r\n5771836b 2023-10-01T15:19:35+00:00 Add -skip_io and iterate io and global placement (#1984) - Kareem Farid - (tag: 2023.10.02, origin/master, master)\r\n---\r\nGit Remotes\r\n\r\ndonn\tgit@github.com:donn/openlane.git (fetch)\r\ndonn\tgit@github.com:donn/openlane.git (push)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (fetch)\r\nfork\tgit@github.com:kareefardi/OpenLane.git (push)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin\tgit@github.com:The-OpenROAD-Project/OpenLane.git (push)\n```\n\n\n### To Reproduce\n\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12792293/issue_reproducible.tar.gz)\r\nExtract and run `bash run.tcl`\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-10516-g7ff344109\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO]: Reading ODB at './results/routing/spm.odb'\u2026\r\ndefine_corners Typical\r\nread_liberty -corner Typical pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib\r\nUsing 1e-12 for capacitance...\r\nUsing 1e+03 for resistance...\r\nUsing 1e-09 for time...\r\nUsing 1e+00 for voltage...\r\nUsing 1e-03 for current...\r\nUsing 1e-09 for power...\r\nUsing 1e-06 for distance...\r\nReading design constraints file at 'home/karim/work/OpenLane/scripts/base.sdc'\u2026\r\n[INFO]: Setting output delay to: 2.0\r\n[INFO]: Setting input delay to: 2.0\r\n[INFO]: Setting load to: 0.033442\r\n[INFO]: Setting clock uncertainty to: 0.25\r\n[INFO]: Setting clock transition to: 0.15\r\n[INFO]: Setting timing derate to: 5.0 %\r\nError: antenna_check.tcl, 18 _Map_base::at\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nThe same error happened during detailed routing while reading guides file. \r\n[issue_reproducible.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/12792302/issue_reproducible.tar.gz). The workaround is that we no longer have to read guides file before detailed routing if we are using odb. However, as mentioned above the error happens again in a later stage in the flow\r\n"}, {"assistant": "@kareefardi I've created a PR to avoid having the map error, but I also found that the issue occurs because the global router doesn't have the min-max routing layers information when executing the `check_antennas` command. I suggest you add the following command to avoid such errors:\r\n`set_routing_layers -signal min-max -clock min-max`.\r\n\r\nI'll also add a warning in GRT for cases where the layer range is not defined.\r\n\r\nEDIT: actually, I don't think a warning is necessary since it can just set the layer range to use the min and max routing layers of the tech. It is already performed, so my PR covering the existing error should be enough."}]} +{"num": 4075, "messages": [{"user": "### Description\n\nchange ripup mode to an enum in both dr and drWorker.\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@osamahammad21 how many ripup modes do we currently have? and what should I name each?"}, {"assistant": "Solved by PR #4135."}]} +{"num": 4085, "messages": [{"user": "### Description\n\nunzip [rtlmp.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12808850/rtlmp.zip)\r\n\r\n\r\n```\r\n$ ./run-me-mock-array-asap7-base.sh \r\nOpenROAD v2.0-10574-gd04de2f32 \r\n[deleted]\r\n```\r\n\r\nPlacement result, not an array:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/775bdffe-011a-4ca8-be5e-df33800c2da5)\r\n\n\n### Suggested Solution\n\nShould result in an array.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe What criteria should induce the 8x8 array centering in the die? The predominant criteria used for the mechanism used by mpl2 is wirelength, however moving the array inside the die doesn't affect it at all due to the symmetry."}, {"user": "@AcKoucher @maliberty I dont know... We have discussed some sort of placement constraint language. Perhaps that is needed here to break the symmetry?"}, {"assistant": "@oharboe With the changes in #4206, #4704 and #4705 (many issues...) we'll be able to centralize mock-array by setting the boundary weight parameter in HierRTLMP to 0. Would that be acceptable?\r\n\r\nThe default functionality of mpl2 is to push macros away from the center which is exactly the opposite behavior of what we'd want here."}, {"user": "@AcKoucher Sounds like a solid step forward.\r\n\r\n@maliberty Any luck in getting.macro placement constraints articulated?"}]} +{"num": 4092, "messages": [{"user": "### Describe the bug\r\n\r\nRun: `./build_openroad --local --latest`\r\n\r\nTo reproduce:\r\n\r\n```\r\n$ make DESIGN_CONFIG=designs/nangate45/gcd/config.mk generate_abstract\r\n[deleted]\r\nOpenROAD v2.0-10646-g0dff30da2\r\n[deleted]\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n[INFO RSZ-0033] No hold violations found.\r\nError: cts.tcl, 110 couldn't execute \"eqy\": no such file or directory\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:03.21[h:]min:sec. CPU time: user 3.18 sys 0.02 (99%). Peak memory: 111884KB.\r\nmake[1]: *** [Makefile:669: do-4_1_cts] Error 1\r\nmake: *** [Makefile:669: results/nangate45/gcd/base/4_1_cts.odb] Error 2\r\n```\r\n\r\n### Expected Behavior\r\n\r\nShould run to completion without error\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: e70606743bb66db93f0a918975bff23455ad16c5\r\nkernel: Linux 6.2.0-32-generic\r\nos: Ubuntu 23.04 (Lunar Lobster)\r\ncmake version 3.25.1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@maliberty @vvbandeira I updated the testcase. No need for a giant download, happens with `make DESIGN_CONFIG=designs/nangate45/gcd/config.mk generate_abstract`\r\n\r\nWorks with `make DESIGN_CONFIG=designs/asap7/gcd/config.mk generate_abstract` though..."}, {"assistant": "Similar to https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1513"}, {"assistant": "@vvbandeira please update"}, {"assistant": "Both I and one of my students ran into this. I think one of the main problems is that there are default designs, e.g. nangate45/gcd, which have equivalence checking turned on. There either needs to be a check for whether `eqy` is actually installed, or `EQUIVALENCE_CHECK = 1` needs to be removed from designs."}, {"assistant": "@vvbandeira perhaps we can just call eqy from Jenkins (as the default)"}, {"assistant": "I had the same issue and had to follow the comment here https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1513#issuecomment-1741746622 to fix it. Thanks."}, {"assistant": "This should be resolved once https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1751 is merged"}, {"assistant": "[#1751](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1751) was replaced by [#1754](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1754)"}, {"assistant": "@kush-manchanda \r\nCould you try again with the latest code? Please also make sure to `source env.sh` before running the flow if you used `sudo ./setup.sh` to install the dependencies."}, {"assistant": "@vvbandeira cloned it again and did the build locally. It worked fine. "}]} +{"num": 4094, "messages": [{"user": "### Describe the bug\n\nIf a macro is short enough to fall between two pdn strips then an error should be reported (or the algorithm improved to handle it).\n\n### Expected Behavior\n\nA PDN error rather than a PSM one.\n\n### Environment\n\n```shell\nSee below\n```\n\n\n### To Reproduce\n\nng45/bp when run with \r\nORFS 9d638a19b69c167b61479ec311b40b87d2107cad\r\nOR e49038b1b3242f838daf22a52797de3137883675\r\n\r\n(eg Jenkins OpenROAD-flow-scripts-Nightly-Public/3090)\n\n### Relevant log output\n\n```shell\nFrom https://jenkins.openroad.tools/job/OpenROAD-flow-scripts-Nightly-Public/3090/execution/node/842/log/\r\n\r\n[WARNING PSM-0038] Unconnected PDN node on net VDD at location (27.485um, 322.455um), layer: metal5.\r\n[ERROR PSM-0078] IR drop setup failed. Analysis can't proceed.\n```\n\n\n### Screenshots\n\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/c88dbb36-1d0d-4f37-b2ba-8af6a117db69)\r\n\n\n### Additional Context\n\n_No response_"}, {"assistant": "I will throw out there that it can be useful to ignore these errors when prototyping. It would be nice to have an option to ignore a hypothetical error during the PDN stage or have it be automatically fixed with a healing strap or similar."}]} +{"num": 4104, "messages": [{"user": "### Describe the bug\n\nThis is a follow up to #4061, I know that it should have been fixed by #4071 but it doesn't seems to work even after pulling down the lastest commits and re-building OpenROAD.\r\n\r\nI have a design whose core area is a bit more than 320um x 320um, my minimum pitch is 0.32 for the x/y axis so I expect to have 1000*1000 = 1 000 000 pin position available but OpenROAD tells me that it only has 13 568 pins positions available.\r\n\r\nJust as info : I use OpenROAD-flow-script. To update OpenROAD I have gone in the OpenROAD-flow-scripts/tools/OpenROAD, used `git checkout master` then `git pull`, followed then by `cd build` and `make`. I even tried `git submodule update --remote` and `git submodule update --remote --recursive`, to see if it came from the use of submodule.\r\nBuilding OpenROAD after pulling down the latest commits didn't seemed to fix the issue, I hope it isn't just a mishandling on my part...\n\n### Expected Behavior\n\nPin placement allowed due to sufficient pin locations.\n\n### Environment\n\n```shell\nGit commit: 672579366902e0f3f1561320096912cf84d688b4\r\nkernel: Linux 5.15.90.1-microsoft-standard-WSL2\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\n\r\n(Note that I just did another commit, but the build should be from the last commit from October 5th)\n```\n\n\n### To Reproduce\n\n[TestCase.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12834507/TestCase.zip)\r\n\r\nHere should be every needed file to reproduce the issue. Create a TestCase directory in _OpenROAD-flow-script/tools/OpenROAD/test/_ and extract every file there. Open the gui with `openroad -gui` and enter `source \"ldpc4x4_asap7_bottom.tcl\"` in the TCL command box.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nI also noticed that the RAM usage could go as high as 15GB with the following test case (with 16GB allowed at maximum for the Linux VM), I don't know if it is normal or not."}, {"assistant": "@lustefan97 I'm having this error when running your attached test case during placement:\r\n```\r\n[ERROR GPL-0302] Use a higher -density or re-floorplan with a larger core area.\r\nGiven target density: 0.60\r\nSuggested target density: 0.61\r\n```\r\n\r\nCould you add the log file where the number of available positions is reported? Do you see it in an error message or just in an INFO message?"}, {"user": "@eder-matheus I don't think I even got to global placement, I was stuck in the (random) IO pin placement just before that.\r\n\r\nI feel like it is an error on my part, where I failed to update OpenROAD... Just to be sure, in order to properly update OpenROAD, I just have to go into _OpenROAD-flow-scripts/tools/OpenROAD/_, use `git checkout master` then `git pull`and finally build OpenROAD again by going into _OpenROAD/build/_ and using `make` to compile everything back again.\r\n\r\nI know that my files are up-to-date with the master branch, but it seems that I failed to build OpenROAD with the recent changes...."}, {"user": "Yes indeed, it was a bad manipulation on my part, I do have the same error as you do for the global placement now... Sorry for the inconvenience "}, {"assistant": "@lustefan97 No problem, you've raised an essential missing in the PPL tool. It wasn't reporting the number of positions from the top layer grid; my PR is covering it now.\r\n\r\nAlso, when using the OpenROAD-flow-scripts, you can use the build_openroad.sh script to get the latest updates in the submodules. So, if you want to build ORFS with the latest commits from the OpenROAD repository, you can run `./build_openroad.sh --latest`. This will update all submodules to the latest commit. Also, you can use the flag `--local` to build everything in your machine instead of docker."}]} +{"num": 4108, "messages": [{"user": "### Description\r\n\r\nunzip https://drive.google.com/file/d/1BFUHnN-5qJI7WUqug3ehs6fxSkNoZCqJ/view?usp=sharing\r\n\r\nRun:\r\n\r\n```\r\n$ ./run-me-mock-aluregisterfile-asap7-base.sh \r\nOpenROAD v2.0-10613-g75796f6ec \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GRT-0020] Min routing layer: M2\r\n[INFO GRT-0021] Max routing layer: M7\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0088] Layer M1 Track-Pitch = 0.0360 line-2-Via Pitch: 0.0360\r\n[INFO GRT-0088] Layer M2 Track-Pitch = 0.0390 line-2-Via Pitch: 0.0360\r\n[INFO GRT-0088] Layer M3 Track-Pitch = 0.0360 line-2-Via Pitch: 0.0360\r\n[INFO GRT-0088] Layer M4 Track-Pitch = 0.0480 line-2-Via Pitch: 0.0480\r\n[INFO GRT-0088] Layer M5 Track-Pitch = 0.0480 line-2-Via Pitch: 0.0480\r\n[INFO GRT-0088] Layer M6 Track-Pitch = 0.0640 line-2-Via Pitch: 0.0640\r\n[INFO GRT-0088] Layer M7 Track-Pitch = 0.0640 line-2-Via Pitch: 0.0640\r\n[INFO GRT-0019] Found 892 clock nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 31\r\n[INFO GRT-0003] Macros: 4\r\n[INFO GRT-0004] Blockages: 2496\r\n\r\n[INFO GRT-0053] Routing resources analysis:\r\n Routing Original Derated Resource\r\nLayer Direction Resources Resources Reduction (%)\r\n---------------------------------------------------------------\r\nM1 Vertical 0 0 0.00%\r\nM2 Horizontal 15151320 4140683 72.67%\r\nM3 Vertical 17502480 5557969 68.24%\r\nM4 Horizontal 12835800 4107283 68.00%\r\nM5 Vertical 12835800 3683262 71.30%\r\nM6 Horizontal 9335520 2665179 71.45%\r\nM7 Vertical 9335520 3282814 64.84%\r\n---------------------------------------------------------------\r\n\r\n[INFO GRT-0101] Running extra iterations to remove overflow.\r\n[INFO GRT-0197] Via related to pin nodes: 55329\r\n[INFO GRT-0198] Via related Steiner nodes: 2322\r\n[INFO GRT-0199] Via filling finished.\r\n[INFO GRT-0111] Final number of vias: 92187\r\n[INFO GRT-0112] Final usage 3D: 2476739\r\n[ERROR GRT-0119] Routing congestion too high. Check the congestion heatmap in the GUI and load ./reports/asap7/mock-aluregisterfile/base/congestion.rpt in the DRC viewer.\r\nError: global_route.tcl, 27 GRT-0119\r\nopenroad> \r\n\r\n\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/c7edc2ab-ef77-4e3b-8e65-ab22e9a1f126)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/1c4cc54f-006e-4515-9bbf-30b670a60a81)\r\n\r\n\r\n### Suggested Solution\r\n\r\nLooks like global route is having a problem turning corners...? Make it better at turning corners...\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Just for information, this is the mock-aluregisterfile design where I try to break out the registerfile as a macro and split it into 4 equally sized multiported SRAMs that are combined at the top level.\r\n\r\nThis makes running times more managable:\r\n\r\n```\r\n$ make elapsed\r\n[INFO][FLOW] Using platform directory /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7\r\n[INFO-FLOW] ASU ASAP7 - version 2\r\nUser PVT selection: BC\r\n[INFO][FLOW] Invoked hierarchical flow.\r\nBlock registers_32x64 needs to be hardened.\r\n./logs/asap7/mock-aluregisterfile_registers_32x64/base/\r\nLog Elapsed seconds\r\n1_1_yosys 48\r\n2_1_floorplan 8\r\n2_2_floorplan_io 1\r\n2_3_floorplan_tdms 1\r\n2_4_floorplan_macro 1\r\n2_5_floorplan_tapcell 1\r\n2_6_floorplan_pdn 1\r\n3_1_place_gp_skip_io 9\r\n3_2_place_iop 1\r\n3_3_place_gp 39\r\n3_4_place_resized 28\r\n3_5_place_dp 18\r\n4_1_cts 34\r\n4_2_cts_fillcell 1\r\n5_1_grt 21\r\n5_2_route 917\r\n6_1_merge 4\r\n6_report 49\r\n./logs/asap7/mock-aluregisterfile/base\r\nLog Elapsed seconds\r\n1_1_yosys 5\r\n2_1_floorplan 1\r\n2_4_floorplan_macro 1\r\n2_5_floorplan_tapcell 1\r\n2_6_floorplan_pdn 13\r\n3_1_place_gp_skip_io 40\r\n3_2_place_iop 2\r\n3_3_place_gp 57\r\n3_4_place_resized 7\r\n3_5_place_dp 8\r\n4_1_cts 11\r\n4_2_cts_fillcell 6\r\n5_1_grt.tmp 94\r\n```"}, {"assistant": "@eder-matheus any update?"}]} +{"num": 4110, "messages": [{"user": "### Description\r\n\r\nThe macro placement for the test-case below fails in global routing: [macro.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12840638/macro.zip)\r\n\r\nThe congestion DRC error crosses can be seen between the two upper macros:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/0fc0349a-5169-499a-b3bf-f646d6b1ef89)\r\n\r\nThe \"MY\" rotation is especially bad, because that places the pins on the right side of the maros. If the pins at the top level had been at the top and not left side, the current arrangement would have been better.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/9c9da924-6abc-47b3-a100-83f426a6b30d)\r\n\r\nOne workable solution wold be to place the macros in the corners and have the logic be in the middle, which requires rotating and placing the macros in the corners because the pins are on the R0 left side of the RegisterSRAM macro.\r\n\r\n\r\n\r\n\r\nTo reproduce:\r\n\r\n```\r\n$ ./run-me-mock-aluregisterfile-asap7-base.sh \r\nOpenROAD v2.0-10502-g6d9379092 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nHierRTLMP Flow enabled...\r\nCall Macro Placer -halo_width 27 -report_directory ./objects/asap7/mock-aluregisterfile/base/rtlmp\r\nFloorplan Outline: (0.0, 0.0) (578.759, 578.759), Core Outline: (2, 2) (576, 576)\r\nTraversed logical hierarchy\r\n\tNumber of std cell instances : 5020\r\n\tArea of std cell instances : 588.42\r\n\tNumber of macros : 4\r\n\tArea of macros : 98491.38\r\n\tArea of macros with halos : 177943.97\r\n\tTotal area : 99079.80\r\n\tDesign Utilization : 0.30\r\n\tCore Utilization: 0.00\r\n\tManufacturing Grid: 1\r\n\r\n[INFO MPL-0024] Perform Clustering..\r\n[INFO MPL-0027] The design only has macros.\r\n\r\nnumber of updated macros : 4\r\nnumber of macros in HardMacroCluster : 4\r\nDelete buffers for RTLMP flow...\r\n[INFO RSZ-0026] Removed 0 buffers.\r\nopenroad> \r\n```\r\n\r\n### Suggested Solution\r\n\r\nMake `RTLMP_FLOW=True` better in this case too\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "commentting out `export RTLMP_FLOW=True` actually yields a better macro placement. Global routing finishes in a minute or so...\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/c5fd5295-b225-4d65-a249-bfeab698d418)\r\n"}]} +{"num": 4121, "messages": [{"user": "### Describe the bug\r\n\r\nCI printed `2023-10-11T00:27:13.3062451Z [INFO RSZ-0058] Using max wire length 4459um.` then timed out\r\n\r\n### Expected Behavior\r\n\r\nResizer step should pass\r\n\r\n### Environment\r\n\r\n```shell\r\nfatal: unable to access 'https://github.com/The-OpenROAD-Project/OpenROAD.git/': Failed to connect to github.com port 443 after 99 ms: Couldn't connect to server\r\n```\r\n\r\nbdc8e944cd08ba8edebeca19fa531bd026690330\r\n\r\n### To Reproduce\r\n\r\nhttps://drive.google.com/file/d/1Q1IQOyUUbxX26my9kEVG9M7-MK7qs4zt/view?usp=sharing\r\n\r\nUntar then run `./run.sh`\r\n\r\n### Relevant log output\r\n\r\n```shell\r\n2023-10-11T00:26:53.8248685Z Reading timing models for corner nom_tt_025C_1v80\u2026\r\n2023-10-11T00:26:53.8249714Z Reading timing library for the 'nom_tt_025C_1v80' corner at \r\n2023-10-11T00:26:53.8250863Z '/home/runner/.volare/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_0\r\n2023-10-11T00:26:53.8302204Z 25C_1v80.lib'\u2026\r\n2023-10-11T00:26:54.1498139Z Reading timing models for corner nom_ff_n40C_1v95\u2026\r\n2023-10-11T00:26:54.1498723Z Reading timing library for the 'nom_ff_n40C_1v95' corner at \r\n2023-10-11T00:26:54.1499276Z '/home/runner/.volare/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n\r\n2023-10-11T00:26:54.1499636Z 40C_1v95.lib'\u2026\r\n2023-10-11T00:26:54.1826957Z [WARNING STA-0122] message limit reached, this message will no longer print\r\n2023-10-11T00:26:55.1298482Z Reading timing models for corner nom_ss_100C_1v60\u2026\r\n2023-10-11T00:26:55.1299091Z Reading timing library for the 'nom_ss_100C_1v60' corner at \r\n2023-10-11T00:26:55.1299691Z '/home/runner/.volare/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_1\r\n2023-10-11T00:26:55.1300086Z 00C_1v60.lib'\u2026\r\n2023-10-11T00:26:55.4572690Z Reading OpenROAD database at \r\n2023-10-11T00:26:55.4573577Z '/home/runner/work/openlane2/openlane2/test/designs/aes_core/runs/CI/29-openroad\r\n2023-10-11T00:26:55.4574115Z -globalrouting/aes_core.odb'\u2026\r\n2023-10-11T00:26:55.6611272Z Reading design constraints file at \r\n2023-10-11T00:26:55.6612464Z '/home/runner/work/openlane2/openlane2/openlane/scripts/base.sdc'\u2026\r\n2023-10-11T00:26:55.6612878Z [INFO] Using clock clk\u2026\r\n2023-10-11T00:26:55.6613202Z [INFO] Setting output delay to: 4\r\n2023-10-11T00:26:55.6613540Z [INFO] Setting input delay to: 4\r\n2023-10-11T00:26:55.6709048Z [INFO] Setting load to: 0.033442\r\n2023-10-11T00:26:55.6716757Z [INFO] Setting clock uncertainty to: 0.25\r\n2023-10-11T00:26:55.6733283Z [INFO] Setting clock transition to: \r\n2023-10-11T00:26:55.6733650Z 0.1499999999999999944488848768742172978818416595458984375\r\n2023-10-11T00:26:55.6734275Z [INFO] Setting timing derate to: 5%\r\n2023-10-11T00:26:55.8262708Z [INFO] Setting RC values\u2026\r\n2023-10-11T00:26:55.8282441Z [INFO] Setting signal min routing layer to: met1 and clock min routing layer to \r\n2023-10-11T00:26:55.8282880Z met1.\r\n2023-10-11T00:26:55.8283267Z [INFO] Setting signal max routing layer to: met5 and clock max routing layer to \r\n2023-10-11T00:26:55.8283652Z met5.\r\n2023-10-11T00:26:55.8335191Z -congestion_iterations 50 -verbose\r\n2023-10-11T00:26:55.8335662Z [INFO GRT-0020] Min routing layer: met1\r\n2023-10-11T00:26:55.8336079Z [INFO GRT-0021] Max routing layer: met5\r\n2023-10-11T00:26:55.8336486Z [INFO GRT-0022] Global adjustment: 30%\r\n2023-10-11T00:26:55.8336882Z [INFO GRT-0023] Grid origin: (0, 0)\r\n2023-10-11T00:26:55.8337286Z [INFO GRT-0043] No OR_DEFAULT vias defined.\r\n2023-10-11T00:26:55.8338182Z [INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400\r\n2023-10-11T00:26:55.8338755Z [INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400\r\n2023-10-11T00:26:55.8339328Z [INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500\r\n2023-10-11T00:26:55.8345760Z [INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150\r\n2023-10-11T00:26:55.8354182Z [INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 1.0400\r\n2023-10-11T00:26:55.8361781Z [INFO GRT-0088] Layer met5 Track-Pitch = 3.4000 line-2-Via Pitch: 3.1100\r\n2023-10-11T00:26:56.9477247Z [INFO GRT-0019] Found 576 clock nets.\r\n2023-10-11T00:27:00.8664765Z [INFO GRT-0001] Minimum degree: 2\r\n2023-10-11T00:27:00.8665642Z [INFO GRT-0002] Maximum degree: 20\r\n2023-10-11T00:27:00.9449119Z [INFO GRT-0003] Macros: 0\r\n2023-10-11T00:27:00.9593866Z [INFO GRT-0004] Blockages: 38963\r\n2023-10-11T00:27:00.9656198Z \r\n2023-10-11T00:27:00.9664523Z [INFO GRT-0053] Routing resources analysis:\r\n2023-10-11T00:27:00.9670718Z Routing Original Derated Resource\r\n2023-10-11T00:27:00.9677599Z Layer Direction Resources Resources Reduction (%)\r\n2023-10-11T00:27:00.9683651Z ---------------------------------------------------------------\r\n2023-10-11T00:27:00.9690656Z li1 Vertical 0 0 0.00%\r\n2023-10-11T00:27:00.9697814Z met1 Horizontal 360523 174346 51.64%\r\n2023-10-11T00:27:00.9704657Z met2 Vertical 271245 179015 34.00%\r\n2023-10-11T00:27:00.9711486Z met3 Horizontal 180195 124532 30.89%\r\n2023-10-11T00:27:00.9718331Z met4 Vertical 108822 69054 36.54%\r\n2023-10-11T00:27:00.9725142Z met5 Horizontal 36039 17292 52.02%\r\n2023-10-11T00:27:00.9731400Z ---------------------------------------------------------------\r\n2023-10-11T00:27:00.9736117Z \r\n2023-10-11T00:27:01.6847862Z [INFO GRT-0101] Running extra iterations to remove overflow.\r\n2023-10-11T00:27:10.2595511Z [INFO GRT-0197] Via related to pin nodes: 134177\r\n2023-10-11T00:27:10.2596508Z [INFO GRT-0198] Via related Steiner nodes: 5658\r\n2023-10-11T00:27:10.2597221Z [INFO GRT-0199] Via filling finished.\r\n2023-10-11T00:27:10.2704589Z [INFO GRT-0111] Final number of vias: 157807\r\n2023-10-11T00:27:10.2712577Z [INFO GRT-0112] Final usage 3D: 728190\r\n2023-10-11T00:27:10.5532440Z \r\n2023-10-11T00:27:10.5533632Z [INFO GRT-0096] Final congestion report:\r\n2023-10-11T00:27:10.5534408Z Layer Resource Demand Usage (%) Max H / Max V / Total \r\n2023-10-11T00:27:10.5534973Z Overflow\r\n2023-10-11T00:27:10.5547950Z --------------------------------------------------------------------------------\r\n2023-10-11T00:27:10.5548557Z -------\r\n2023-10-11T00:27:10.5558798Z li1 0 0 0.00% 0 / 0 / 0\r\n2023-10-11T00:27:10.5568349Z met1 174346 101785 58.38% 0 / 0 / 0\r\n2023-10-11T00:27:10.5578414Z met2 179015 106772 59.64% 0 / 0 / 0\r\n2023-10-11T00:27:10.5588019Z met3 124532 22954 18.43% 0 / 0 / 0\r\n2023-10-11T00:27:10.5607661Z met4 69054 23133 33.50% 0 / 0 / 0\r\n2023-10-11T00:27:10.5616451Z met5 17292 125 0.72% 0 / 0 / 0\r\n2023-10-11T00:27:10.5625486Z --------------------------------------------------------------------------------\r\n2023-10-11T00:27:10.5626050Z -------\r\n2023-10-11T00:27:10.5635614Z Total 564239 254769 45.15% 0 / 0 / 0\r\n2023-10-11T00:27:10.5640459Z \r\n2023-10-11T00:27:10.5647511Z [INFO GRT-0018] Total wirelength: 2351271 um\r\n2023-10-11T00:27:10.5654583Z [INFO GRT-0014] Routed nets: 24278\r\n2023-10-11T00:27:13.3062451Z [INFO RSZ-0058] Using max wire length 4459um.\r\n2023-10-11T03:19:31.5856752Z ##[error]The operation was canceled.\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Is this something that used to work? We've always considered post-grt rsz to be in development. It is not enabled in ORFS."}, {"assistant": "If I add -verbose I can see it is progressing slowly."}, {"user": "We've had post-GRT resizing for as long as I remember, and it worked fine as recently as 0a6d0fd469bc674417036342994520ee2e0a2727"}, {"user": "Is there any timeframe for an investigation into this? It's been a very useful feature; we didn't know it was in development and we've been using the resizer post-GRT since August 2021 https://github.com/The-OpenROAD-Project/OpenLane/pull/531"}, {"assistant": "Its in the queue but I haven't started to look at it yet."}, {"assistant": "The older version does run faster. It is a substantial slowdown rather than a hang from what I see.\r\n\r\nThe window is two months wide. Do you have any narrower scope of the problem? There have been a lot of a lot changes in that time."}, {"assistant": "@luis201420 @eder-matheus any guesses on the source of this slowdown?"}, {"assistant": "git bisect shows:\r\n[0817393512853cff12a7ee875e1efc5f7807609f] set the critical nets percentage on global route as 5%\r\n\r\n@arthurjolo thoughts on the performance implications of this change?"}, {"assistant": "I verified that if I change the default critical_nets_percentage_ to 0 at the head of master then it runs much faster."}, {"assistant": "> git bisect shows: [[0817393](https://github.com/The-OpenROAD-Project/OpenROAD/commit/0817393512853cff12a7ee875e1efc5f7807609f)] set the critical nets percentage on global route as 5%\r\n> \r\n> @arthurjolo thoughts on the performance implications of this change?\r\n\r\nIf the design runs a large number of iteration to remove overflow the run time should have a large increase, since it is updating the slack for most of the nets."}, {"assistant": "@arthurjolo this is happening from inside repair_design"}, {"assistant": "@maliberty I haven't seen much of repair_design, I will take a look into it."}, {"assistant": "repair_design will make some netlist edits and then call for updated parasitics (Resizer::ensureWireParasitic). Since we are post-grt that will call for incremental global routing on the modified nets. In that tight inner loop I don't think we want to any timing updates."}, {"user": "Thank you so much for the fast turnaround on this- appreciated"}]} +{"num": 4124, "messages": [{"user": "### Describe the bug\r\n\r\nunzip [pdn-error.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12880471/pdn-error.zip)\r\n\r\n```\r\n$ ./run-me-aes_cipher_top-asap7-base.sh \r\nOpenROAD v2.0-10646-g0dff30da2 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO PDN-0001] Inserting grid: top\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - u0/r0\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - u0/u0\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - u0/u1\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - u0/u2\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - u0/u3\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us00\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us01\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us02\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us03\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us10\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us11\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us12\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us13\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us20\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us21\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us22\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us23\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us30\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us31\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us32\r\n[INFO PDN-0001] Inserting grid: CORE_macro_grid_1 - us33\r\n[WARNING PDN-0232] CORE_macro_grid_1 - u0/u0 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - u0/u1 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - u0/u2 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - u0/u3 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us00 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us01 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us02 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us03 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us10 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us11 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us12 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us13 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us20 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us21 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us22 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us23 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us30 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us31 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us32 does not contain any shapes or vias.\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us33 does not contain any shapes or vias.\r\n[ERROR PDN-0233] Failed to generate full power grid.\r\nError: pdn.tcl, 5 PDN-0233\r\nopenroad> \r\n```\r\n\r\nI discovered this problem when putting together https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1550\r\n\r\n```\r\n$ cd util/bazel\r\n$ bazelisk build ...\r\n[deleted]\r\nOpenROAD v2.0-10646-g0dff30da2 \r\n[deleted]\r\n[WARNING PDN-0232] CORE_macro_grid_1 - us33 does not contain any shapes or vias.\r\n[ERROR PDN-0233] Failed to generate full power grid.\r\nError: pdn.tcl, 5 PDN-0233\r\n[deleted]\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo error\r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 6.2.0-34-generic\r\nos: Ubuntu 23.04 (Lunar Lobster)\r\ncmake version 3.25.1\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Still fails with OpenROAD v2.0-10652-ged4dea565"}, {"user": "Perhaps this is a problem with macro placement?\r\n\r\nIncluding a standalone test for macro placement for convenience...\r\n\r\n[macro-place.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12880806/macro-place.zip)\r\n\r\n```$ ./run-me-aes_cipher_top-asap7-base.sh \r\nOpenROAD v2.0-10652-ged4dea565 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nHierRTLMP Flow enabled...\r\nCall Macro Placer -halo_width 5 -report_directory build//objects/asap7/aes_cipher_top/base/rtlmp\r\nFloorplan Outline: (0.0, 0.0) (202.022, 202.022), Core Outline: (2.052, 2.16) (200.016, 199.8)\r\nTraversed logical hierarchy\r\n\tNumber of std cell instances : 4226\r\n\tArea of std cell instances : 517.59\r\n\tNumber of macros : 21\r\n\tArea of macros : 7310.76\r\n\tArea of macros with halos : 17195.82\r\n\tTotal area : 7828.35\r\n\tDesign Utilization : 0.20\r\n\tCore Utilization: 0.02\r\n\tManufacturing Grid: 1\r\n\r\n[INFO MPL-0024] Perform Clustering..\r\n[INFO MPL-0028] Perform Multilevel macro placement...\r\n[INFO MPL-0031] [MultiLevelMacroPlacement] Working on children of cluster: root, Outline 2.052, 2.16 197.964, 197.64\r\n[INFO MPL-0031] [MultiLevelMacroPlacement] Working on children of cluster: u0, Outline 2.052, 2.16 29.013, 197.64\r\nAlign macros within the cluster u0\r\n[INFO MPL-0031] [MultiLevelMacroPlacement] Working on children of cluster: (root)_glue_logic, Outline 31.065, 2.16 168.951, 197.64\r\nAlign macros within the cluster (root)_glue_logic\r\nAlign macros within the cluster root\r\nnumber of updated macros : 21\r\nnumber of macros in HardMacroCluster : 21\r\nDelete buffers for RTLMP flow...\r\n[INFO RSZ-0026] Removed 0 buffers.\r\nopenroad> \r\n```\r\n\r\n"}, {"assistant": "The macros are fully blocked on M4 & M5 so there is no way to connect them with\r\n```\r\ndefine_pdn_grid -name {CORE_macro_grid_1} -voltage_domains {CORE} -macro -orient {R0 R180 MX MY} -cells {.*}\r\nadd_pdn_connect -grid {CORE_macro_grid_1} -layers {M4 M5}\r\n```"}, {"assistant": "> The macros are fully blocked on M4 & M5 so there is no way to connect them with\r\n> \r\n> ```\r\n> define_pdn_grid -name {CORE_macro_grid_1} -voltage_domains {CORE} -macro -orient {R0 R180 MX MY} -cells {.*}\r\n> add_pdn_connect -grid {CORE_macro_grid_1} -layers {M4 M5}\r\n> ```\r\n\r\nSo I'm not quite understand \"The macros are fully blocked\", could you please give an example to illustrate how to generate pdn successfully in a design with macros? I also met the same problem"}, {"assistant": "Your macros have blockages above the layers you are trying to connect to the grid on (m4/m5). How were your macros generated? "}, {"user": "This issue is closed, are these comments for a different issue?\r\n\r\n I simply used the wrong PDN script for the macro. In ASAP7 there are two PDN scripts, one for macros and one for top level. If you use the top level PDN script for a.macro, then you get the failure above."}, {"assistant": "After I closed it a further question was asked."}]} +{"num": 4125, "messages": [{"user": "### Describe the bug\r\n\r\nUnzip [final2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12880611/final2.zip)\r\n\r\n```\r\n$ ./run-me-aes_rcon-asap7-base.sh \r\nOpenROAD v2.0-10646-g0dff30da2 \r\n[deleted]\r\n==========================================================================\r\nfinish report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 13 u^2 60% utilization.\r\n\r\n[ERROR GUI-0013] Unable to find visible display control at Pins.\r\n[ERROR GUI-0070] Error: save_images.tcl, 23 GUI-0013\r\nError: final_report.tcl, 69 GUI-0070\r\nopenroad> \r\n```\r\n\r\nI discovered this problem when putting together https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1550\r\n\r\n```\r\n$ cd util/bazel\r\n$ bazelisk build ...\r\n[deleted]\r\nOpenROAD v2.0-10646-g0dff30da2 \r\n[deleted]\r\n==========================================================================\r\nfinish report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 13 u^2 60% utilization.\r\n\r\n[ERROR GUI-0013] Unable to find visible display control at Pins.\r\n[ERROR GUI-0070] Error: save_images.tcl, 23 GUI-0013\r\nError: final_report.tcl, 69 GUI-0070\r\nopenroad> \r\n```\r\n### Expected Behavior\r\n\r\nWork correctly.\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-10646-g0dff30da2\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Tried with OpenROAD v2.0-10652-ged4dea565, works. Hmmm.... I wonder if this is an intermittent bug... Will re-open if I see the problem again."}, {"user": "This is fixed in latset OpenROAD, but ORFS has not been upgraded to the latest OpenROAD yet."}, {"assistant": "orfs has been fixed"}]} +{"num": 4131, "messages": [{"user": "From @rovinski:\r\n\r\n\"I would hazard that we don't want to develop that(WRAP_LEFS/LIBS) any further. The only point of the LEF wrapper was to shift macro pins to be on-grid because OR was having a lot of difficulty routing to off-grid pins in GF12. Further, it was a stop-gap (hacky) solution because of project deadlines.\r\n\r\nMost technologies either 1) don't have that problem or 2) have a cleaner solution (e.g. simply move the pins on-grid). Development time would be better spent on a generalized solution inside the router if it is actually a problem.\"\r\n\r\n_Originally posted by @rovinski in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1561#issuecomment-1762361668_\r\n "}, {"assistant": "@osamahammad21 not top of priority list but good for when we have time"}]} +{"num": 4132, "messages": [{"user": "### Describe the bug\n\nIt is very vague issue I am reporting. Openroad is installed on Ubuntu machine by company's IT. Since the installation, I am the only one who is running openroad in a loop. It has brought down our VPN twice during last 24 hours. This is strange coincidence, that IT thinks it is because of openroad SW. They have never eperienced this kind of intermittent outage before. It happened earlier too where network issue was narrowed down to my local linux desktop which has openroad installed and running.\r\n\r\nI know I am not providing concrete information, but if anyone has experienced such thing or have a clue, please guide us. I would like to continue using openroad, but the network issue caused IT shut down that machine.\n\n### Expected Behavior\n\nNo network issues\n\n### Environment\n\n```shell\nUbuntu machine\n```\n\n\n### To Reproduce\n\nI don't have a test case.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I have no idea and can't conceive of a mechanism by which this could happen. "}, {"assistant": "Nothing actionable."}]} +{"num": 4141, "messages": [{"user": "### Describe the bug\n\nI keep trying to install OpenROAD using the `./build_openroad.sh --local threads 2 --openroad-args \"/home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build\"` command but i keep getting errors \r\n```\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/or-tools/build\r\ngmake: Makefile: No such file or directory\r\ngmake: *** No rule to make target 'Makefile'. Stop.\r\n```\r\n\n\n### Expected Behavior\n\nI expect OpenROAD to build completely\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.0-86-generic\r\nos: Linux Mint 21.2 (Victoria)\r\ncmake version 3.22.1\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10669-g436c7801a\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Looking for pthread.h\r\n-- Looking for pthread.h - found\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-foCMake Warning at src/CMakeLists.txt:242 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nCMake Error at src/gpl/CMakeLists.txt:44 (find_package):\r\n By not providing \"Findortools.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"ortools\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"ortools\" with any\r\n of the following names:\r\n\r\n ortoolsConfig.cmake\r\n ortools-config.cmake\r\n\r\n Add the installation prefix of \"ortools\" to CMAKE_PREFIX_PATH or set\r\n \"ortools_DIR\" to a directory containing one of the above files. If\r\n \"ortools\" provides a separate development package or SDK, be sure it has\r\n been installed.\r\n\r\n\r\nrmat\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/lib/x86_64-linux-gnu/cmake/Boost-1.74.0/BoostConfig.cmake (found version \"1.74.0\") \r\n-- boost: 1.74.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 44f06c521560e803859218732255b3259de048e1\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/ikenna/Work/vlsi/tools/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\nnone\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Have you run the dependency installer? It looks like ortools is not found."}, {"user": "I'm using Linux Mint\r\nI have tried running the dependency installer and I'm getting this error `unsupported system: Linux Mint\r\n`"}, {"assistant": "You might want to use docker and a supported OS."}, {"assistant": "how to use docker\uff1f And what docker works for\uff1f"}, {"assistant": "See https://openroad-flow-scripts.readthedocs.io/en/latest/user/BuildWithDocker.html"}, {"assistant": "If you still have problems please reopen."}]} +{"num": 4142, "messages": [{"user": "### Describe the bug\n\nunzip [generate_abstract.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/12929310/generate_abstract.zip)\r\n\r\n\r\n```\r\n$ ./run-me-CSRFile-asap7-base.sh \r\nOpenROAD v2.0-10698-g5f38dd68b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nStarting generation of abstract views\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055ADCFEC5723 in openroad\r\n 1# 0x00007FB11F63C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# sta::ClkSkews::findClkDelays(sta::Clock const*, sta::MinMaxValues (&) [2][2]) in openroad\r\n 3# sta::MakeTimingModel::findClkInsertionDelays() in openroad\r\n 4# sta::MakeTimingModel::makeTimingModel() in openroad\r\n 5# sta::makeTimingModel(char const*, char const*, char const*, sta::Corner const*, sta::Sta*) in openroad\r\n 6# sta::Sta::writeTimingModel(char const*, char const*, char const*, sta::Corner const*) in openroad\r\n 7# 0x000055ADD00A6806 in openroad\r\n 8# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 9# 0x00007FB123DB3C43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n13# ord::tclAppInit(Tcl_Interp*) in openroad\r\n14# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# main in openroad\r\n16# 0x00007FB11F623A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n17# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n18# _start in openroad\r\n./run-me-CSRFile-asap7-base.sh: line 7: 563863 Segmentation fault (core dumped) openroad -no_init ${SCRIPTS_DIR}/generate_abstract.tcl\r\n```\r\n\n\n### Expected Behavior\n\nNo crash\n\n### Environment\n\n```shell\nOpenROAD v2.0-10698-g5f38dd68b\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I'll pass along the crash but the trigger seems to be that you have a signal io_ungated_clock that is used to control FF (eg _14268_/CLK) but is not a clock in your SDC."}, {"assistant": "check_setup shows:\r\n```\r\nWarning: There are 65 unclocked register/latch pins.\r\nWarning: There are 232 unconstrained endpoints.\r\n```"}]} +{"num": 4145, "messages": [{"user": "### Describe the bug\n\nInstead of aligning the pins according to the track-grid, the pins are getting slightly misplaced.\n\n### Expected Behavior\n\nPins should be centralized according to the track-grid independently of the technology used.\n\n### Environment\n\n```shell\nHead of Master\n```\n\n\n### To Reproduce\n\nRun mpl2 in a nangate45 design with macro instances.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\nnangate45/bp_be_top - fakeram45_512x64 - some pins in metal3.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/104802710/9defdcdb-9beb-44ee-bbd2-bb5b768ddb6c)\r\n\n\n### Additional Context\n\n_No response_"}, {"user": "@maliberty FYI\r\nAfter taking a look at some of the pins of the macro `be_mmu/dcache/tag_mem.macro_mem.mem` in `nangate45/bp_be_top`, I realized it has a arrangement of pins which apparently makes impossible for mpl2 to snap it:\r\n\r\nLeft boundary pins in metal3 - `nangate45/bp_be_top`, macro `be_mmu/dcache/tag_mem.macro_mem.mem`\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/104802710/1d889dc3-cb62-46f1-9e23-24a7850f550b)\r\n\r\n\r\nThis also happens with some macros in nangate45/tinyRocket, e. g. `dcache/data.data_arrays_0.data_arrays_0_ext.mem`.\r\n\r\nOn the other hand, some macros which don't have this kind of arrangement (or which have pins that are much larger than the trackgrid pitch) aren't being correctly snapped as well. I'm investigating."}, {"assistant": "It would be nice to find the position that snaps as many pins as possible as in the image above none are snapped.\r\n\r\nWhat is the name of the block shown above? Is it a fake ram?"}, {"user": "In the image: bp_be_top, and the macro is be_mmu/dcache/tag_mem.macro_mem.mem. It's a fake ram."}, {"user": "However, in the case of the image, if we snap the lower and upper pins, the middle one (as many others) will be completely out of the track..."}, {"assistant": "Probably we should just fix the RAM to have its pins arranged so that snapping is possible. Fakeram has been through various iterations of improvements."}, {"assistant": "See https://github.com/ABKGroup/FakeRAM2.0"}, {"assistant": "At some point it might be prudent to create an IP checker which can more thoroughly check for bad implementations such as off-grid pins, wrong direction routing, etc."}, {"assistant": "Those could be enhancements to check_placement"}]} +{"num": 4148, "messages": [{"user": "### Describe the bug\r\n\r\nThis is from MegaBoom:\r\n\r\n```\r\n./orfs make DESIGN_NAME=RegisterFileSynthesizable_1 DESIGN_CONFIG=block.mk VERILOG_FILES=\"rtl/RegisterFileSynthesizable_1.sv\\ rtl/regfile_128x64.sv\" CORE_UTILIZATION=20 PLACE_DENSITY=0.40\r\n```\r\n\r\n```\r\n[deleted]\r\n[INFO GRT-0103] Extra Run for hard benchmark.\r\n/usr/include/c++/12/bits/stl_vector.h:1123: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = int; _Alloc = std::allocator; reference = int&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x00005563040E3323 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F3F3E03C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007F3F3E4E21CF in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# grt::FastRouteCore::copyGrids(grt::TreeNode const*, int, int, grt::TreeEdge const*, int, std::vector >&, std::vector >&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# grt::FastRouteCore::updateRouteType1(int, grt::TreeNode const*, int, int, int, int, int, grt::TreeEdge*, int, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# grt::FastRouteCore::mazeRouteMSMD(int, int, float, int, int, bool, int, float, int, int, int, float&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# grt::FastRouteCore::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# grt::GlobalRouter::findRouting(std::vector >&, int, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# grt::GlobalRouter::globalRoute(bool, bool, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# 0x000055630476123C in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n13# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# 0x00007F3F4295FC43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n19# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n20# main in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n21# 0x00007F3F3E023A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n22# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n23# _start in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\nCommand terminated by signal 6\r\nElapsed time: 59:28.99[h:]min:sec. CPU time: user 3567.78 sys 0.95 (99%). Peak memory: 2846924KB.\r\n```\r\n\r\nI will kick off a run to try to whittle it down, but this is going to run for days...\r\n\r\n```\r\nopenroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --persistence 6 --use_stdout --error_string \"grt::FastRouteCore::copyGrids\" --base_db_path build/results/asap7/RegisterFileSynthesizable_1/base/4_cts.odb --step \"./run-me-RegisterFileSynthesizable_1-asap7-base.sh\"\r\n```\r\n\r\n### Expected Behavior\r\n\r\nno crash\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-10738-g0a584d123\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nunzip https://drive.google.com/file/d/133kHIvcxFwOSis5vbOUVJaom4MA2Z6oM/view?usp=sharing\r\n\r\nCa. 2 hours before it crashes.\r\n\r\n```\r\n$ mkdir -p build//reports/asap7/RegisterFileSynthesizable_1/base/\r\n$ ./run-me-RegisterFileSynthesizable_1-asap7-base.sh \r\nOpenROAD v2.0-10738-g0a584d123 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GRT-0020] Min routing layer: M2\r\n[INFO GRT-0021] Max routing layer: M7\r\n[INFO GRT-0022] Global adjustment: 0%\r\n[INFO GRT-0023] Grid origin: (0, 0)\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[deleted]\r\n[INFO GRT-0103] Extra Run for hard benchmark.\r\n/usr/include/c++/12/bits/stl_vector.h:1123: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = int; _Alloc = std::allocator; reference = int&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x00005563040E3323 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F3F3E03C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007F3F3E4E21CF in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# grt::FastRouteCore::copyGrids(grt::TreeNode const*, int, int, grt::TreeEdge const*, int, std::vector >&, std::vector >&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# grt::FastRouteCore::updateRouteType1(int, grt::TreeNode const*, int, int, int, int, int, grt::TreeEdge*, int, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# grt::FastRouteCore::mazeRouteMSMD(int, int, float, int, int, bool, int, float, int, int, int, float&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# grt::FastRouteCore::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# grt::GlobalRouter::findRouting(std::vector >&, int, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# grt::GlobalRouter::globalRoute(bool, bool, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# 0x000055630476123C in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n13# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# 0x00007F3F4295FC43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n19# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n20# main in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n21# 0x00007F3F3E023A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n22# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n23# _start in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\nCommand terminated by signal 6\r\n```\r\n\r\nI hope to have a faster example in a few days :-)\r\n\r\n```\r\n$ openroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --persistence 6 --use_stdout --error_string \"grt::FastRouteCore::copyGrids\" --base_db_path build/results/asap7/RegisterFileSynthesizable_1/base/4_cts.odb --step \"./run-me-RegisterFileSynthesizable_1-asap7-base.sh\"\r\nOpenROAD v2.0-10738-g0a584d123\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nBacking up original base file.\r\nPerforming a step with the original input file to calculate timeout.\r\n___________________________________\r\nReading base odb file\r\nWriting odb file\r\nStep 0 is running, deltaDebug is waiting.\r\nTimeout updated to approx 157 minutes!\r\nError Code found: grt::FastRouteCore::copyGrids\r\nStep 0 is done.\r\n___________________________________\r\nReading base odb file\r\nInsts level debugging\r\nNumber of Insts 931476\r\nNumber of Nets 262134\r\nNumber of elements to be cut is 465738, [C#]\r\nDone cutting design.\r\nWriting odb file\r\nStep 1 is running, deltaDebug is waiting.\r\n...\r\n```\r\n\r\nThat got stuck because `run-*.sh` does not pass `-exit` to openroad.... Trying again.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@maliberty @luis201420 I'm still running deltaDebug.py and it is making progress. However, I think it could take a week to whittle down to something quicker than 2hrs. So far a successful run takes hours to time out and deltaDebug.py is failing to eliminate large swathes early. 82 iterations * 2 hours so far... Fortunately it is winter in Norway and the heat from my machine in my home-office is not wasted :-)"}, {"assistant": "Hi @oharboe,\r\nCould you try to run the design again? The bug was fixed and the solution is already in the master branch."}, {"user": "@luis201420 deltaDebug.py is still running, 633 iterations so far..."}, {"user": "If I see the problem again, I'll file a new issue. \r\n"}]} +{"num": 4158, "messages": [{"user": "### Description\n\nHi,\r\n1. Can you please provide a link to some more IPs or projects which I can use to do full ASIC flow and do some optimization for my learning.\r\n 2. Can you please let me know which open source tool is similar to ModelSim because i also want to see simulation result before synthesis ? \r\n \r\n Thank you \n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "1. https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts has various designs you can run\r\n2. https://www.veripool.org/verilator/ "}]} +{"num": 4179, "messages": [{"user": "### Description\n\nAdd router support for the LEF construct:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/7a2b4615-060d-4811-b53f-baec906d9ba1)\r\n\n\n### Suggested Solution\n\nUpdate gc and possibly dr.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Rule supported by merging PR #4303 "}]} +{"num": 4185, "messages": [{"user": "### Describe the bug\n\nI don't think this is a bug, it is just a limitation.\r\nThe issue is:\r\nI am implementing a design that has part of the clock tree gated. My issue is that in CTS, I can see that there are two clock trees, one for the master clock and one for the gated clock. I can see that in the log too:\r\n```\r\n[INFO CTS-0010] Clock net \"mprj.CLK\" has 10518 sinks.\r\n[INFO CTS-0010] Clock net \"mprj.clk_gated\" has 1641 sinks.\r\n```\r\nThe issue is that any path from a FF in one of the clock trees to a FF in the other clock tree has a large skew hence setup and hold violations.\r\nThe clock gating is done using a single latch cell. When I remove this cell, the skew become minimal and everything is fine.\r\nSo, is there a way that OpenROAD could ignore this cell in CTS and just add it to its leaf so that we have 1 clock tree that is balanced?\r\nI tried adding `-balance_levels` to the `clock_tree_synthesis` but it didn't get balanced.\n\n### Expected Behavior\n\nBalanced clock trees across all sinks I guess.\n\n### OpenROAD Environment\n\n```shell\nOpenROAD version: 0a584d123190322b0725d5440c2c486d91d3afd8\n```\n\n\n### OpenLane Environment\n\n```shell\nn/a\n```\n\n\n### To Reproduce\n\n[reproducible.zip.partaa.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13198349/reproducible.zip.partaa.zip)\r\n[reproducible.zip.partab.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13198351/reproducible.zip.partab.zip)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "balance_levels should ensure there are the same number of buffers in all paths but it doesn't ensure that the delays match. Do you at least see that much?"}, {"user": "@maliberty I can see it did ensure that all paths have the same number of buffers, yes. But the skew is still more than `2 ns`.\r\n\r\nNow I looked at 1 of the violating timing paths before and after adding clock gating:\r\n\r\nWithout clock gating:\r\nThe launching flipflop clock had 11 buffers, `3.0 ns` delay\r\nThe receiving flipflop clock had 10 buffers, `2.2 ns` delay\r\nthe skew was `0.8 ns` and I didn't use balance_levels as the resizer did fix all hold and setup violations.\r\n\r\nWith the clock gating latch:\r\nThe launching flipflop clock had 14 buffers + latch, `5.0 ns` delay\r\nThe receiving flipflop clock had 14 buffers, `2.9 ns` delay\r\nthe skew now is: `2.1 ns` and I used balance_levels to add some delay for the receiving flipflop clock.\r\n\r\nbalance_levels did help but the the delay wasn't matched, yes."}, {"assistant": "Reporting the skew w/o balance_levels is of no interest as that's known to not work well with clock gating. Reporting them with balance_levels would be more helpful."}, {"user": "Okay, I can using balance_levels in both cases. But, is there a solution using OpenROAD for balancing clock trees that has clock gating?"}, {"assistant": "@precisionmoon : \" It's kind of urgent for us\" from @donn \r\n\r\nI also tried adding -obstruction_aware but the results are still pretty bad:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/598526c7-c577-457f-85ab-33e373c607fc)\r\n"}, {"assistant": "If I manually change mprj.cpu_clk_gate from sky130_fd_sc_hd__dlclkp_1 to sky130_fd_sc_hd__dlclkp_4 then I get:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/32d7762e-314b-4aaf-b63f-a841117ff133)\r\n"}, {"assistant": "@donn confirms that the manual workaround resolves the issue for their immediate tapeout. However we should still fix this when you can."}, {"assistant": "@maliberty Best status I can gather from a quick download from the team: The Hold timing issue is improved to the point of it being possible to get a netlist by the workaround but at the cost of more than doubling total chip pwr consumption and still requires the addition of wait states to read the flash due to the router stopping working on resolving setup issues. I think we likely need further help here. This is still a significant issues for us, "}, {"assistant": "This is being discussed in slack so I'll respond there"}]} +{"num": 4186, "messages": [{"user": "### Description\r\n\r\nDetailed Routing is currently limited to 64 iterations by limiting the max input on `DRT_OPT_ITERS`. This limit is problematically low, I have several designs that fail because the max iterations are hit when the number of violations is still dropping (I just had a run where the violation count dropped from 2 to 1 on the 64th iteration). Later optimization passes take a fraction of a second, and the fact that the maximum possible value is the default setting for OpenLane when calling DRT suggests that this is generally not enough.\r\n\r\n### Suggested Solution\r\n\r\nThe maximum value for DRT_OPT_ITERS should be as high as possible. [This check](https://github.com/The-OpenROAD-Project/OpenROAD/blob/0074941fb9569768fff3be72e2b6b01d5b142196/src/drt/src/TritonRoute.tcl#L120) should have its cap raised or the check should be removed if there is no affirmative reason to have it.\r\n\r\n### Additional Context\r\n\r\nI'm not able to find a reason why this value is capped at 64 when looking through the code base, it appears arbitrary or perhaps a limitation of a tool other than tritonroute.\r\n\r\nOpenRoad drt checks if the value passed to `droute_end_iter` is in the range [1,64] and [rejects other values](https://github.com/The-OpenROAD-Project/OpenROAD/blob/0074941fb9569768fff3be72e2b6b01d5b142196/src/drt/src/TritonRoute.tcl#L120).\r\n\r\nThe value of `droute_end_iter` is [passed to tritonroute](https://github.com/The-OpenROAD-Project/OpenROAD/blob/0074941fb9569768fff3be72e2b6b01d5b142196/src/drt/src/TritonRoute.tcl#L194) through [this detailed route cmd](https://github.com/The-OpenROAD-Project/OpenROAD/blob/0074941fb9569768fff3be72e2b6b01d5b142196/src/drt/src/TritonRoute.i#L105), which [parses it into `END_ITERATION`](https://github.com/The-OpenROAD-Project/TritonRoute/blob/758cdac457069002f7b1d96192dfc35929216799/src/main.cpp#L72)\r\n\r\nTritonroute does not seem to have any apparent limitation above 64 iterations, ***in fact the [default value](https://github.com/The-OpenROAD-Project/TritonRoute/blob/758cdac457069002f7b1d96192dfc35929216799/src/global.cpp#L79) of `END_ITERATION` in tritonroute is actually 80***, but the wrapper scripts will reject any value above 64."}, {"assistant": "There's actually a simple reason for the limit, which is that each iteration is a different \"strategy\" and there are only 64 different strategies coded into DRT:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/0074941fb9569768fff3be72e2b6b01d5b142196/src/drt/src/dr/FlexDR.cpp#L958-L1031\r\n\r\nAfter 64 iterations, there are no more strategies to try. One could add more strategies, but there are severe diminishing returns for adding more strategies. If there are still violations after 64 iterations, then it's more likely that there are algorithmic improvements that need to be made to the router (or that the design's routability is infeasible).\r\n\r\nThere's lots of room for improvement in the router, but it's a complex and challenging issue.\r\n\r\nIf you have a specific test case that you are able to share, someone might be able to see if there's a systemic issue with the router (e.g. a bug, poor support for a specific DRC rule, unexpected corner case etc.) or if there's no clear problem other than the design's density is too high."}, {"assistant": "Note that the standalone TritonRoute repo is an archive and all current development happens in the drt module of OR."}, {"assistant": "I think this has been answered. There is no plan for more iterations."}]} +{"num": 4196, "messages": [{"user": "### Describe the bug\r\n\r\nunzip https://drive.google.com/file/d/1t_yi8pM2GSOqkkBR2IxDmVzehg5vH1_4/view?usp=sharing\r\n\r\nNOTE! The example file is 7gByte, even after deltaDebug.py, but it runs in ca. 2 minutes.\r\n\r\nrun:\r\n\r\n```\r\n./run-me-BoomCore-asap7-base.sh\r\n```\r\n\r\n```\r\n[deleted]\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_1_bits_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_0_bits_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_2_bits_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_3_bits_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin rob/io_enq_uops_3_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin rob/io_enq_uops_0_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin rob/io_enq_uops_1_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin rob/io_enq_uops_2_rob_idx[3].\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_0_bits_rob_idx[2].\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_1_bits_rob_idx[2].\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_2_bits_rob_idx[2].\r\n[WARNING GRT-0350] Missing route to pin mem_issue_unit/io_dis_uops_3_bits_rob_idx[2].\r\n[deleted]\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\n@maliberty Said that GRT-0350 should never be observed.\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-10770-g6719c92e6\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe Could you try this branch: https://github.com/The-OpenROAD-Project/OpenROAD/pull/4263?"}, {"user": "> @oharboe Could you try this branch: #4263?\r\n\r\nI dont have this setup anymore, sorry. All I have left is this reproduction case in this issue."}, {"assistant": "> I dont have this setup anymore, sorry. All I have left is this reproduction case in this issue.\r\n\r\nNp, we'll try rerunning the reproducible. It is just too large, so it sometimes freezes my local machine, but I'll try it in a better computer."}, {"assistant": "@oharboe Just a heads up: I've confirmed that the fix for this issue works. I don't see the warning messages in the GRT log anymore when running with the master branch."}]} +{"num": 4197, "messages": [{"user": "### Description\n\n@louiic I have MegaBoom set up with asap7, but it fails in global routing of the top level.\r\n\r\nThe problem appears to be mainly that the pins are on the wrong sides of the macro.\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/97da5d88-c569-45e7-873a-c8ff712e74b2)\r\n\n\n### Suggested Solution\n\nAdd a command that can be run at the top level floorplan to create io pin placement constraint files for all the macros.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Will take a look\r\n\r\n> On Nov 1, 2023, at 3:56\u202fAM, \u00d8yvind Harboe ***@***.***> wrote:\r\n> \r\n> \r\n> Description\r\n> \r\n> @louiic I have MegaBoom set up with asap7, but it fails in global routing of the top level.\r\n> \r\n> The problem appears to be mainly that the pins are on the wrong sides of the macro.\r\n> \r\n> \r\n> Suggested Solution\r\n> \r\n> Add a command that can be run at the top level floorplan to create io pin placement constraint files for all the macros.\r\n> \r\n> Additional Context\r\n> \r\n> No response\r\n> \r\n> \u2014\r\n> Reply to this email directly, view it on GitHub , or unsubscribe .\r\n> You are receiving this because you were mentioned.\r\n> \r\n\r\n"}, {"user": "Other than IO pin constraints, I was looking at the routing congestion.\r\n\r\nFrom the global routing routing congestion heatmap and drilling down, I can see that the buffer tree that is having a lot of congestion is the `RegisterRead_1 iregister_read`\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/1c7e6634-65a8-4d54-a9e0-6fbdab1ecbbc)\r\n\r\n"}, {"user": "Here I can see which areas fail in global routing:\r\n\r\n1. hold cell violations\r\n2. around the ALU units that are not pulled out as macros.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/7f966a8d-751f-45ab-8388-df3337117f32)\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/9d971db3-655d-4634-b0a2-4b81988b5ee5)\r\n\r\nDeep clock tree at top level, leading to hold violations:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/dcbded72-2bab-41d0-b246-370a1d583c4f)\r\n"}]} +{"num": 4208, "messages": [{"user": "### Description\n\nIt would be nice if the tool could recommend possible changes to allow placing the pins:\r\n\r\n```\r\nUsing 2 tracks default min distance between IO pins.\r\n[WARNING PPL-0110] Constraint has 881 pins, but only 802 available slots\r\n[ERROR PPL-0111] 1 constraint(s) does not have available slots for the pins.\r\nError: io_placement_random.tcl, 14 PPL-0111\r\nCommand exited with non-zero status 1\r\n```\r\n\n\n### Suggested Solution\n\nFor instance, if the error message would pick any two of CORE_UTILIZATION, PLACE_DENSITY, CORE_ASPECT_RATIO and print out the third value.\n\n### Additional Context\n\n_No response_"}, {"assistant": "For this specific error, it is more related to the region defined by the user than to a design characteristic. I could add an estimative of how many microns the region should be increased to fit all the constrained pins.\r\n\r\nHowever, other error messages in PPL could be enhanced to suggest changes in the design. I'll look into it."}]} +{"num": 4209, "messages": [{"user": "### Description\n\nPPL-0048 is too verbose.....\r\n\r\n```\r\n[INFO PPL-0048] Restrict pins [{io_brinfo_cfi_type[0]} {io_brinfo_cfi_type[1]} {io_brinfo_cfi_type[2]} io_brinfo_mispredict {io_brinfo_pc_sel[0]} {io_brinfo_pc_sel[1]} io_brinfo_taken {io_brinfo_target_offset[0]} {io_brinfo_target_offset[1]} {io_brinfo_target_offset[2]} {io_brinfo_target_offset[3]} {io_brinfo_target_offset[4]} {io_brinfo_target_offset[5]} {io_brinfo_target_offset[6]} {io_brinfo_target_offset[7]} {io_brinfo_target_offset[8]} {io_brinfo_target_offset[9]} {io_brinfo_target_offset[10]} {io_brinfo_target_offset[11]} {io_brinfo_target_offset[12]} {io_brinfo_target_offset[13]} {io_brinfo_target_offset[14]} {io_brinfo_target_offset[15]} {io_brinfo_target_offset[16]} {io_brinfo_target_offset[17]} {io_brinfo_target_offset[18]} {io_brinfo_target_offset[19]} {io_brinfo_target_offset[20]} {io_brinfo_uop_br_mask[0]} {io_brinfo_uop_br_mask[1]} {io_brinfo_uop_br_mask[2]} {io_brinfo_uop_br_mask[3]} {io_brinfo_uop_br_mask[4]} {io_brinfo_uop_br_mask[5]} {io_brinfo_uop_br_mask[6]} {io_brinfo_uop_br_mask[7]} {io_brinfo_uop_br_mask[8]} {io_brinfo_uop_br_mask[9]} {io_brinfo_uop_br_mask[10]} {io_brinfo_uop_br_mask[11]} {io_brinfo_uop_br_mask[12]} {io_brinfo_uop_br_mask[13]} {io_brinfo_uop_br_mask[14]} {io_brinfo_uop_br_mask[15]} {io_brinfo_uop_br_mask[16]} {io_brinfo_uop_br_mask[17]} {io_brinfo_uop_br_mask[18]} {io_brinfo_uop_br_mask[19]} {io_brinfo_uop_br_tag[0]} {io_brinfo_uop_br_tag[1]} {io_brinfo_uop_br_tag[2]} {io_brinfo_uop_br_tag[3]} {io_brinfo_uop_br_tag[4]} io_brinfo_uop_edge_inst {io_brinfo_uop_ftq_idx[0]} {io_brinfo_uop_ftq_idx[1]} {io_brinfo_uop_ftq_idx[2]} {io_brinfo_uop_ftq_idx[3]} {io_brinfo_uop_ftq_idx[4]} {io_brinfo_uop_ftq_idx[5]} io_brinfo_uop_is_rvc {io_brinfo_uop_ldq_idx[0]} {io_brinfo_uop_ldq_idx[1]} {io_brinfo_uop_ldq_idx[2]} {io_brinfo_uop_ldq_idx[3]} {io_brinfo_uop_ldq_idx[4]} {io_brinfo_uop_pc_lob[0]} {io_brinfo_uop_pc_lob[1]} {io_brinfo_uop_pc_lob[2]} {io_brinfo_uop_pc_lob[3]} {io_brinfo_uop_pc_lob[4]} {io_brinfo_uop_pc_lob[5]} {io_brinfo_uop_rob_idx[0]} {io_brinfo_uop_rob_idx[1]} {io_brinfo_uop_rob_idx[2]} {io_brinfo_uop_rob_idx[3]} {io_brinfo_uop_rob_idx[4]} {io_brinfo_uop_rob_idx[5]} {io_brinfo_uop_rob_idx[6]} {io_brinfo_uop_stq_idx[0]} {io_brinfo_uop_stq_idx[1]} {io_brinfo_uop_stq_idx[2]} {io_brinfo_uop_stq_idx[3]} {io_brinfo_uop_stq_idx[4]} io_brinfo_valid {io_brupdate_b1_mispredict_mask[0]} {io_brupdate_b1_mispredict_mask[1]} {io_brupdate_b1_mispredict_mask[2]} {io_brupdate_b1_mispredict_mask[3]} {io_brupdate_b1_mispredict_mask[4]} {io_brupdate_b1_mispredict_mask[5]} {io_brupdate_b1_mispredict_mask[6]} {io_brupdate_b1_mispredict_mask[7]} {io_brupdate_b1_mispredict_mask[8]} {io_brupdate_b1_mispredict_mask[9]} {io_brupdate_b1_mispredict_mask[10]} {io_brupdate_b1_mispredict_mask[11]} {io_brupdate_b1_mispredict_mask[12]} {io_brupdate_b1_mispredict_mask[13]} {io_brupdate_b1_mispredict_mask[14]} {io_brupdate_b1_mispredict_mask[15]} {io_brupdate_b1_mispredict_mask[16]} {io_brupdate_b1_mispredict_mask[17]} {io_brupdate_b1_mispredict_mask[18]} {io_brupdate_b1_mispredict_mask[19]} {io_brupdate_b1_resolve_mask[0]} {io_brupdate_b1_resolve_mask[1]} {io_brupdate_b1_resolve_mask[2]} {io_brupdate_b1_resolve_mask[3]} {io_brupdate_b1_resolve_mask[4]} {io_brupdate_b1_resolve_mask[5]} {io_brupdate_b1_resolve_mask[6]} {io_brupdate_b1_resolve_mask[7]} {io_brupdate_b1_resolve_mask[8]} {io_brupdate_b1_resolve_mask[9]} {io_brupdate_b1_resolve_mask[10]} {io_brupdate_b1_resolve_mask[11]} {io_brupdate_b1_resolve_mask[12]} {io_brupdate_b1_resolve_mask[13]} {io_brupdate_b1_resolve_mask[14]} {io_brupdate_b1_resolve_mask[15]} {io_brupdate_b1_resolve_mask[16]} {io_brupdate_b1_resolve_mask[17]} {io_brupdate_b1_resolve_mask[18]} {io_brupdate_b1_resolve_mask[19]} {io_bypass_0_bits_data[0]} {io_bypass_0_bits_data[1]} {io_bypass_0_bits_data[2]} {io_bypass_0_bits_data[3]} {io_bypass_0_bits_data[4]} {io_bypass_0_bits_data[5]} {io_bypass_0_bits_data[6]} {io_bypass_0_bits_data[7]} {io_bypass_0_bits_data[8]} {io_bypass_0_bits_data[9]} {io_bypass_0_bits_data[10]} {io_bypass_0_bits_data[11]} {io_bypass_0_bits_data[12]} {io_bypass_0_bits_data[13]} {io_bypass_0_bits_data[14]} {io_bypass_0_bits_data[15]} {io_bypass_0_bits_data[16]} {io_bypass...\r\n```\n\n### Suggested Solution\n\nThis is too verbose for INFO level, move to DEBUG\n\n### Additional Context\n\n_No response_"}, {"assistant": "Alternatively, maybe don't expand buses or print a count of the pins instead."}, {"user": "> Alternatively, maybe don't expand buses or print a count of the pins instead.\r\n\r\nBoth? Terse for INFO and verbose for DEBUG?"}]} +{"num": 4211, "messages": [{"user": "### Describe the bug\r\n\r\nI'm not sure what OpenROAD is trying to tell me here. I've highlighted net50908 below.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/7077cac1-a444-4b73-b8bf-bfb49ac6b70e)\r\n\r\n### Expected Behavior\r\n\r\nNo DRC error\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-10770-g6719c92e6\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n@maliberty I have shared this file with you, please download and share as needed. Not confidential, but 2gbyte, so not a public link... https://drive.google.com/file/d/10ECM456C94DEikW51WINpRCTr4MvYN14/view?usp=sharing\r\n\r\nThis detailed route takes ca. 12 hours, so I don't know how to whittle it down. The example is gigabytes, but it is quick to bring it up in the GUI to study the DRC error, once downloaded.\r\n\r\nTo run detailed routing(12 hours?), unzip and run:\r\n\r\n```\r\nNUM_CORES=12 ./run-me-BoomCore-asap7-base.sh\r\n```\r\n\r\nTo view DRC report, unzip, run `openroad -gui -no_init`, load `bazel-out/k8-fastbuild/bin/build/results/asap7/BoomCore/base/5_3_route.odb` and DRC errors `bazel-out/k8-fastbuild/bin/build/reports/asap7/BoomCore/base/5_route_drc.rpt`\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Is that the whole maker? Are all shapes/nets visible?"}, {"user": "> Is that the whole maker? Are all shapes/nets visible?\r\n\r\nOn second try, the snapshot looks like it has everything. I replaced the image and uploaded the `make detail_route_issue` file."}, {"user": "@maliberty Please confirm that this issue has what is needed to reproduce. Thanks!"}, {"assistant": "You can plainly see the issue if you turn on only m4\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/4c50a4e9-d057-440c-a742-caaac45b7fde)\r\n"}, {"assistant": "There appears to be a usable m5 track between the macro and the power strap on m5. However getting off that track is impossible due to via leading to an error\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/0f8c4dd3-d6b8-4d03-bb96-0dd6feda2d30)\r\n"}, {"user": "@osamahammad21 You should have access to https://drive.google.com/file/d/10ECM456C94DEikW51WINpRCTr4MvYN14/view?usp=sharing now"}, {"assistant": "I did some investigation and I can say that it's a GRT problem. It's impossible for the router to avoid this violation:\r\nHere is the route guides for the specified net:\r\n![Screenshot from 2023-11-06 21-47-03](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56893454/a0a08bcf-ba85-4774-be43-3401851c18b1)\r\nAt first glance I though it's a track assignment issue by assigning a bad track. But I find that the assigned track is the only valid track. Here is a screenshot for the available 3 tracks for that guide on Metal5\r\n![Screenshot from 2023-11-06 21-44-23](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56893454/cd07ebdd-b7d6-4b43-9c25-11057c635820)\r\nThe net is stuck between the macro obstruction and the power grid on Metal5 and it turns out the middle track is the only one that doesn't cause a violation on Metal5. The track next to the power strap is violating the SpacingTable rule which specifies the spacing to be 0.072 (because the strap is wide). The current spacing of the middle track is already 0.078 so using the track to the left is not an option.\r\nThe router keeps moving the via up and down but it cannot escape the violation.\r\n\r\n@maliberty I think @eder-matheus is working on a via aware global routing which should cover this case https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1564\r\n"}]} +{"num": 4213, "messages": [{"user": "### Describe the bug\n\nI am using WSL2 method given in the official tutorial in website to build OpenROAD. I have followed every step and they were successful. But in the build step, the error is happening. I am using Ubuntu 22.04\n\n### Expected Behavior\n\nSuccessful build of openROAD\n\n### Environment\n\n```shell\n[INFO FLW-0002] Updating git submodules.\r\n[INFO FLW-0000] Using docker build method.[INFO FLW-0020] Building docker image for OpenROAD Flow.\r\nCreate docker image openroad/flow-centos7-dev:latest using docker/Dockerfile.dev\r\n#0 building with \"default\" instance using docker driver\r\n\r\n#1 [internal] load .dockerignore\r\n#1 transferring context: 2B done\r\n#1 DONE 0.0s\r\n\r\n#2 [internal] load build definition from Dockerfile.dev\r\n#2 transferring dockerfile: 505B done\r\n#2 DONE 0.0s\r\n\r\n#3 [internal] load metadata for docker.io/library/centos:centos7\r\n#3 ...\r\n\r\n#4 [auth] library/centos:pull token for registry-1.docker.io\r\n#4 DONE 0.0s\r\n\r\n#3 [internal] load metadata for docker.io/library/centos:centos7\r\n#3 DONE 3.6s\r\n\r\n#5 [1/5] FROM docker.io/library/centos:centos7@sha256:be65f488b7764ad3638f236b7b515b3678369a5124c47b8d32916d6487418ea4\r\n#5 DONE 0.0s\r\n\r\n#6 [internal] load build context\r\n#6 transferring context: 23.38kB done\r\n#6 DONE 0.0s\r\n\r\n#7 [2/5] WORKDIR /tmp/installer/etc\r\n#7 CACHED\r\n\r\n#8 [3/5] COPY DependencyInstaller.sh .\r\n#8 CACHED\r\n\r\n#9 [4/5] COPY InstallerOpenROAD.sh /tmp/installer/tools/OpenROAD/etc/DependencyInstaller.sh\r\n#9 CACHED\r\n\r\n#10 [5/5] RUN ./DependencyInstaller.sh && rm -rf /tmp/installer\r\n#10 CACHED\r\n\r\n#11 exporting to image\r\n#11 exporting layers done\r\n#11 writing image sha256:fbd68ff596482cae2a92cf737115b8a15449c9fb306024805964ab3c23a44a9d done\r\n#11 naming to docker.io/openroad/flow-centos7-dev:latest done\r\n#11 DONE 0.0s\r\n\r\nWhat's Next?\r\n View a summary of image vulnerabilities and recommendations \u2192 docker scout quickview\r\nCreate docker image openroad/flow-centos7-builder:latest using docker/Dockerfile.builder\r\n#0 building with \"default\" instance using docker driver\r\n\r\n#1 [internal] load build definition from Dockerfile.builder\r\n#1 transferring dockerfile: 512B done\r\n#1 DONE 0.0s\r\n\r\n#2 [internal] load .dockerignore\r\n#2 transferring context: 403B done\r\n#2 DONE 0.0s\r\n\r\n#3 [internal] load metadata for docker.io/openroad/flow-centos7-dev:latest\r\n#3 DONE 0.0s\r\n\r\n#4 [1/4] FROM docker.io/openroad/flow-centos7-dev:latest\r\n#4 DONE 0.0s\r\n\r\n#5 [internal] load build context\r\n#5 transferring context: 2.71MB 2.3s done\r\n#5 DONE 2.5s\r\n\r\n#6 [2/4] COPY . /OpenROAD-flow-scripts\r\n#6 CACHED\r\n\r\n#7 [3/4] WORKDIR /OpenROAD-flow-scripts\r\n#7 CACHED\r\n\r\n#8 [4/4] RUN ./build_openroad.sh --no_init --local --threads 12\r\n#8 0.299 [INFO FLW-0027] Saving logs to build_openroad.log\r\n#8 0.299 [INFO FLW-0028] ./build_openroad.sh --no_init --local --threads 12\r\n#8 0.301 [INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.\r\n#8 0.381 [INFO FLW-0017] Compiling Yosys.\r\n#8 0.386 make: Entering directory '/OpenROAD-flow-scripts/tools/yosys'\r\n#8 0.584 [ 0%] Building kernel/version_UNKNOWN.cc\r\n#8 0.590 [ 0%] Building kernel/driver.o\r\n#8 0.596 [ 0%] Building techlibs/common/simlib_help.inc\r\n#8 0.601 [ 0%] Building techlibs/common/simcells_help.inc\r\n#8 0.607 [ 1%] Building kernel/rtlil.o\r\n#8 0.612 [ 1%] Building kernel/log.o\r\n#8 0.618 [ 2%] Building kernel/calc.o\r\n#8 0.626 [ 2%] Building kernel/yosys.o\r\n#8 0.633 [ 2%] Building kernel/binding.o\r\n#8 0.639 [ 3%] Building kernel/cellaigs.o\r\n#8 0.645 [ 3%] Building kernel/celledges.o\r\n#8 0.661 [ 3%] Building kernel/satgen.o\r\n#8 0.671 [ 4%] Building kernel/qcsat.o\r\n#8 0.679 [ 4%] Building kernel/mem.o\r\n#8 0.685 [ 4%] Building kernel/ffmerge.o\r\n#8 9.151 [ 5%] Building kernel/ff.o\r\n#8 11.40 [ 5%] Building libs/bigint/BigIntegerAlgorithms.o\r\n#8 12.35 [ 6%] Building libs/bigint/BigInteger.o\r\n#8 12.54 [ 6%] Building libs/bigint/BigIntegerUtils.o\r\n#8 13.11 [ 6%] Building libs/bigint/BigUnsigned.o\r\n#8 14.51 [ 7%] Building libs/bigint/BigUnsignedInABase.o\r\n#8 14.54 [ 7%] Building libs/sha1/sha1.o\r\n#8 16.50 [ 7%] Building libs/json11/json11.o\r\n#8 18.17 [ 8%] Building libs/subcircuit/subcircuit.o\r\n#8 18.79 [ 8%] Building libs/ezsat/ezsat.o\r\n#8 19.24 [ 8%] Building libs/ezsat/ezminisat.o\r\n#8 21.26 [ 9%] Building libs/minisat/Options.o\r\n#8 22.19 [ 9%] Building libs/minisat/SimpSolver.o\r\n#8 22.74 [ 9%] Building libs/minisat/Solver.o\r\n#8 23.14 In file included from libs/minisat/Sort.h:24,\r\n#8 23.14 from libs/minisat/SimpSolver.cc:27:\r\n#8 23.14 libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]':\r\n#8 23.14 libs/minisat/Vec.h:119:5: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]'\r\n#8 23.14 libs/minisat/IntMap.h:48:48: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]'\r\n#8 23.14 libs/minisat/SolverTypes.h:338:37: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]'\r\n#8 23.14 libs/minisat/SimpSolver.cc:92:28: required from here\r\n#8 23.14 libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess]\r\n#8 23.14 || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )\r\n#8 23.14 ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n#8 23.14 In file included from libs/minisat/Sort.h:24,\r\n#8 23.14 from libs/minisat/SimpSolver.cc:27:\r\n#8 23.14 libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here\r\n#8 23.14 class vec {\r\n#8 23.14 ^~~\r\n#8 23.49 In file included from libs/minisat/Alg.h:24,\r\n#8 23.49 from libs/minisat/Solver.cc:29:\r\n#8 23.49 libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]':\r\n#8 23.49 libs/minisat/Vec.h:119:5: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]'\r\n#8 23.49 libs/minisat/IntMap.h:48:48: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]'\r\n#8 23.49 libs/minisat/SolverTypes.h:338:37: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]'\r\n#8 23.49 libs/minisat/Solver.cc:134:35: required from here\r\n#8 23.49 libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess]\r\n#8 23.49 || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )\r\n#8 23.49 ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n#8 23.49 In file included from libs/minisat/Alg.h:24,\r\n#8 23.49 from libs/minisat/Solver.cc:29:\r\n#8 23.49 libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here\r\n#8 23.49 class vec {\r\n#8 23.49 ^~~\r\n#8 23.49 [ 10%] Building libs/minisat/System.o\r\n#8 23.81 [ 10%] Building frontends/aiger/aigerparse.o\r\n#8 24.51 [ 10%] Building frontends/rpc/rpc_frontend.o\r\n#8 24.54 [ 11%] Building frontends/verific/verific.o\r\n#8 25.04 [ 11%] Building frontends/json/jsonparse.o\r\n#8 25.84 [ 11%] Building frontends/verilog/verilog_parser.tab.cc\r\n#8 26.82 [ 12%] Building frontends/verilog/preproc.o\r\n#8 27.05 [ 13%] Building frontends/verilog/verilog_frontend.o\r\n#8 27.48 [ 13%] Building frontends/verilog/const2ast.o\r\n#8 28.00 [ 13%] Building frontends/ast/ast.o\r\n#8 32.62 [ 14%] Building frontends/ast/simplify.o\r\n#8 35.35 kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)':\r\n#8 35.35 kernel/satgen.cc:1137:32: warning: 'undef_srst' may be used uninitialized in this function [-Wmaybe-uninitialized]\r\n#8 35.35 std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);\r\n#8 35.35 ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n#8 35.35 kernel/satgen.cc:1123:32: warning: 'undef_ce' may be used uninitialized in this function [-Wmaybe-uninitialized]\r\n#8 35.35 std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q);\r\n#8 35.35 ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n#8 35.35 kernel/satgen.cc:1109:32: warning: 'undef_srst' may be used uninitialized in this function [-Wmaybe-uninitialized]\r\n#8 35.35 std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);\r\n#8 35.35 ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\r\n#8 35.74 [ 14%] Building frontends/ast/genrtlil.o\r\n#8 35.92 [ 14%] Building frontends/ast/dpicall.o\r\n#8 36.30 [ 15%] Building frontends/ast/ast_binding.o\r\n#8 37.57 [ 15%] Building frontends/blif/blifparse.o\r\n#8 38.68 [ 15%] Building frontends/liberty/liberty.o\r\n#8 39.81 [ 15%] Building frontends/rtlil/rtlil_parser.tab.cc\r\n#8 40.11 [ 15%] Building frontends/rtlil/rtlil_lexer.cc\r\n#8 40.27 [ 16%] Building frontends/rtlil/rtlil_frontend.o\r\n#8 41.65 [ 17%] Building passes/tests/test_autotb.o\r\n#8 41.74 [ 17%] Building passes/tests/test_cell.o\r\n#8 42.36 [ 18%] Building passes/tests/test_abcloop.o\r\n#8 46.40 [ 18%] Building passes/sat/sat.o\r\n#8 46.89 [ 18%] Building passes/sat/freduce.o\r\n#8 47.83 [ 19%] Building passes/sat/eval.o\r\n#8 50.02 [ 19%] Building passes/sat/sim.o\r\n#8 50.61 [ 19%] Building passes/sat/miter.o\r\n#8 51.79 [ 20%] Building passes/sat/expose.o\r\n#8 53.15 [ 20%] Building passes/sat/assertpmux.o\r\n#8 60.55 [ 20%] Building passes/sat/clk2fflogic.o\r\n#8 64.74 [ 21%] Building passes/sat/async2sync.o\r\n#8 64.74 [ 21%] Building passes/sat/supercover.o\r\n#8 75.16 [ 21%] Building passes/sat/fmcombine.o\r\n#8 75.95 [ 22%] Building passes/sat/mutate.o\r\n#8 76.43 [ 22%] Building passes/sat/cutpoint.o\r\n#8 77.18 [ 22%] Building passes/sat/fminit.o\r\n#8 77.99 [ 23%] Building passes/sat/qbfsat.o\r\n#8 79.12 [ 23%] Building passes/opt/opt.o\r\n#8 79.47 [ 24%] Building passes/opt/opt_merge.o\r\n#8 80.98 [ 24%] Building passes/opt/opt_mem.o\r\n#8 81.30 [ 24%] Building passes/opt/opt_mem_feedback.o\r\n#8 82.49 [ 25%] Building passes/opt/opt_mem_priority.o\r\n#8 82.90 [ 25%] Building passes/opt/opt_mem_widen.o\r\n#8 83.24 [ 25%] Building passes/opt/opt_muxtree.o\r\n#8 87.35 [ 26%] Building passes/opt/opt_reduce.o\r\n#8 87.38 [ 26%] Building passes/opt/opt_dff.o\r\n#8 88.42 [ 26%] Building passes/opt/opt_share.o\r\n#8 193.7 gcc: fatal error: Killed signal terminated program cc1plus\r\n#8 193.7 compilation terminated.\r\n#8 193.7 make: *** [Makefile:704: kernel/satgen.o] Error 1\r\n#8 193.7 make: *** Waiting for unfinished jobs....\r\n#8 215.1 make: Leaving directory '/OpenROAD-flow-scripts/tools/yosys'\r\n#8 ERROR: process \"/bin/sh -c ./build_openroad.sh --no_init --local --threads ${numThreads}\" did not complete successfully: exit code: 2\r\n------\r\n > [4/4] RUN ./build_openroad.sh --no_init --local --threads 12:\r\n82.90 [ 25%] Building passes/opt/opt_mem_widen.o\r\n83.24 [ 25%] Building passes/opt/opt_muxtree.o\r\n87.35 [ 26%] Building passes/opt/opt_reduce.o\r\n87.38 [ 26%] Building passes/opt/opt_dff.o\r\n88.42 [ 26%] Building passes/opt/opt_share.o\r\n193.7 gcc: fatal error: Killed signal terminated program cc1plus\r\n193.7 compilation terminated.\r\n193.7 make: *** [Makefile:704: kernel/satgen.o] Error 1\r\n193.7 make: *** Waiting for unfinished jobs....\r\n215.1 make: Leaving directory '/OpenROAD-flow-scripts/tools/yosys'\r\n------\r\nDockerfile.builder:14\r\n--------------------\r\n 12 | WORKDIR /OpenROAD-flow-scripts\r\n 13 | \r\n 14 | >>> RUN ./build_openroad.sh --no_init --local --threads ${numThreads}\r\n 15 | \r\n--------------------\r\nERROR: failed to solve: process \"/bin/sh -c ./build_openroad.sh --no_init --local --threads ${numThreads}\" did not complete successfully: exit code: 2\n```\n\n\n### To Reproduce\n\nNA\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "What version of gcc/g++ are you using? Have you run the dependency installer?"}, {"user": "![Screenshot 2023-11-05 092409](https://github.com/The-OpenROAD-Project/OpenROAD/assets/58129107/df466e6e-e712-4a81-9df6-893b792dff9d)\r\nI am using Docker method for building. Is it necessary to run dependency installer seperately? Didn't see that in tutorial."}, {"assistant": "you're using --threads 12 in docker ... watch how much memory is used, try with --threads 1 "}, {"assistant": "Also, if you are facing compilation issues with docker, you may try the prebuilt binaries method as listed [here](https://openroad-flow-scripts.readthedocs.io/en/latest/user/BuildWithDocker.html). The idea is to start a Ubuntu 22 (or one of our supported OSes) image and install the necessary binaries onto the docker image itself. "}, {"assistant": "@rudro101, any updates? Were you able to compile with the suggestions above?"}, {"user": "I didn't try pre binaries method so can't say about that. Previous\r\nsuggestions didn't work\r\n\r\nOn Tue, Nov 28, 2023 at 12:44\u202fAM Vitor Bandeira ***@***.***>\r\nwrote:\r\n\r\n> @rudro101 , any updates? Were you able to\r\n> compile with the suggestions above?\r\n>\r\n> \u2014\r\n> Reply to this email directly, view it on GitHub\r\n> ,\r\n> or unsubscribe\r\n> \r\n> .\r\n> You are receiving this because you were mentioned.Message ID:\r\n> ***@***.***>\r\n>\r\n"}]} +{"num": 4228, "messages": [{"user": "### Describe the bug\n\nWhen you have ideal clocks you have no meaningful data inside the clock tree. In the text report_checks you get:\r\n\r\n```\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock clk' (fall edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 v _44089_/CLK (DFFLQNx2_ASAP7_75t_R)\r\n 18 42.48 144.41 98.39 98.39 ^ _44089_/QN (DFFLQNx2_ASAP7_75t_R)\r\n```\r\n\r\nHowever in the GUI you see phantom details:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/869a39f3-00b3-4867-9bfc-7b52e737e98b)\r\n\n\n### Expected Behavior\n\nThe GUI should suppress the data not shown by the text report for ideal clock nets.\n\n### Environment\n\n```shell\nhead of OR\n```\n\n\n### To Reproduce\n\nThe example is taken from asap7/aes\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@gadfort if you have time for this let me know otherwise @AcKoucher can get to it when he does."}, {"assistant": "@maliberty I probably would not be able to get around to this for a while."}]} +{"num": 4229, "messages": [{"user": "### Describe the bug\r\n\r\nI've been trying to update an Odb-based diode insertion routine- for some reason, this error pops up when I attempt OR's own antenna repair flow afterwards:\r\n\r\n```\r\n[INFO GRT-0018] Total wirelength: 153216 um\r\n[INFO GRT-0014] Routed nets: 2287\r\n[INFO GRT-0006] Repairing antennas, iteration 1.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[ERROR ODB-0391] order_wires failed: net net61, shorts to another term at wire point (268295 160320)\r\nError: antenna_repair.tcl, 23 ODB-0391\r\n```\r\n\r\nThis may not be necessarily a bug. But I need help understanding the error- I don't understand how it \"shorts to another term\" as a virtual net that has not been routed yet.\r\n\r\nI've tried inspecting it in the GUI here- regardless of the concerning amount of diodes for what doesn't look like a very long net- not really sure what qualifies as a \"short to another term\" here.\r\n\r\n![Screenshot 2023-11-07 at 23 36 39](https://github.com/The-OpenROAD-Project/OpenROAD/assets/12652988/ce66d3b7-8ef2-409c-a2bd-f1c8af2618bb)\r\n\r\n\r\n### Expected Behavior\r\n\r\nA clearer explanation of what is wrong with the design in the error would be helpful- but I'd also just appreciate an explanation here. :)\r\n\r\n### Environment\r\n\r\n```shell\r\n95df42f3e443fe945902ce11b3e585f3e686e89e\r\n\r\n(Once again note I'm using a Nix build script and ./etc/Env.sh does not take into account the Cmake flags I use.)\r\n---\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Darwin 23.1.0\r\nos: macOS 14.1\r\ncmake version 3.24.3\r\nCMake Warning at src/CMakeLists.txt:241 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nCMake Error at /nix/store/zzm5qbivbq13ffcsns8vy66w305k7m89-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake:141 (find_package):\r\n Found package configuration file:\r\n\r\n /nix/store/zzm5qbivbq13ffcsns8vy66w305k7m89-boost-1.79.0-dev/lib/cmake/boost_serialization-1.79.0/boost_serialization-config.cmake\r\n\r\n but it set boost_serialization_FOUND to FALSE so package\r\n \"boost_serialization\" is considered to be NOT FOUND. Reason given by\r\n package:\r\n\r\n No suitable build variant has been found.\r\n\r\n The following variants have been tried and rejected:\r\n\r\n * libboost_serialization.dylib (shared, Boost_USE_STATIC_LIBS=ON)\r\n\r\nCall Stack (most recent call first):\r\n /nix/store/zzm5qbivbq13ffcsns8vy66w305k7m89-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake:262 (boost_find_component)\r\n /nix/store/i472r5jaycpvb9rdhsynlz5vhsxhf2j1-cmake-3.24.3/share/cmake-3.24/Modules/FindBoost.cmake:594 (find_package)\r\n src/drt/CMakeLists.txt:49 (find_package)\r\n\r\n\r\n-- The CXX compiler identification is Clang 11.1.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /nix/store/26h0gs729p7gsr4ma0ar1ig4d042xr2r-clang-wrapper-11.1.0/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10970-g95df42f3e\r\n-- System name: Darwin\r\n-- Compiler: Clang 11.1.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /var/empty/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is Clang 11.1.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /nix/store/26h0gs729p7gsr4ma0ar1ig4d042xr2r-clang-wrapper-11.1.0/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /Applications/Xcode.app/Contents/Developer/Library/Frameworks/Python3.framework/Versions/3.9/bin/python3.9 (found version \"3.9.6\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Failed\r\n-- TCL library: TCL_LIBRARY-NOTFOUND\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- TCL readline library: /nix/store/yjl7nfg32xfxz97kgpwa3z7qfl7bn8x4-tclreadline-2.3.8/lib/libtclreadline.dylib\r\n-- TCL readline header: /nix/store/yjl7nfg32xfxz97kgpwa3z7qfl7bn8x4-tclreadline-2.3.8/include\r\n-- Found SWIG: /nix/store/d4i1zblfbd6y4w9sg23gxffy7ig6nyp9-swig-3.0.12/bin/swig (found suitable version \"3.0.12\", minimum required is \"3.0\") \r\n-- Found Boost: /nix/store/zzm5qbivbq13ffcsns8vy66w305k7m89-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found version \"1.79.0\") \r\n-- boost: 1.79.0\r\n-- Found Python3: /nix/store/f4s1d5m0hx9fwd2zp5rcnz74j1cd9lff-python3-3.10.9/include/python3.10 (found version \"3.10.9\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /nix/store/x73ggslzqw60jvqlzxbkn6c5a23kqpyj-zlib-1.2.13/lib/libz.dylib (found version \"1.2.13\") \r\n-- spdlog: 1.10.0\r\n-- Found BISON: /nix/store/v3dgah1sy3z2s9k8q54kn923p0rq0n7f-bison-3.8.2/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3e2295adfd5ffb7053aa6bb68f31b0eae8f2986f\r\n-- System name: Darwin\r\n-- Compiler: Clang 11.1.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /var/empty/local\r\n-- Found FLEX: /nix/store/7qmvihb1m9g1n3ba01x9y36lnra4hc8x-flex-2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: TCL_LIBRARY-NOTFOUND\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- SSTA: 0\r\n-- STA executable: /Users/donn/efabless/openroad/src/sta/app/sta\r\n-- Found Protobuf: /nix/store/7knq04i3snwywjy0l9iwd7acqaypnhyv-protobuf-3.21.8/lib/libprotobuf.dylib (found version \"3.21.8\") \r\n-- Found re2: /nix/store/3x4d1dyc2zglgr3lsrrlq4gp2j336l4j-re2-2022-06-01-dev/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found GLPK: /nix/store/ycgylvh56r4q6h925qcvfi70dpi68az4-glpk-5.0/lib/libglpk.dylib \r\n-- Found OpenMP_CXX: -fopenmp=libomp (found version \"5.0\") \r\n-- Found OpenMP: TRUE (found version \"5.0\") \r\n-- GPU is not enabled\r\n-- TCL library: TCL_LIBRARY-NOTFOUND\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- Found Eigen3: /nix/store/kiaz24ggfni8ydnkacz9bax93334ymdc-eigen-3.4.0/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Configuring incomplete, errors occurred!\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nUnpack, then run `run.sh` from the `reproducible` folder:\r\n\r\n[repro.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13289490/repro.tgz)\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Anything related to order_wires is the gateway to h*ll. "}, {"assistant": "@donn As Matt said, order_wires is a pain for us, especially in repair_antennas. What happens is that when evaluating the global routing for antenna violations, we transform the route guides into actual routing wires. This is needed because the antenna checker only works with routing wires, not the guide format.\r\n\r\nAlso, the antenna checker requires that order_wires be called for these temporary wires. The cause of the error you see is that this temporary routing can sometimes overlap with instance pins, and order_wires doesn't handle that well.\r\n\r\nI made some improvements in the repair_antennas code to avoid such overlaps between tmp routing and pins, but it looks like it still requires more modifications. If the test case you see this issue can be shared, could you upload it here for investigation?\r\n\r\nBtw, we also have a plan to make the antenna checker understand the route guides format, but this requires a large change on how the checker works."}, {"user": "Thanks for the explanation @eder-matheus! Will most likely have to just work around that, perhaps by\u2026 not inserting that many diodes.\r\n\r\nI already uploaded the test case under \"To Reproduce\": link here again for your convenience. https://github.com/The-OpenROAD-Project/OpenROAD/files/13289490/repro.tgz"}, {"assistant": "> Thanks for the explanation @eder-matheus! Will most likely have to just work around that, perhaps by\u2026 not inserting that many diodes.\r\n> \r\n> I already uploaded the test case under \"To Reproduce\": link here again for your convenience. https://github.com/The-OpenROAD-Project/OpenROAD/files/13289490/repro.tgz\r\n\r\nThanks very much! Sorry, I didn't notice the attached test case before (is almost 11pm here :upside_down_face:).\r\n\r\nI'll look if I can fix the error in your test case, so a workaround would not be necessary in your end."}, {"assistant": "@donn I've found that there are a bunch of diodes overlapping in the position pointed out by the error message. I'm investigating now why the overlaps are occurring, it seems like an error from the diode insertion process."}, {"assistant": "@eder-matheus has the dpl been run?"}, {"assistant": "> @eder-matheus has the dpl been run?\r\n\r\nDPL runs after the violations are checked, but the order_wires errors happen during the check. The overlapped diodes should not exist in the first place, so I'm looking at why we have them."}, {"assistant": "@donn I've found that the input odb for the antenna repair script already has the overlapped diodes. Is this intended? What script should I run to reproduce the previous step of antenna repair, or the step where these diodes are inserted?"}, {"user": "@eder-matheus \u2026May be the custom script.\r\n\r\nThe overlapping part is all the explanation I need though. In that scenario, I simply forgot to legalize. \ud83d\ude43 \r\n\r\nThank you!"}]} +{"num": 4237, "messages": [{"user": "### Describe the bug\n\nUpon attempting to read an invalid ODB file, OpenROAD doesn't attempt to validate it, and instead crashes with a cryptic message of `Error: read_db.tcl, 1 ios_base::clear: unspecified iostream_category error`\r\n\r\nUltimately, doesn't really affect functionality much, but a better user-facing message may be helpful from a usability perspective.\n\n### Expected Behavior\n\nSomething along the lines of:\r\n \r\n`[ERROR ORD-XXXX] Odb file is invalid\u2026`\n\n### Environment\n\n```shell\nGit commit: 5d50b1fc71594f9c94db324a1abe8f7fca44fc28\r\nkernel: Darwin 23.1.0\r\nos: macOS 14.1\r\ncmake version 3.25.3\r\n-- The CXX compiler identification is Clang 14.0.6\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /nix/store/52yh0ynd87ihxhnpwa4snfvpcld833d9-clang-wrapper-14.0.6/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11001-g5d50b1fc7\r\n-- System name: Darwin\r\n-- Compiler: Clang 14.0.6\r\n-- Build type: RELEASE\r\n-- Install prefix: /var/empty/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is Clang 14.0.6\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /nix/store/52yh0ynd87ihxhnpwa4snfvpcld833d9-clang-wrapper-14.0.6/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /Applications/Xcode.app/Contents/Developer/Library/Frameworks/Python3.framework/Versions/3.9/bin/python3.9 (found version \"3.9.6\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/include/tcl.h\r\n-- TCL readline library: /nix/store/x2n8v8ha8dg9fmn4xr4z2ysm1hhc95rw-tclreadline-2.3.8/lib/libtclreadline.dylib\r\n-- TCL readline header: /nix/store/x2n8v8ha8dg9fmn4xr4z2ysm1hhc95rw-tclreadline-2.3.8/include\r\n-- Found SWIG: /nix/store/xjwk85rk9fkcf653kjp4f4ji9ad3s40i-swig-3.0.12/bin/swig (found suitable version \"3.0.12\", minimum required is \"3.0\") \r\n-- Found Boost: /nix/store/204c2pzw37nylmx7dx4af6hgyi4bizzy-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found version \"1.79.0\") \r\n-- boost: 1.79.0\r\n-- Found Python3: /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/include/python3.10 (found version \"3.10.13\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /nix/store/cr587lmikp3x7w12qfj2mvfijnk2aslf-zlib-1.2.13/lib/libz.dylib (found version \"1.2.13\") \r\n-- spdlog: 1.11.0\r\n-- Found BISON: /nix/store/f38j6azx13cb3xi9rz2p23i21ri81gnk-bison-3.8.2/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3e2295adfd5ffb7053aa6bb68f31b0eae8f2986f\r\n-- System name: Darwin\r\n-- Compiler: Clang 14.0.6\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /var/empty/local\r\n-- Found FLEX: /nix/store/w42hdppz3wq3kivhp1cqw23lmnncsxam-flex-2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /Users/donn/efabless/openroad/src/sta/app/sta\r\n-- Found Protobuf: /nix/store/gqx1kvj02w0ilgqpgdxryqcl94k5wk9x-protobuf-3.21.12/lib/libprotobuf.dylib (found version \"3.21.12\") \r\n-- Found re2: /nix/store/90gm3slacvwlldmnyjmrx17rbk8vfi1g-re2-2023-03-01-dev/lib/cmake/re2/re2Config.cmake (found version \"10.0.0\") \r\n-- Found GLPK: /nix/store/snfgkvbhvh1k1ca3fz67zlv0hs6zrlpr-glpk-5.0/lib/libglpk.dylib \r\n-- Found OpenMP_CXX: -fopenmp=libomp (found version \"5.0\") \r\n-- Found OpenMP: TRUE (found version \"5.0\") \r\n-- GPU is not enabled\r\n-- TCL library: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/include/tcl.h\r\n-- Found Eigen3: /nix/store/fjq70cg73x14hc22fl70k3hilx8hl24b-eigen-3.4.0/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /nix/store/204c2pzw37nylmx7dx4af6hgyi4bizzy-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found version \"1.79.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /nix/store/204c2pzw37nylmx7dx4af6hgyi4bizzy-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found suitable version \"1.79.0\", minimum required is \"1.78\") \r\n-- TCL library: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/8v016bnxnsnjkqzf4kzrscjsq4wgh96r-tcl-8.6.13/include/tcl.h\r\n-- Found Boost: /nix/store/204c2pzw37nylmx7dx4af6hgyi4bizzy-boost-1.79.0-dev/lib/cmake/Boost-1.79.0/BoostConfig.cmake (found version \"1.79.0\") found components: serialization system thread \r\n-- Removing MPL2 and PAR to avoid run time fatal error.\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Removing PAR_PY to avoid run time fatal error.\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.EbBrKc3daQ\n```\n\n\n### To Reproduce\n\nRun this script using `openroad -exit -python`:\r\n\r\n```python\r\nimport odb\r\n\r\nopen(\"my_odb.odb\", \"wb\").close()\r\n\r\ndb = odb.dbDatabase.create()\r\nodb.read_db(db, \"my_odb.odb\")\r\n```\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-11001-g5d50b1fc7 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nlibc++abi: terminating with uncaught exception of type std::__1::ios_base::failure: ios_base::clear: unspecified iostream_category error\r\nSignal 6 received\r\nStack trace:\r\n 0# handler(int) in /Users/donn/efabless/openroad/build/src/openroad\r\n 1# _sigtramp in /usr/lib/system/libsystem_platform.dylib\r\n 2# pthread_kill in /usr/lib/system/libsystem_pthread.dylib\r\n 3# abort in /usr/lib/system/libsystem_c.dylib\r\n 4# __cxxabiv1::__aligned_malloc_with_fallback(unsigned long) in /nix/store/fgjs9r7ps7xdm127sparlp2vqycajarn-libcxxabi-14.0.6/lib/libc++abi.1.0.dylib\r\n 5# demangling_terminate_handler() in /nix/store/fgjs9r7ps7xdm127sparlp2vqycajarn-libcxxabi-14.0.6/lib/libc++abi.1.0.dylib\r\n 6# std::__terminate(void (*)()) in /nix/store/fgjs9r7ps7xdm127sparlp2vqycajarn-libcxxabi-14.0.6/lib/libc++abi.1.0.dylib\r\n 7# __cxa_get_exception_ptr in /nix/store/fgjs9r7ps7xdm127sparlp2vqycajarn-libcxxabi-14.0.6/lib/libc++abi.1.0.dylib\r\n 8# __cxxabiv1::exception_cleanup_func(_Unwind_Reason_Code, _Unwind_Exception*) in /nix/store/fgjs9r7ps7xdm127sparlp2vqycajarn-libcxxabi-14.0.6/lib/libc++abi.1.0.dylib\r\n 9# std::__1::__throw_failure(char const*) in /nix/store/spcsjq3liwrmz45a38mpg36yxf552r97-libcxx-14.0.6/lib/libc++.1.0.dylib\r\n10# std::__1::__throw_failure(char const*) in /nix/store/spcsjq3liwrmz45a38mpg36yxf552r97-libcxx-14.0.6/lib/libc++.1.0.dylib\r\n11# std::__1::basic_istream >::read(char*, long) in /nix/store/spcsjq3liwrmz45a38mpg36yxf552r97-libcxx-14.0.6/lib/libc++.1.0.dylib\r\n12# odb::operator>>(odb::dbIStream&, odb::_dbDatabase&) in /Users/donn/efabless/openroad/build/src/openroad\r\n13# odb::dbDatabase::read(std::__1::basic_ifstream >&) in /Users/donn/efabless/openroad/build/src/openroad\r\n14# read_db(odb::dbDatabase*, char const*) in /Users/donn/efabless/openroad/build/src/openroad\r\n15# _wrap_read_db(_object*, _object*) in /Users/donn/efabless/openroad/build/src/openroad\r\n16# cfunction_call in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n17# _PyObject_MakeTpCall in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n18# call_function in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n19# _PyEval_EvalFrameDefault in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n20# _PyEval_Vector in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n21# PyEval_EvalCode in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n22# _PyRun_SimpleFileObject in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n23# _PyRun_AnyFileObject in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n24# Py_RunMain in /nix/store/cy4nsgrfg215s27dmmgrgb82j19i4j0m-python3-3.10.13/lib/libpython3.10.dylib\r\n25# main in /Users/donn/efabless/openroad/build/src/openroad\r\nAbort trap: 6\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I'd advise against using low level odb APIs. The db you are creating has no logger and can't issue any messages. I can put more information in the exception but you would have to catch it yourself."}, {"assistant": "This is preferrable \r\n```\r\nfrom openroad import Tech, Design\r\n\r\ntech = Tech()\r\ndesign = Design(tech)\r\ndesign.readDb(\"my_odb.odb\")\r\n```"}]} +{"num": 4241, "messages": [{"user": "### Description\r\n\r\nWhen working with large, long running designs, .odb compressed file sizes start to matter.\r\n\r\nEspecially when creating artifacts that are uploaded/downloaded to artifact servers, such as Bazel.\r\n\r\nI take it as read that whatever artifact system that is being used has compression built in, so it is not the raw .odb file size, but the compressed .odb file size that needs to be reduced.\r\n\r\nAs far as this feature request is concerned, the file size on disk is not a major concern, upload/download speeds is.\r\n\r\n### Suggested Solution\r\n\r\nReduce compressed size of .odb files.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "We could theoretically add a gz option to the writer."}, {"user": "> We could theoretically add a gz option to the writer.\r\n\r\nSurely Bazel , or whatever artifact system is being used, has compression already...."}, {"assistant": "Looks like not by default. https://bazel.build/reference/command-line-reference#flag--remote_cache_compression, and there's zero chance that CMake and or make are doing any kind of artifact compression.\r\n\r\n\"image\"\r\n\r\nhttps://www.buildbuddy.io/blog/how-bazel-5-0-makes-your-builds-faster/\r\n"}, {"user": "Google Cloud Buckets can be configured to do compression, so this might not even be the job of the Bazel.\r\n\r\nCertainly before changing ORFS/OpenROAD, we should try to find out if this problem is solved elsewhere for important use-cases.\r\n\r\nA long time ago, I tried to reduce disk space for ORFS by using symbolic links instead of copying .odb files, but make doesn't play nice with symbolic links.\r\n\r\nFor my wafer thin Bazel layer on top of ORFS, I only keep one .odb file per floorplan, place, cts, route, final stages."}, {"assistant": "My understand of that that feature is that it reduces at rest storage costs. GCS decompresses it on the fly over the wire so you're paying the full network bandwidth cost. https://cloud.google.com/storage/docs/transcoding\r\n\r\nWhat bottle neck are you actually running into? \r\n\r\n- Are your builds slow because remote artifact downloads are slow? \r\n- Are you running into high storage prices?\r\n- Is bazel failing to download some large file?\r\n\r\nMore details would help narrow the solution space. There's not a lot of easy size optimizations we could make in odb beyond adding compression."}, {"user": "I read a bit about Bazel. It supports compression, but also de-duplication. So if .odb files were reworked to work well the deduplication & built in Bazel compression there is a significant savings potential.\r\n\r\nRequires significant digging to figure out what this means... Split into multiple files so that shared data between .odb files can be detected by artifact systems to be duplicate?\r\n\r\ngit too has an artifact system, which compresses by default."}, {"assistant": "I think the reality is that would be a lot of work and complexity for gains on very specific systems. I don't think the juice is worth the squeeze.\r\n\r\nWhat are you primarily trying to optimize? Storage cost or fetch speed?\r\n\r\nI would also advise against storing odb files or other artifact like things in git. There's not a great solution for long term caching of these type of files, but putting them in git will forever store them in your history, and will quickly run you into platform repo limits on git hosting websites."}, {"user": "I take as a default I assume that it is unecessary to add compression to ORFS and that the job of ORFS is to enable existing compression(whereever it comes from) to be able to work effectively. \r\n\r\nRegarding google cloud: gsutil has a `-Z` and a `-J` compression option. The first is to compress on the server, the second to compress on the client (over the wire). W.r.t. ORFS, I assume that Bazel has a way to exploit this."}, {"assistant": "Yeah I think bazel is pretty agnostic to storage. I think the only way you get compression is with that flag I mentioned previously. Also my proposal is not to add compression to ORFS, but inside openroad so if you say run\r\n\r\n`write_db mydb.odb.gz` it will be streamed into a gzip. That would let you interact with pretty much any build system."}, {"user": "> I think the reality is that would be a lot of work and complexity for gains on very specific systems. I don't think the juice is worth the squeeze.\r\n> \r\n> What are you primarily trying to optimize? Storage cost or fetch speed?\r\n> \r\n> I would also advise against storing odb files or other artifact like things in git. There's not a great solution for long term caching of these type of files, but putting them in git will forever store them in your history, and will quickly run you into platform repo limits on git hosting websites.\r\n\r\nI don't propose to store .odb files in git. I was just using it as an example that one can expect artifact systems to have some sort of built in compression for transmission over the wire.\r\n\r\nMy main concern is transmission speeds.\r\n\r\nStorage costs I would mainly manage with pruning old builds."}, {"user": "> Yeah I think bazel is pretty agnostic to storage. I think the only way you get compression is with that flag I mentioned previously. \r\n\r\nNice find! I will experiment with it, it can be added to the `.bazelrc` under version control in my project alongside `WORKSPACE.bazel`\r\n\r\n> Also my proposal is not to add compression to ORFS, but inside openroad so if you say run\r\n> \r\n> `write_db mydb.odb.gz` it will be streamed into a gzip. That would let you interact with pretty much any build system.\r\n\r\nPerhaps someone will benefit from `write_db mydb.odb.gz`, but it doesn't address my concern in this feature request, so I consider it a separate feature request."}, {"assistant": "OR already has support for GZip on LEF, DEF, and SPEF. I don't think it would be too much to ask for ODB as well."}, {"user": "> OR already has support for GZip on LEF, DEF, and SPEF. I don't think it would be too much to ask for ODB as well.\r\n\r\nSure, but not relevant to this feature request. This feature request is about reducing the compressed size. Compression happens outside of OpenROAD already."}, {"assistant": "Ah I did not read the edited original post. I mean, I don't really know what to do there, other than turning up the compression effort on GZip which of course has computation time implications."}, {"user": "> Ah I did not read the edited original post. I mean, I don't really know what to do there, other than turning up the compression effort on GZip which of course has computation time implications.\r\n\r\nThere was some talk to have a scheme to store repeating structures, introduced in floorplanning, filling, etc. more efficiently."}, {"assistant": "A first step would be to have some reporting of the size of the various sections of the file. My guess is detailed routing will dominate but it would be good to verify that. PDN is another possibility."}, {"assistant": "I did some experiments we can reduce the odb size by ~50% if we move to a VLQ encoding for uint32_t in dbStream.h.\r\n\r\nsee\r\n\r\n```c++\r\nvoid dbOStream::writeUnsignedVlq(uint32_t c)\r\n{\r\n if (c == 0) {\r\n _f.put(0);\r\n return;\r\n }\r\n\r\n // Octets store 7 signal bits and 1\r\n // continuation bit in the MSB slot.\r\n char mast_7bit = 0b1111111;\r\n char vlq = 0;\r\n while (c != 0) {\r\n char vlq = c & mast_7bit;\r\n c >>= 7;\r\n if (c != 0) {\r\n vlq |= 0b10000000;\r\n }\r\n _f << vlq;\r\n }\r\n}\r\n```\r\n\r\nOn Jpeg sky130 the routed odb goes from 360Mb to 180MB with VLQs. If you use ZSTD compression on the file it shrinks to about 37MB,"}, {"user": "> On Jpeg sky130 the routed odb goes from 360Mb to 180MB. ZSTD compression is about 37MB,\r\n\r\nNice!\r\n\r\nWhat was the difference in conmpressed size?"}, {"assistant": "1-2MB"}, {"user": "> 1-2MB\r\n\r\nI see...\r\n\r\nNot to be a party pooper, but then it looks like it is better to just leave this to generic compression than to add make OpenROAD code more complicated?\r\n\r\nUnless this is simple and fast, in which case it is a win for the uncompressed case, i.e. normal ORFS flow."}, {"assistant": "Yeah I think adding a streaming zstd encoder by default makes the most sense. It's already in boost so it'll be an easy add. It's pretty fast 500MB+ encode speed and 2500MB/s read"}, {"user": "> Yeah I think adding a streaming zstd encoder by default makes the most sense. It's already in boost so it'll be an easy add. It's pretty fast 500MB+ encode speed and 2500MB/s read\r\n\r\nI see. Point of order to be nit-picking: this feature request is about reducing *compressed* size."}, {"assistant": "I looked at bit over the weekend and the most obvious place is the dbGCellGrid could be more efficient. Its hard to predict how much that will affect compressed size though as the compression might already be getting those gains."}, {"assistant": "Maybe there could be a compressed structure for arrays of fill cells? Something that just stores {x_origin, y_origin, x_pitch, y_pitch, x_count, y_count} plus some way of incrementing the instance name."}, {"user": "> Maybe there could be a compressed structure for arrays of fill cells? Something that just stores {x_origin, y_origin, x_pitch, y_pitch, x_count, y_count} plus some way of incrementing the instance name.\r\n\r\nWould that be better than zstd can do now?"}, {"assistant": "Only way to find out is to try \ud83e\udd37\u200d\u2642\ufe0f\r\nAny manually coded scheme should beat a dictionary-based encoder, but it's a question of how well."}, {"user": "Just as a datapoint, bsdiff is not practical, took hours.\r\n\r\n```\r\n$ bsdiff 3_place.odb 4_cts.odb patchfile\r\n$ zip xx patchfile \r\nupdating: patchfile (deflated 0%)\r\n$ unzip -lv xx.zip \r\nArchive: xx.zip\r\n Length Method Size Cmpr Date Time CRC-32 Name\r\n-------- ------ ------- ---- ---------- ----- -------- ----\r\n1820437880 Defl:N 203521160 89% 2023-12-31 15:14 d9f94a40 3_place.odb\r\n1845729152 Defl:N 209114483 89% 2023-12-29 02:07 9859b31c 4_cts.odb\r\n77743457 Defl:N 77694175 0% 2023-12-31 20:47 ffdfc498 patchfile\r\n-------- ------- --- -------\r\n3743910489 490329818 87% 3 files\r\n```\r\n\r\nDiff in size for 3_place and 4_cts is 1845729152-1820437880=25291272 bytes=25mBytes\r\n\r\nbsdiff is 77743457 = 77mBytes\r\n\r\nthe compressed size of 4_cts is ca. 185mByte.\r\n\r\nPreliminary conclusion: bsdiff can reduce compressed size for the 4_cts by 2x.\r\n\r\nGiven the disadvantages and complications of a binary diff approach, this isn't particularly promising.\r\n\r\n"}, {"user": "@maliberty An idea for macro placement specifically: write out a placemen.tcl, which fully describes the result of the .odb file from macro placement and read it back in in the next step. This completely eliminates the need for an .odb file for macro placement and also makes it easier to see what is going on in the macro placement stage as the placement.tcl doubles as a report.\r\n\r\nThis idea alone isn't particularly exciting unless it is more broadly applicable though. Are there other stages that can similarly be described by a small .tcl file?"}, {"assistant": "Not really. Even macro placement currently assigns std cell locations as well so it wouldn't be that small."}, {"user": "@maliberty Close?\r\n\r\nI think we covered the difference in significance between size of compressed and uncompressed .odb files and that this is now well understood. Uncompressed size helps runtime, compressed size helps network speed, disk size isn't particularly important.\r\n\r\nThere is no specific action or idea here, compressed size can always be improved...\r\n"}, {"assistant": "I'm fine to close. The gcell data PR will help the uncompressed size."}]} +{"num": 4242, "messages": [{"user": "### Describe the bug\r\n\r\nCrash in mpl2:\r\n\r\n```\r\n 0# 0x00005615FB05A6F3 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F21E6E3C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# mpl2::SoftMacro::getPinX() const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# mpl2::HierRTLMP::setTemporaryStdCellLocation(mpl2::Cluster*, odb::dbInst*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# mpl2::HierRTLMP::setModuleStdCellsLocation(mpl2::Cluster*, odb::dbModule*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# mpl2::HierRTLMP::generateTemporaryStdCellsPlacement(mpl2::Cluster*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# mpl2::HierRTLMP::generateTemporaryStdCellsPlacement(mpl2::Cluster*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# mpl2::HierRTLMP::generateTemporaryStdCellsPlacement(mpl2::Cluster*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# mpl2::HierRTLMP::hierRTLMacroPlacer() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# mpl2::MacroPlacer2::place(int, int, int, int, int, float, int, float, int, int, int, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, int, bool, char const*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# mpl2::rtl_macro_placer_cmd(int, int, int, int, float, int, float, int, int, int, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, float, int, bool, char const*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# 0x00005615FCA082DD in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# 0x00007F21EB486C43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n17# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n19# main in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n20# 0x00007F21E6E23A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n21# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n22# _start in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n```\r\n\r\n### Expected Behavior\r\n\r\nNo crash\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-10996-g2bdae1c13\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nCa. 1 minute to reproduce the crash.\r\n\r\nunzip https://drive.google.com/file/d/17n5GAboX0s4Wz0a_8mIvWKp4OKzViZT7/view?usp=sharing\r\n\r\n```\r\nNUM_CORES=16 ./run-me-BoomTile-asap7-base.sh\r\n```\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Probably needs a test case of some kind @oharboe "}, {"user": "> Probably needs a test case of some kind @oharboe\r\n\r\nYes, working on it. I just needed to save some stuff while I had it..."}, {"user": "I tried to whittle it down, after 2 days this is still running.\r\n\r\nHowever, I've replaced the original in the reproduction instruction above (15 minutes) with a faster version (2 minutes) to reproduce the crash:\r\n\r\n```\r\nNUM_CORES=16 openroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --persistence 6 --use_stdout --error_string \"mpl2::SoftMacro::getPinX()\" --base_db_path bazel-bin/build/results/asap7/BoomTile/base/2_3_floorplan_tdms.odb --step \"./run-me-BoomTile-asap7-base.sh\"\r\n```\r\n"}, {"user": "@maliberty Please confirm that you can reproduce. Ca. 2 minutes on my PC to reproduce the crash."}, {"user": "![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/2b667ddd-d4c4-4b8a-b200-44dfc1fb1c6a)\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/1796b19d-9b80-4bec-a41a-9ed66ee97dd9)\r\n"}, {"user": "@maliberty Please confirm that you can reproduce. Ca. 1 minutes on my PC to reproduce the crash. The google drive link(33mBytes, too big for github) is available to anyone with the link."}, {"user": "Classic placement does produce a floorplan without crashing.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d7392ee4-709b-4548-ad89-db594cf7a44b)\r\n"}]} +{"num": 4246, "messages": [{"user": "### Describe the bug\n\nI have created mock abstracts using only the floorplan.odb/sdc information so that I can iterate quickly on the MegaBoom floorplan. However, I get an error message in `floorplan.tcl` and warnings:\r\n\r\nunzip [floorplan.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13319173/floorplan.zip)\r\n\r\n\r\n```\r\n$ ./run-me-BoomTile-asap7-base.sh \r\nvars-BoomTile-asap7-base.sh: line 25: export: `--no-print-directory': not a valid identifier\r\nOpenROAD v2.0-10996-g2bdae1c13 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /home/oyvind/megaboom/bar/platforms/asap7/lef/asap7_tech_1x_201209.lef\r\n[INFO ODB-0223] Created 24 technology layers\r\n[INFO ODB-0224] Created 9 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/oyvind/megaboom/bar/platforms/asap7/lef/asap7_tech_1x_201209.lef\r\n[INFO ODB-0222] Reading LEF file: /home/oyvind/megaboom/bar/platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef\r\n[INFO ODB-0225] Created 212 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/oyvind/megaboom/bar/platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef\r\n[INFO ODB-0222] Reading LEF file: bazel-out/k8-fastbuild/bin/build/results/asap7/table_256x12/base/table_256x12.lef\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[deleted]\r\n```\n\n### Expected Behavior\n\nI should get a mock floorplan that I can iterate on.\r\n\r\n\n\n### Environment\n\n```shell\nOpenROAD v2.0-10996-g2bdae1c13\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "How did you generate the odb?"}, {"user": "> How did you generate the odb?\r\n\r\nWhich .odb?\r\n\r\nfloorplan.tcl takes abstracts and 1_synth.v file as inputs, no?\r\n\r\n"}, {"assistant": "I don't know where table_256x12.lef came from but it contains references to this undefined via which is correctly an error."}, {"user": "I will see if I can create a reproduction case for the illegal lef"}]} +{"num": 4252, "messages": [{"user": "### Describe the bug\r\n\r\ntest.or:\r\n```\r\nread_lef test.lef\r\nread_def test.def -continue_on_errors\r\n```\r\nopenroad -gui test.or\r\n```\r\nOpenROAD v2.0-11034-g2c985025e \r\n[INFO ODB-0222] Reading LEF file: test.lef\r\n[INFO ODB-0388] unsupported LEF58_MINWIDTH property for layer M4 :\"MINWIDTH 1.0 WRONGDIRECTION ; \"\r\n[INFO ODB-0223] Created 3 technology layers\r\n[INFO ODB-0224] Created 1 technology vias\r\n[INFO ODB-0226] Finished LEF file: test.lef\r\n[INFO ODB-0127] Reading DEF file: test.def\r\n[INFO ODB-0128] Design: test\r\n[INFO ODB-0133] Created 1 nets and 0 connections.\r\n[INFO ODB-0134] Finished DEF file: test.def\r\n```\r\n\r\n### Expected Behavior\r\n\r\nsupport LEF58_MINWIDTH property \"MINWIDTH 1.0 WRONGDIRECTION ;\"\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11034-g2c985025e\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[wrongdirection.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13327055/wrongdirection.tgz)\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Do you have an actual PDK that requires this or is it just a nice to have?"}, {"user": "This is a testcase from klayout, so it\u2019s a real PDK, but none that would currently use OpenRoad.\r\nBut since this property seems to be a subset of WIDTHTABLE that OpenRoad does support, it should be easy to implement, no ? Just one element in the WRONGDIRECTION table."}, {"assistant": "Its a matter of priority - there are a lot of rules that we need to work on."}, {"assistant": "@stefanottili is this an old pdk? I see the syntax in a 2012 manual but not in a later one which makes me think it was dropped at some point."}, {"assistant": "It looks like this got replaced with PROPERTY LEF58_WIDTH \"WIDTH minWidth [WRONGDIRECTION] ; \""}]} +{"num": 4256, "messages": [{"user": "### Description\r\n\r\nClassic succeeds: ![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/51f2462c-f98d-4ac9-9195-74e99ac3650b)\r\n\r\nmpl2 fails.\r\n\r\n```\r\n[deleted]\r\nCall Macro Placer -halo_width 50 -report_directory bazel-out/k8-fastbuild/bin//build/objects/asap7/BoomTile/base/rtlmp\r\nFloorplan Outline: (0.0, 0.0) (3540.215, 3540.215), Core Outline: (2.052, 2.16) (3538.188, 3538.08)\r\nTraversed logical hierarchy\r\n\tNumber of std cell instances: 1131390\r\n\tArea of std cell instances: 135726.88\r\n\tNumber of macros: 165\r\n\tArea of macros: 2355215.00\r\n\tArea of macros with halos: 7334372.50\r\n\tArea of std cell instances + Area of macros: 2490942.00\r\n\tCore area: 12503495.00\r\n\tDesign Utilization: 0.20\r\n\tCore Utilization: 0.01\r\n\tManufacturing Grid: 1\r\n[deleted]\r\nMultiLevelMacroPlacement] sa_id = 188, target_util = 1.1500001, target_dead_space = 0.90000004\r\n[MultiLevelMacroPlacement] sa_id = 189, target_util = 1.1500001, target_dead_space = 0.95000005\r\n[INFO MPL-0032] [MultiLevelMacroPlacement] Working on children of cluster: ((root)_glue_logic_0)_glue_logic_0_0_0_0, Outline 2.052, 2.16 3536.136, 2356.588\r\n[EnhancedMacroPlacement] Simulated Annealing Summary for cluster ((root)_glue_logic_0)_glue_logic_0_0_0_0\r\n[EnhancedMacroPlacement] sa_id = 0, target_util = 1000000.0, target_dead_space = 0.99999\r\n[ERROR MPL-2067] [MultiLevelMacroPlacement] Failed on cluster ((root)_glue_logic_0)_glue_logic_0_0_0_0\r\nError: macro_place_util.tcl, 138 MPL-2067\r\nCommand exited with non-zero status 1\r\nElapsed time: 36:40.00[h:]min:sec. CPU time: user 15145.64 sys 389.73 (706%). Peak memory: 10083828KB.\r\nmake[1]: *** [/home/oyvind/OpenROAD-flow-scripts/flow/Makefile:593: do-2_4_floorplan_macro] Error 1\r\nmake: *** [/home/oyvind/OpenROAD-flow-scripts/flow/Makefile:613: do-floorplan] Error 2\r\n```\r\n\r\nTo reproduce, unzip https://drive.google.com/file/d/17HgnvWMQBAORIPfR6UOaHqXaJ064rrMi/view?usp=sharing and run:\r\n\r\n```\r\nNUM_CORES=16 ./run-me-BoomTile-asap7-base.sh\r\n```\r\n\r\n\r\n\r\n### Suggested Solution\r\n\r\nShould place macros\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "I tried to to whittle it down, but I did not get a faster failure.\r\n\r\n```\r\nNUM_CORES=16 openroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --persistence 4 --use_stdout --error_string \"MPL-2067\" --base_db_path bazel-out/k8-fastbuild/bin/build/results/asap7/BoomTile/base/2_3_floorplan_tdms.odb --step \"./run-me-BoomTile-asap7-base.sh\"\r\n```\r\n\r\n\r\n"}, {"assistant": "Does the fix for #4242 work here?"}, {"user": "> Does the fix for #4242 work here?\r\n\r\nThis does not crash anymore, so for that part yes, but mpl2 does not find a solution, whereas classic placement does find a solution.\r\n\r\nHence, I filed a feature request, not bug report...."}, {"user": "@maliberty Please confirm that you can repeat the problem. deltaDebug did not produce a faster failure, so the reproduction case is the above."}]} +{"num": 4268, "messages": [{"user": "### Describe the bug\r\n\r\nA slightly faster test-case after deltaDebug, 4 minutes:\r\n\r\n[crash2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13387909/crash2.zip)\r\n\r\n\r\nOriginal test-case:\r\n\r\nunzip https://drive.google.com/file/d/1fTZP3lH3U9Mc-nU7gEYZ2enk4cmPOPsn/view?usp=sharing\r\n\r\n```\r\n./run-me-regfile_128x65-asap7-base.sh\r\n```\r\n\r\nCa. 15 minutes:\r\n\r\n```\r\n[INFO RSZ-0046] Found 4395 endpoints with hold violations.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055B80D5B8C73 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F79CE03C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# 0x00007F79CE17401D in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# odb::dbNet::getName[abi:cxx11]() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# grt::FastRouteCore::saveCongestion(int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# grt::FastRouteCore::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# grt::GlobalRouter::findRouting(std::vector >&, int, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# grt::GlobalRouter::updateDirtyRoutes(bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# rsz::Resizer::updateParasitics(bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# rsz::RepairHold::repairHoldPass(sta::Vector&, sta::LibertyCell*, double, double, bool, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# rsz::RepairHold::repairHold(sta::Vector&, sta::LibertyCell*, double, double, bool, int, int, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# rsz::RepairHold::repairHold(double, double, bool, float, int, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# 0x000055B80D7224C8 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n13# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# 0x00007F79D2824C43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n18# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n19# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n20# main in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n21# 0x00007F79CE023A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n22# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n23# _start in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\nCommand terminated by signal 11\r\n```\r\n\r\n### Expected Behavior\r\n\r\nNo crash\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11072-g2d83b7137\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nComing up.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "To whittle down:\r\n\r\n```\r\nopenroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --persistence 4 --use_stdout --error_string \"grt::FastRouteCore::saveCongestion\" --base_db_path bazel-bin/build/results/asap7/regfile_128x65/base/4_cts.odb --step \"./run-me-regfile_128x65-asap7-base.sh\"\r\n```\r\n"}, {"user": "I have seen a different SEGFAULT stack trace, for the same test case, but I'm going to assume it is the same problem and retest after fix...\r\n\r\n```\r\n[INFO RSZ-0046] Found 4395 endpoints with hold violations.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000557DE698FC73 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007F40C343C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# grt::GlobalRouter::getAllPorts() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# grt::GlobalRouter::checkPinPlacement() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# grt::GlobalRouter::initNets(std::vector >&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# grt::GlobalRouter::updateDirtyRoutes(bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# rsz::Resizer::updateParasitics(bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# rsz::RepairHold::repairHoldPass(sta::Vector&, sta::LibertyCell*, double, double, bool, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# rsz::RepairHold::repairHold(sta::Vector&, sta::LibertyCell*, double, double, bool, int, int, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# rsz::RepairHold::repairHold(double, double, bool, float, int, bool) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# 0x0000557DE6AF94C8 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# 0x00007F40C7B6CC43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n16# ord::tclAppInit(Tcl_Interp*) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n17# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# main in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n19# 0x00007F40C3423A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n20# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n21# _start in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\nCommand terminated by signal 11\r\n```\r\n"}, {"user": "A slightly faster test-case, perhaps 5 minutes?\r\n\r\n[crash2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13387909/crash2.zip)\r\n"}, {"assistant": "@oharboe I am not sure if is something with my computer but I can't extract the files from this faster test-case, the original I was able to extract, but the faster one I can't.\r\n"}, {"user": "> @oharboe I am not sure if is something with my computer but I can't extract the files from this faster test-case, the original I was able to extract, but the faster one I can't.\r\n\r\nI compressed with zip -Zbzip2, so depending on your OS, you may need to install bzip2 seperately from zip."}, {"assistant": "O\r\n\r\n> > @oharboe I am not sure if is something with my computer but I can't extract the files from this faster test-case, the original I was able to extract, but the faster one I can't.\r\n> \r\n> I compressed with zip -Zbzip2, so depending on your OS, you may need to install bzip2 seperately from zip.\r\n\r\nI will try that, thanks."}, {"user": "@arthurjolo I think it might be worth fixing #4297 first, because it has a much shorter turnaround time, then see if this is fixed."}, {"assistant": "> @arthurjolo I think it might be worth fixing #4297 first, because it has a much shorter turnaround time, then see if this is fixed.\r\n\r\nI have found what it causing this segfault, I am working on a solution. I will test for [#4297](https://github.com/The-OpenROAD-Project/OpenROAD/issues/4297) as well when I complete the solution."}]} +{"num": 4277, "messages": [{"user": "### Describe the bug\n\nI have a dummy adder circuit in which there is no clock, but I use the same script on all my designs, sequential of not. I had no issue before, but now OpenROAD crashes on the CTS step with that design.\r\n\r\nHere is the log : \r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055F99EB4BDBB in openroad\r\n 1# 0x00007F2E5AA42520 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# sta::LibertyCell::bufferPorts(sta::LibertyPort*&, sta::LibertyPort*&) const in openroad\r\n 3# cts::TritonCTS::selectRootBuffer(std::vector, std::allocator >, std::allocator, std::allocator > > >&) in openroad\r\n 4# cts::TritonCTS::setRootBuffer(char const*) in openroad\r\n 5# 0x000055F99F1B98AC in openroad\r\n 6# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 7# 0x00007F2E5EF27028 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 8# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n 9# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n10# gui::TclCmdInputWidget::executeCommand(QString const&, bool, bool) in openroad\r\n11# gui::CmdInputWidget::handleEnterKeyPress(QKeyEvent*) in openroad\r\n12# gui::TclCmdInputWidget::keyPressEvent(QKeyEvent*) in openroad\r\n13# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n14# QFrame::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n15# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n16# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n17# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n18# 0x00007F2E5C7CC02F in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n19# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n20# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n21# QGuiApplicationPrivate::processKeyEvent(QWindowSystemInterfacePrivate::KeyEvent*) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n22# 0x00007F2E540130B4 in /usr/lib/x86_64-linux-gnu/qt5/plugins/platforminputcontexts/libibusplatforminputcontextplugin.so\r\n23# 0x00007F2E5BAF1793 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n24# QDBusPendingCallWatcher::finished(QDBusPendingCallWatcher*) in /lib/x86_64-linux-gnu/libQt5DBus.so.5\r\n25# QObject::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n26# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n27# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n28# QCoreApplicationPrivate::sendPostedEvents(QObject*, int, QThreadData*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n29# 0x00007F2E5BB13A67 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n30# g_main_context_dispatch in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n31# 0x00007F2E5AD71258 in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n32# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n33# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n34# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n35# QCoreApplication::exec() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n36# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n37# ord::tclAppInit(Tcl_Interp*) in openroad\r\n38# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n39# main in openroad\r\n40# 0x00007F2E5AA29D90 in /lib/x86_64-linux-gnu/libc.so.6\r\n41# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n42# _start in openroad\r\nSegmentation fault (core dumped)\r\n```\n\n### Expected Behavior\n\nNo crashes, maybe just a warning as there is no clock tree to synthesize\n\n### Environment\n\n```shell\nGit commit: 4759105234654bd6e30af9179e9523c38ede62a5\r\nkernel: Linux 6.2.0-36-generic\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\n```\n\n\n### To Reproduce\n\n[TestCase.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13392305/TestCase.zip)\r\n\r\nExtract this directory to _OpenROAD-flow-scripts/tools/OpenROAD/test/_, enter the _TestCase_ directory, use `openroad -gui` to enter the gui and use `source \"./scripts/constant_density/10_adder_diedim_130_pd_0.7/adder_128_asap7.tcl\"` in the TCL command box\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Thanks for reporting the issue. Could you help with some missing files so we can look into this quickly?\r\n\r\n../helpers.tcl\r\n../flow_helpers.tcl"}, {"assistant": "I can avoid the errors by extracting the testcase under OpenROAD-flow-scripts/tools/OpenROAD/test. Let me look into the issue.\r\n"}, {"user": "@precisionmoon Hi, any news ?"}, {"assistant": "I fixed this issue as part of another PR 1888. The PR is under review now and under validation with CI tests."}, {"assistant": "The fix involves this new error message:\r\n[ERROR CTS-0112] Liberty cell could not be found for cell 'BUFx4_ASAP7_75t_R'\r\n\r\nThe root cause is missing read_liberty for this buffer cell. Instead of a fatal, you get an error message and a shell prompt after CTS aborts. Is this in line with your expectation?"}, {"user": "Yes, perfect thank you !"}, {"assistant": "PR 4330 fixed this issue."}]} +{"num": 4292, "messages": [{"user": "### Describe the bug\n\nI have 2 similar system . On one system CTS is taking around 625 seconds while on the other system it is taking 2550 seconds. These results are consistent. \r\n\r\nOn both system cpufreq governor is set to performance.\r\n\r\n**System 1 log: (625 seconds)**\r\n\r\nRepair setup and hold violations...\r\nTNS end percent 100\r\nSkipping gate cloning during optimization\r\n[INFO RSZ-0094] Found 3457 endpoints with setup violations.\r\n[INFO RSZ-0045] Inserted 200 buffers, 1 to split loads.\r\n[INFO RSZ-0041] Resized 195 instances.\r\n[INFO RSZ-0043] Swapped pins on 80 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n[INFO RSZ-0033] No hold violations found.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 985.8 u\r\naverage displacement 0.0 u\r\nmax displacement 11.0 u\r\noriginal HPWL 5532893.1 u\r\nlegalized HPWL 5533517.5 u\r\ndelta HPWL 0 %\r\n\r\n\r\n**System 2 (2550 seconds):**\r\n\r\nRepair setup and hold violations...\r\nTNS end percent 100\r\nSkipping gate cloning during optimization\r\n[INFO RSZ-0094] Found 3459 endpoints with setup violations.\r\n[INFO RSZ-0045] Inserted 539 buffers, 1 to split loads.\r\n[INFO RSZ-0041] Resized 2955 instances.\r\n[INFO RSZ-0043] Swapped pins on 118 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n[INFO RSZ-0033] No hold violations found.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 6384.6 u\r\naverage displacement 0.0 u\r\nmax displacement 19.6 u\r\noriginal HPWL 5567471.6 u\r\nlegalized HPWL 5572355.7 u\r\ndelta HPWL 0 %\r\n\r\n\r\nThe difference i see here with respect to total displacement.\r\n\r\nPlease do let me know how to fix this.\r\n\n\n### Expected Behavior\n\nCTS should take around 625 seconds in both systems. As that is nearer to golden value. \n\n### Environment\n\n```shell\nkernel: Linux 5.15.0-86-generic\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is Clang 16.0.3\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /home/amd/compiler/aocc4.1/aocc-compiler-rel-4.1.0-4451-270/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-10770-g6719c92e6\r\n-- System name: Linux\r\n-- Compiler: Clang 16.0.3\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is Clang 16.0.3\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /home/amd/compiler/aocc4.1/aocc-compiler-rel-4.1.0-4451-270/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-O3\r\n-- Performing Test C_COMPILER_SUPPORTS__-O3 - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-O3\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-O3 - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-march=native\r\n-- Performing Test C_COMPILER_SUPPORTS__-march=native - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-march=native\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-march=native - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-flto\r\n-- Performing Test C_COMPILER_SUPPORTS__-flto - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-flto\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-flto - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\")\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\")\r\n-- boost: 1.83.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\")\r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 1fed0491bb9e84c9622b7e984821e0711502a14e\r\n-- System name: Linux\r\n-- Compiler: Clang 16.0.3\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/amd/sandeep/benchmarking/ORFS/AOCC/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\")\r\n-- Found OpenMP_CXX: -fopenmp=libomp (found version \"5.0\")\r\n-- Found OpenMP: TRUE (found version \"5.0\")\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- GUI is enabled\r\n-- Charts widget is enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found suitable version \"1.83.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization system thread\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.8IHetRMv7r\n```\n\n\n### To Reproduce\n\nThis issue is specific to one of the server i am working on.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Are the binaries on both systems byte for byte identical? Also do you have a test case that you can share with us?"}, {"assistant": "What are the two systems? You are getting different answers so something is varying beyond runtime."}, {"user": "The binaries are not byte to byte identical. But both are built with same compiler(AOCC). Binary size is almost similar i.e OpenRoad is 79 MB on both systems. Both are AMD servers with similar configuration .\r\n\r\nSystem1:~/sandeep/benchmarking/ORFS/AOCC/OpenROAD-flow-scripts/tools/install/OpenROAD$ ls -lrt bin/openroad\r\n-rwxr-xr-x 1 amd amd 82015776 Oct 26 17:22 bin/openroad\r\n\r\nSystem 2:~/dporwal/openroad/ORFS/new/OpenROAD-flow-scripts/tools/install/OpenROAD$ ls -lrt bin/openroad\r\n-rwxr-xr-x 1 amd amd 82252592 Nov 15 11:08 bin/openroad\r\n"}, {"assistant": "Why not use the same binary to eliminate a source of difference? Also is the input data identical?"}, {"assistant": "@sandeep-amd if you send an email to info@precisioninno.com, we can get connected and figure out how to solve this. -Tom"}, {"user": "Yes the input data is identical as it is being run using Open Road Flow Scripts. \r\n\r\nAlso, if yoy see the log, major difference seems to be in this step.\r\n[INFO RSZ-0045] Inserted 200 buffers, 1 to split loads.\r\n[INFO RSZ-0041] Resized 195 instances.\r\n[INFO RSZ-0043] Swapped pins on 80 instances.\r\n\r\n#################################################################\r\n[INFO RSZ-0045] Inserted 539 buffers, 1 to split loads.\r\n[INFO RSZ-0041] Resized 2955 instances.\r\n[INFO RSZ-0043] Swapped pins on 118 instances.\r\n#################################################################\r\n\r\nWhy it has inserted more buffers(200 vs 539) and resized more instances (195 vs 2955) ? What does these steps do ?\r\n\r\n\r\n\r\n"}, {"user": "> @sandeep-amd if you send an email to [info@precisioninno.com](mailto:info@precisioninno.com), we can get connected and figure out how to solve this. -Tom\r\n\r\ni will send an email"}, {"assistant": "It is performing timing optimization. You need to use the same build of OR to have comparable results. The simplest way to ensure that would be to use the same binaries on both machines."}, {"assistant": "Were you able to connect with @tspyrou ?"}, {"assistant": "@sandeep-amd I don't think that we connected yet."}]} +{"num": 4297, "messages": [{"user": "### Describe the bug\r\n\r\nunzip [grtcrash2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13434706/grtcrash2.zip)\r\n\r\n```\r\n$ ./run-me-regfile_128x65-asap7-base.sh \r\nOpenROAD v2.0-11153-gec1c9f945\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-0337] port 'R0_clk' not found.\r\n[WARNING STA-0357] virtual clock R0_clk can not be propagated.\r\n[WARNING STA-0337] port 'W0_clk' not found.\r\n[WARNING STA-0357] virtual clock W0_clk can not be propagated.\r\n[WARNING STA-0337] port 'R0_addr[0]' not found.\r\n[WARNING STA-0337] port 'R0_addr[1]' not found.\r\n[WARNING STA-0337] port 'R0_addr[2]' not found.\r\n[WARNING STA-0337] port 'R0_addr[3]' not found.\r\n[WARNING STA-0337] port 'R0_addr[4]' not found.\r\n[WARNING STA-0337] port 'R0_addr[5]' not found.\r\n[WARNING STA-0337] port 'R0_addr[6]' not found.\r\n[WARNING STA-0337] port 'R0_en' not found.\r\n[WARNING STA-0337] port 'R1_addr[0]' not found.\r\n[WARNING STA-0337] port 'R1_addr[1]' not found.\r\n[WARNING STA-0337] port 'R1_addr[2]' not found.\r\n[WARNING STA-0337] port 'R1_addr[3]' not found.\r\n[WARNING STA-0337] port 'R1_addr[4]' not found.\r\n[WARNING STA-0337] port 'R1_addr[5]' not found.\r\n[WARNING STA-0337] port 'R1_addr[6]' not found.\r\n[WARNING STA-0337] port 'R1_clk' not found.\r\n[WARNING STA-0337] port 'R1_en' not found.\r\n[WARNING STA-0337] port 'R2_addr[0]' not found.\r\n[WARNING STA-0337] port 'R2_addr[1]' not found.\r\n[WARNING STA-0337] port 'R2_addr[2]' not found.\r\n[WARNING STA-0337] port 'R2_addr[3]' not found.\r\n[WARNING STA-0337] port 'R2_addr[4]' not found.\r\n[WARNING STA-0337] port 'R2_addr[5]' not found.\r\n[WARNING STA-0337] port 'R2_addr[6]' not found.\r\n[WARNING STA-0337] port 'R2_clk' not found.\r\n[WARNING STA-0337] port 'R2_en' not found.\r\n[WARNING STA-0337] port 'R3_addr[0]' not found.\r\n[WARNING STA-0337] port 'R3_addr[1]' not found.\r\n[WARNING STA-0337] port 'R3_addr[2]' not found.\r\n[WARNING STA-0337] port 'R3_addr[3]' not found.\r\n[WARNING STA-0337] port 'R3_addr[4]' not found.\r\n[WARNING STA-0337] port 'R3_addr[5]' not found.\r\n[WARNING STA-0337] port 'R3_addr[6]' not found.\r\n[WARNING STA-0337] port 'R3_clk' not found.\r\n[WARNING STA-0337] port 'R3_en' not found.\r\n[WARNING STA-0337] port 'R4_addr[0]' not found.\r\n[WARNING STA-0337] port 'R4_addr[1]' not found.\r\n[WARNING STA-0337] port 'R4_addr[2]' not found.\r\n[WARNING STA-0337] port 'R4_addr[3]' not found.\r\n[WARNING STA-0337] port 'R4_addr[4]' not found.\r\n[WARNING STA-0337] port 'R4_addr[5]' not found.\r\n[WARNING STA-0337] port 'R4_addr[6]' not found.\r\n[WARNING STA-0337] port 'R4_clk' not found.\r\n[WARNING STA-0337] port 'R4_en' not found.\r\n[WARNING STA-0337] port 'R5_addr[0]' not found.\r\n[WARNING STA-0337] port 'R5_addr[1]' not found.\r\n[WARNING STA-0337] port 'R5_addr[2]' not found.\r\n[WARNING STA-0337] port 'R5_addr[3]' not found.\r\n[WARNING STA-0337] port 'R5_addr[4]' not found.\r\n[WARNING STA-0337] port 'R5_addr[5]' not found.\r\n[WARNING STA-0337] port 'R5_addr[6]' not found.\r\n[WARNING STA-0337] port 'R5_clk' not found.\r\n[WARNING STA-0337] port 'R5_en' not found.\r\n[WARNING STA-0337] port 'W0_addr[0]' not found.\r\n[WARNING STA-0337] port 'W0_addr[1]' not found.\r\n[WARNING STA-0337] port 'W0_addr[2]' not found.\r\n[WARNING STA-0337] port 'W0_addr[3]' not found.\r\n[WARNING STA-0337] port 'W0_addr[4]' not found.\r\n[WARNING STA-0337] port 'W0_addr[5]' not found.\r\n[WARNING STA-0337] port 'W0_addr[6]' not found.\r\n[WARNING STA-0337] port 'W0_clk' not found.\r\n[WARNING STA-0337] port 'W0_data[0]' not found.\r\n[WARNING STA-0337] port 'W0_data[10]' not found.\r\n[WARNING STA-0337] port 'W0_data[11]' not found.\r\n[WARNING STA-0337] port 'W0_data[12]' not found.\r\n[WARNING STA-0337] port 'W0_data[13]' not found.\r\n[WARNING STA-0337] port 'W0_data[14]' not found.\r\n[WARNING STA-0337] port 'W0_data[15]' not found.\r\n[WARNING STA-0337] port 'W0_data[16]' not found.\r\n[WARNING STA-0337] port 'W0_data[17]' not found.\r\n[WARNING STA-0337] port 'W0_data[18]' not found.\r\n[WARNING STA-0337] port 'W0_data[19]' not found.\r\n[WARNING STA-0337] port 'W0_data[1]' not found.\r\n[WARNING STA-0337] port 'W0_data[20]' not found.\r\n[WARNING STA-0337] port 'W0_data[21]' not found.\r\n[WARNING STA-0337] port 'W0_data[22]' not found.\r\n[WARNING STA-0337] port 'W0_data[23]' not found.\r\n[WARNING STA-0337] port 'W0_data[24]' not found.\r\n[WARNING STA-0337] port 'W0_data[25]' not found.\r\n[WARNING STA-0337] port 'W0_data[26]' not found.\r\n[WARNING STA-0337] port 'W0_data[27]' not found.\r\n[WARNING STA-0337] port 'W0_data[28]' not found.\r\n[WARNING STA-0337] port 'W0_data[29]' not found.\r\n[WARNING STA-0337] port 'W0_data[2]' not found.\r\n[WARNING STA-0337] port 'W0_data[30]' not found.\r\n[WARNING STA-0337] port 'W0_data[31]' not found.\r\n[WARNING STA-0337] port 'W0_data[32]' not found.\r\n[WARNING STA-0337] port 'W0_data[33]' not found.\r\n[WARNING STA-0337] port 'W0_data[34]' not found.\r\n[WARNING STA-0337] port 'W0_data[35]' not found.\r\n[WARNING STA-0337] port 'W0_data[36]' not found.\r\n[WARNING STA-0337] port 'W0_data[37]' not found.\r\n[WARNING STA-0337] port 'W0_data[38]' not found.\r\n[WARNING STA-0337] port 'W0_data[39]' not found.\r\n[WARNING STA-0337] port 'W0_data[3]' not found.\r\n[WARNING STA-0337] port 'W0_data[40]' not found.\r\n[WARNING STA-0337] port 'W0_data[41]' not found.\r\n[WARNING STA-0337] port 'W0_data[42]' not found.\r\n[WARNING STA-0337] port 'W0_data[43]' not found.\r\n[WARNING STA-0337] port 'W0_data[44]' not found.\r\n[WARNING STA-0337] port 'W0_data[45]' not found.\r\n[WARNING STA-0337] port 'W0_data[46]' not found.\r\n[WARNING STA-0337] port 'W0_data[47]' not found.\r\n[WARNING STA-0337] port 'W0_data[48]' not found.\r\n[WARNING STA-0337] port 'W0_data[49]' not found.\r\n[WARNING STA-0337] port 'W0_data[4]' not found.\r\n[WARNING STA-0337] port 'W0_data[50]' not found.\r\n[WARNING STA-0337] port 'W0_data[51]' not found.\r\n[WARNING STA-0337] port 'W0_data[52]' not found.\r\n[WARNING STA-0337] port 'W0_data[53]' not found.\r\n[WARNING STA-0337] port 'W0_data[54]' not found.\r\n[WARNING STA-0337] port 'W0_data[55]' not found.\r\n[WARNING STA-0337] port 'W0_data[56]' not found.\r\n[WARNING STA-0337] port 'W0_data[57]' not found.\r\n[WARNING STA-0337] port 'W0_data[58]' not found.\r\n[WARNING STA-0337] port 'W0_data[59]' not found.\r\n[WARNING STA-0337] port 'W0_data[5]' not found.\r\n[WARNING STA-0337] port 'W0_data[60]' not found.\r\n[WARNING STA-0337] port 'W0_data[61]' not found.\r\n[WARNING STA-0337] port 'W0_data[62]' not found.\r\n[WARNING STA-0337] port 'W0_data[63]' not found.\r\n[WARNING STA-0337] port 'W0_data[64]' not found.\r\n[WARNING STA-0337] port 'W0_data[6]' not found.\r\n[WARNING STA-0337] port 'W0_data[7]' not found.\r\n[WARNING STA-0337] port 'W0_data[8]' not found.\r\n[WARNING STA-0337] port 'W0_data[9]' not found.\r\n[WARNING STA-0337] port 'W0_en' not found.\r\n[WARNING STA-0337] port 'W1_addr[0]' not found.\r\n[WARNING STA-0337] port 'W1_addr[1]' not found.\r\n[WARNING STA-0337] port 'W1_addr[2]' not found.\r\n[WARNING STA-0337] port 'W1_addr[3]' not found.\r\n[WARNING STA-0337] port 'W1_addr[4]' not found.\r\n[WARNING STA-0337] port 'W1_addr[5]' not found.\r\n[WARNING STA-0337] port 'W1_addr[6]' not found.\r\n[WARNING STA-0337] port 'W1_clk' not found.\r\n[WARNING STA-0337] port 'W1_data[0]' not found.\r\n[WARNING STA-0337] port 'W1_data[10]' not found.\r\n[WARNING STA-0337] port 'W1_data[11]' not found.\r\n[WARNING STA-0337] port 'W1_data[12]' not found.\r\n[WARNING STA-0337] port 'W1_data[13]' not found.\r\n[WARNING STA-0337] port 'W1_data[14]' not found.\r\n[WARNING STA-0337] port 'W1_data[15]' not found.\r\n[WARNING STA-0337] port 'W1_data[16]' not found.\r\n[WARNING STA-0337] port 'W1_data[17]' not found.\r\n[WARNING STA-0337] port 'W1_data[18]' not found.\r\n[WARNING STA-0337] port 'W1_data[19]' not found.\r\n[WARNING STA-0337] port 'W1_data[1]' not found.\r\n[WARNING STA-0337] port 'W1_data[20]' not found.\r\n[WARNING STA-0337] port 'W1_data[21]' not found.\r\n[WARNING STA-0337] port 'W1_data[22]' not found.\r\n[WARNING STA-0337] port 'W1_data[23]' not found.\r\n[WARNING STA-0337] port 'W1_data[24]' not found.\r\n[WARNING STA-0337] port 'W1_data[25]' not found.\r\n[WARNING STA-0337] port 'W1_data[26]' not found.\r\n[WARNING STA-0337] port 'W1_data[27]' not found.\r\n[WARNING STA-0337] port 'W1_data[28]' not found.\r\n[WARNING STA-0337] port 'W1_data[29]' not found.\r\n[WARNING STA-0337] port 'W1_data[2]' not found.\r\n[WARNING STA-0337] port 'W1_data[30]' not found.\r\n[WARNING STA-0337] port 'W1_data[31]' not found.\r\n[WARNING STA-0337] port 'W1_data[32]' not found.\r\n[WARNING STA-0337] port 'W1_data[33]' not found.\r\n[WARNING STA-0337] port 'W1_data[34]' not found.\r\n[WARNING STA-0337] port 'W1_data[35]' not found.\r\n[WARNING STA-0337] port 'W1_data[36]' not found.\r\n[WARNING STA-0337] port 'W1_data[37]' not found.\r\n[WARNING STA-0337] port 'W1_data[38]' not found.\r\n[WARNING STA-0337] port 'W1_data[39]' not found.\r\n[WARNING STA-0337] port 'W1_data[3]' not found.\r\n[WARNING STA-0337] port 'W1_data[40]' not found.\r\n[WARNING STA-0337] port 'W1_data[41]' not found.\r\n[WARNING STA-0337] port 'W1_data[42]' not found.\r\n[WARNING STA-0337] port 'W1_data[43]' not found.\r\n[WARNING STA-0337] port 'W1_data[44]' not found.\r\n[WARNING STA-0337] port 'W1_data[45]' not found.\r\n[WARNING STA-0337] port 'W1_data[46]' not found.\r\n[WARNING STA-0337] port 'W1_data[47]' not found.\r\n[WARNING STA-0337] port 'W1_data[48]' not found.\r\n[WARNING STA-0337] port 'W1_data[49]' not found.\r\n[WARNING STA-0337] port 'W1_data[4]' not found.\r\n[WARNING STA-0337] port 'W1_data[50]' not found.\r\n[WARNING STA-0337] port 'W1_data[51]' not found.\r\n[WARNING STA-0337] port 'W1_data[52]' not found.\r\n[WARNING STA-0337] port 'W1_data[53]' not found.\r\n[WARNING STA-0337] port 'W1_data[54]' not found.\r\n[WARNING STA-0337] port 'W1_data[55]' not found.\r\n[WARNING STA-0337] port 'W1_data[56]' not found.\r\n[WARNING STA-0337] port 'W1_data[57]' not found.\r\n[WARNING STA-0337] port 'W1_data[58]' not found.\r\n[WARNING STA-0337] port 'W1_data[59]' not found.\r\n[WARNING STA-0337] port 'W1_data[5]' not found.\r\n[WARNING STA-0337] port 'W1_data[60]' not found.\r\n[WARNING STA-0337] port 'W1_data[61]' not found.\r\n[WARNING STA-0337] port 'W1_data[62]' not found.\r\n[WARNING STA-0337] port 'W1_data[63]' not found.\r\n[WARNING STA-0337] port 'W1_data[64]' not found.\r\n[WARNING STA-0337] port 'W1_data[6]' not found.\r\n[WARNING STA-0337] port 'W1_data[7]' not found.\r\n[WARNING STA-0337] port 'W1_data[8]' not found.\r\n[WARNING STA-0337] port 'W1_data[9]' not found.\r\n[WARNING STA-0337] port 'W1_en' not found.\r\n[WARNING STA-0337] port 'W2_addr[0]' not found.\r\n[WARNING STA-0337] port 'W2_addr[1]' not found.\r\n[WARNING STA-0337] port 'W2_addr[2]' not found.\r\n[WARNING STA-0337] port 'W2_addr[3]' not found.\r\n[WARNING STA-0337] port 'W2_addr[4]' not found.\r\n[WARNING STA-0337] port 'W2_addr[5]' not found.\r\n[WARNING STA-0337] port 'W2_addr[6]' not found.\r\n[WARNING STA-0337] port 'W2_clk' not found.\r\n[WARNING STA-0337] port 'W2_data[0]' not found.\r\n/usr/include/c++/12/bits/stl_vector.h:1123: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = sta::ConcretePort*; _Alloc = std::allocator; reference = sta::ConcretePort*&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x00005647DA457D53 in openroad\r\n 1# 0x00007F7F8183C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# gsignal in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007F7F81CE21CF in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# 0x00005647DA6C9C9B in openroad\r\n 7# sta::Network::findPortsMatching(sta::Cell const*, sta::PatternMatch const*) const in openroad\r\n 8# sta::SdcNetwork::findPortsMatching(sta::Cell const*, sta::PatternMatch const*) const in openroad\r\n 9# find_ports_matching(char const*, bool, bool) in openroad\r\n10# 0x00005647DA67250D in openroad\r\n11# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# 0x00007F7F86230C43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n16# ord::tclAppInit(Tcl_Interp*) in openroad\r\n17# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n18# main in openroad\r\n19# 0x00007F7F81823A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n20# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n21# _start in openroad\r\n./run-me-regfile_128x65-asap7-base.sh: line 7: 94289 Aborted (core dumped) openroad -exit -no_init ${SCRIPTS_DIR}/global_route.tcl\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nno crash\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11153-gec1c9f945\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nsee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "The stack suggests it is in find_ports_matching not global routing. I wonder if you delta debugged down to a different issue?"}, {"user": "> The stack suggests it is in find_ports_matching not global routing. I wonder if you delta debugged down to a different issue?\r\n\r\nYes... I think it is too good to be true that the 15 minute problem is now 10 seconds... It should be worth fixing though: fewer deltadebug false positives and shorter test-cases in the future..."}, {"assistant": "Agreed, just wanted to point out the disconnect. I often delta with a function name from the stack "}, {"assistant": "The reduced test case has two top level 'pins':\r\n```\r\nPINS 2 ;\r\n - W2_data[5] + NET W2_data[5] + DIRECTION INPUT + USE SIGNAL\r\n - W2_data[60] + NET W2_data[60] + DIRECTION INPUT + USE SIGNAL\r\nEND PINS\r\n```\r\nWhen we try to execute \"get_ports {W2_data[10]}\" from the SDC it causes a crash as the code in ConcretePort::findBusBit assumes all the bits are present in \r\n\r\n```\r\n else if (from_index_ >= to_index_\r\n\t && index >= to_index_\r\n\t && index <= from_index_)\r\n return (*member_ports_)[from_index_ - index];\r\n```"}, {"assistant": "Fixed by https://github.com/The-OpenROAD-Project/OpenROAD/pull/4331"}]} +{"num": 4298, "messages": [{"user": "### Describe the bug\n\nWhen running detailed placement placed cells overlap power stripes as shown in the following discussion [#4258](https://github.com/The-OpenROAD-Project/OpenROAD/discussions/4258). \r\n\r\nI'm using simplified nangate45 lef/def & lib files since i wanted to be sure that the problem was not in the pdk i was using.\r\nBy simplified i mean that i have removed unused cells and renamed cells to match verilog. I have also renamed some names like site.\r\n\n\n### Expected Behavior\n\nPlaced cells does not align with power stripes\n\n### Environment\n\n```shell\nGit commit: ec1c9f9450d49b49cb39b5b1381b42cf9bf9b800\r\nkernel: Linux 6.2.0-37-generic\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11153-gec1c9f945\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/local/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3e2295adfd5ffb7053aa6bb68f31b0eae8f2986f\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/joan/Documents/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.DW61Md3b7u\n```\n\n\n### To Reproduce\n\n\r\n[nangate.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13438418/nangate.zip)\r\n\r\n```bash\r\ncd nangate\r\nopenroad\r\nsource ./flow.tcl\r\ngui::show\r\n```\r\n\r\n\n\n### Relevant log output\n\n```shell\nopenroad> source ./flow.tcl\r\n[INFO ODB-0222] Reading LEF file: ./platforms/nangate/lef/nangate.tech.lef\r\n[INFO ODB-0223] Created 8 technology layers\r\n[INFO ODB-0224] Created 18 technology vias\r\n[INFO ODB-0226] Finished LEF file: ./platforms/nangate/lef/nangate.tech.lef\r\n[INFO ODB-0222] Reading LEF file: ./platforms/nangate/lef/nangate.macro.lef\r\n[INFO ODB-0225] Created 2 library cells\r\n[INFO ODB-0226] Finished LEF file: ./platforms/nangate/lef/nangate.macro.lef\r\n[WARNING IFP-0028] Core area lower left (10.000, 10.000) snapped to (10.070, 11.200).\r\n[INFO IFP-0001] Added 56 rows of 420 site unithd with height 1.\r\nFound 0 macro blocks.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0007] Random pin placement.\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 190 1400\r\n[INFO GPL-0004] CoreAreaLxLy: 10070 11200\r\n[INFO GPL-0005] CoreAreaUxUy: 89870 89600\r\n[INFO GPL-0006] NumInstances: 57\r\n[INFO GPL-0007] NumPlaceInstances: 57\r\n[INFO GPL-0008] NumFixedInstances: 0\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 59\r\n[INFO GPL-0011] NumPins: 177\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 100000 100000\r\n[INFO GPL-0014] CoreAreaLxLy: 10070 11200\r\n[INFO GPL-0015] CoreAreaUxUy: 89870 89600\r\n[INFO GPL-0016] CoreArea: 6256320000\r\n[INFO GPL-0017] NonPlaceInstsArea: 0\r\n[INFO GPL-0018] PlaceInstsArea: 45486000\r\n[INFO GPL-0019] Util(%): 0.73\r\n[INFO GPL-0020] StdInstsArea: 45486000\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG residual: 0.00000011 HPWL: 707990\r\n[InitialPlace] Iter: 2 CG residual: 0.00000005 HPWL: 600081\r\n[InitialPlace] Iter: 3 CG residual: 0.00000008 HPWL: 599191\r\n[InitialPlace] Iter: 4 CG residual: 0.00000010 HPWL: 599552\r\n[InitialPlace] Iter: 5 CG residual: 0.00000008 HPWL: 599509\r\n[INFO GPL-0031] FillerInit: NumGCells: 3920\r\n[INFO GPL-0032] FillerInit: NumGNets: 59\r\n[INFO GPL-0033] FillerInit: NumGPins: 177\r\n[INFO GPL-0023] TargetDensity: 0.50\r\n[INFO GPL-0024] AveragePlaceInstArea: 798000\r\n[INFO GPL-0025] IdealBinArea: 1596000\r\n[INFO GPL-0026] IdealBinCnt: 3920\r\n[INFO GPL-0027] TotalBinArea: 6256320000\r\n[INFO GPL-0028] BinCnt: 32 32\r\n[INFO GPL-0029] BinSize: 2494 2450\r\n[INFO GPL-0030] NumBins: 1024\r\n[NesterovSolve] Iter: 1 overflow: 0.416561 HPWL: 515098\r\n[NesterovSolve] Iter: 10 overflow: 0.293224 HPWL: 509663\r\n[NesterovSolve] Iter: 20 overflow: 0.286977 HPWL: 506142\r\n[NesterovSolve] Iter: 30 overflow: 0.28931 HPWL: 507334\r\n[NesterovSolve] Iter: 40 overflow: 0.287026 HPWL: 506801\r\n[NesterovSolve] Iter: 50 overflow: 0.288825 HPWL: 507051\r\n[NesterovSolve] Iter: 60 overflow: 0.289408 HPWL: 507295\r\n[NesterovSolve] Iter: 70 overflow: 0.289338 HPWL: 507189\r\n[NesterovSolve] Iter: 80 overflow: 0.292131 HPWL: 507833\r\n[NesterovSolve] Iter: 90 overflow: 0.291453 HPWL: 507829\r\n[NesterovSolve] Iter: 100 overflow: 0.289302 HPWL: 507521\r\n[NesterovSolve] Iter: 110 overflow: 0.284503 HPWL: 506614\r\n[NesterovSolve] Iter: 120 overflow: 0.290336 HPWL: 506900\r\n[NesterovSolve] Iter: 130 overflow: 0.284898 HPWL: 506480\r\n[NesterovSolve] Iter: 140 overflow: 0.282448 HPWL: 506248\r\n[NesterovSolve] Iter: 150 overflow: 0.284351 HPWL: 507428\r\n[NesterovSolve] Iter: 160 overflow: 0.267475 HPWL: 506768\r\n[NesterovSolve] Iter: 170 overflow: 0.294598 HPWL: 510717\r\n[NesterovSolve] Iter: 180 overflow: 0.269163 HPWL: 507663\r\n[NesterovSolve] Iter: 190 overflow: 0.288552 HPWL: 508196\r\n[NesterovSolve] Iter: 200 overflow: 0.290795 HPWL: 511126\r\n[NesterovSolve] Iter: 210 overflow: 0.282489 HPWL: 509514\r\n[NesterovSolve] Iter: 220 overflow: 0.294119 HPWL: 513297\r\n[NesterovSolve] Iter: 230 overflow: 0.273617 HPWL: 508722\r\n[NesterovSolve] Iter: 240 overflow: 0.290437 HPWL: 514290\r\n[NesterovSolve] Iter: 250 overflow: 0.273803 HPWL: 510694\r\n[NesterovSolve] Iter: 260 overflow: 0.285181 HPWL: 512781\r\n[NesterovSolve] Iter: 270 overflow: 0.271667 HPWL: 512253\r\n[NesterovSolve] Iter: 280 overflow: 0.263881 HPWL: 512663\r\n[NesterovSolve] Iter: 290 overflow: 0.261726 HPWL: 511474\r\n[NesterovSolve] Iter: 300 overflow: 0.255528 HPWL: 511497\r\n[NesterovSolve] Iter: 310 overflow: 0.239757 HPWL: 511717\r\n[NesterovSolve] Iter: 320 overflow: 0.240753 HPWL: 510261\r\n[NesterovSolve] Iter: 330 overflow: 0.201896 HPWL: 514026\r\n[NesterovSolve] Iter: 340 overflow: 0.214239 HPWL: 516044\r\n[NesterovSolve] Iter: 350 overflow: 0.196724 HPWL: 522952\r\n[NesterovSolve] Iter: 360 overflow: 0.164358 HPWL: 525205\r\n[NesterovSolve] Iter: 370 overflow: 0.158626 HPWL: 533224\r\n[NesterovSolve] Iter: 380 overflow: 0.130529 HPWL: 536459\r\n[NesterovSolve] Finished with Overflow: 0.097843\r\n[INFO PDN-0001] Inserting grid: CORE\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 190 1400\r\n[INFO GPL-0004] CoreAreaLxLy: 10070 11200\r\n[INFO GPL-0005] CoreAreaUxUy: 89870 89600\r\n[INFO GPL-0006] NumInstances: 57\r\n[INFO GPL-0007] NumPlaceInstances: 57\r\n[INFO GPL-0008] NumFixedInstances: 0\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 59\r\n[INFO GPL-0011] NumPins: 171\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 100000 100000\r\n[INFO GPL-0014] CoreAreaLxLy: 10070 11200\r\n[INFO GPL-0015] CoreAreaUxUy: 89870 89600\r\n[INFO GPL-0016] CoreArea: 6256320000\r\n[INFO GPL-0017] NonPlaceInstsArea: 0\r\n[INFO GPL-0018] PlaceInstsArea: 45486000\r\n[INFO GPL-0019] Util(%): 0.73\r\n[INFO GPL-0020] StdInstsArea: 45486000\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[INFO GPL-0031] FillerInit: NumGCells: 2352\r\n[INFO GPL-0032] FillerInit: NumGNets: 59\r\n[INFO GPL-0033] FillerInit: NumGPins: 171\r\n[INFO GPL-0023] TargetDensity: 0.30\r\n[INFO GPL-0024] AveragePlaceInstArea: 798000\r\n[INFO GPL-0025] IdealBinArea: 2660000\r\n[INFO GPL-0026] IdealBinCnt: 2352\r\n[INFO GPL-0027] TotalBinArea: 6256320000\r\n[INFO GPL-0028] BinCnt: 32 32\r\n[INFO GPL-0029] BinSize: 2494 2450\r\n[INFO GPL-0030] NumBins: 1024\r\n[NesterovSolve] Iter: 1 overflow: 0.838262 HPWL: 41428\r\n[NesterovSolve] Iter: 10 overflow: 0.838262 HPWL: 14042\r\n[NesterovSolve] Iter: 20 overflow: 0.838262 HPWL: 13419\r\n[NesterovSolve] Iter: 30 overflow: 0.838262 HPWL: 13447\r\n[NesterovSolve] Iter: 40 overflow: 0.838262 HPWL: 13414\r\n[NesterovSolve] Iter: 50 overflow: 0.838262 HPWL: 13410\r\n[NesterovSolve] Iter: 60 overflow: 0.838262 HPWL: 13402\r\n[NesterovSolve] Iter: 70 overflow: 0.838262 HPWL: 13424\r\n[NesterovSolve] Iter: 80 overflow: 0.838262 HPWL: 13434\r\n[NesterovSolve] Iter: 90 overflow: 0.838262 HPWL: 13587\r\n[NesterovSolve] Iter: 100 overflow: 0.838262 HPWL: 14096\r\n[NesterovSolve] Iter: 110 overflow: 0.838262 HPWL: 15139\r\n[NesterovSolve] Iter: 120 overflow: 0.838238 HPWL: 16760\r\n[NesterovSolve] Iter: 130 overflow: 0.837616 HPWL: 19161\r\n[NesterovSolve] Iter: 140 overflow: 0.836517 HPWL: 22199\r\n[NesterovSolve] Iter: 150 overflow: 0.835284 HPWL: 24529\r\n[NesterovSolve] Iter: 160 overflow: 0.83349 HPWL: 23697\r\n[NesterovSolve] Iter: 170 overflow: 0.831389 HPWL: 18241\r\n[NesterovSolve] Iter: 180 overflow: 0.810562 HPWL: 21121\r\n[NesterovSolve] Iter: 190 overflow: 0.808514 HPWL: 22369\r\n[NesterovSolve] Iter: 200 overflow: 0.79443 HPWL: 24076\r\n[NesterovSolve] Iter: 210 overflow: 0.707368 HPWL: 29972\r\n[NesterovSolve] Iter: 220 overflow: 0.667957 HPWL: 36984\r\n[NesterovSolve] Iter: 230 overflow: 0.66478 HPWL: 38918\r\n[NesterovSolve] Iter: 240 overflow: 0.623666 HPWL: 45476\r\n[NesterovSolve] Iter: 250 overflow: 0.590304 HPWL: 47591\r\n[NesterovSolve] Iter: 260 overflow: 0.532098 HPWL: 59271\r\n[NesterovSolve] Iter: 270 overflow: 0.442325 HPWL: 79523\r\n[NesterovSolve] Iter: 280 overflow: 0.414031 HPWL: 82231\r\n[NesterovSolve] Iter: 290 overflow: 0.383961 HPWL: 94090\r\n[NesterovSolve] Iter: 300 overflow: 0.343042 HPWL: 99641\r\n[NesterovSolve] Iter: 310 overflow: 0.29679 HPWL: 102783\r\n[NesterovSolve] Iter: 320 overflow: 0.267689 HPWL: 106836\r\n[NesterovSolve] Iter: 330 overflow: 0.229784 HPWL: 113977\r\n[NesterovSolve] Iter: 340 overflow: 0.218115 HPWL: 120663\r\n[NesterovSolve] Iter: 350 overflow: 0.19418 HPWL: 129557\r\n[NesterovSolve] Iter: 360 overflow: 0.168924 HPWL: 131787\r\n[NesterovSolve] Iter: 370 overflow: 0.158431 HPWL: 120987\r\n[NesterovSolve] Iter: 380 overflow: 0.105559 HPWL: 119193\r\n[NesterovSolve] Finished with Overflow: 0.099893\r\nFound 0 macro blocks.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0010] Tentative 0 to set up sections.\r\n[INFO PPL-0001] Number of slots 392\r\n[INFO PPL-0002] Number of I/O 6\r\n[INFO PPL-0003] Number of I/O w/sink 6\r\n[INFO PPL-0004] Number of I/O w/o sink 0\r\n[INFO PPL-0005] Slots per section 200\r\n[INFO PPL-0006] Slots increase factor 0.01\r\n[INFO PPL-0008] Successfully assigned pins to sections.\r\n[INFO PPL-0012] I/O nets HPWL: 298.59 um.\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 190 1400\r\n[INFO GPL-0004] CoreAreaLxLy: 10070 11200\r\n[INFO GPL-0005] CoreAreaUxUy: 89870 89600\r\n[INFO GPL-0006] NumInstances: 57\r\n[INFO GPL-0007] NumPlaceInstances: 57\r\n[INFO GPL-0008] NumFixedInstances: 0\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 59\r\n[INFO GPL-0011] NumPins: 177\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 100000 100000\r\n[INFO GPL-0014] CoreAreaLxLy: 10070 11200\r\n[INFO GPL-0015] CoreAreaUxUy: 89870 89600\r\n[INFO GPL-0016] CoreArea: 6256320000\r\n[INFO GPL-0017] NonPlaceInstsArea: 0\r\n[INFO GPL-0018] PlaceInstsArea: 45486000\r\n[INFO GPL-0019] Util(%): 0.73\r\n[INFO GPL-0020] StdInstsArea: 45486000\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[InitialPlace] Iter: 1 CG residual: 0.00000010 HPWL: 501339\r\n[InitialPlace] Iter: 2 CG residual: 0.00000006 HPWL: 447332\r\n[InitialPlace] Iter: 3 CG residual: 0.00000009 HPWL: 447757\r\n[InitialPlace] Iter: 4 CG residual: 0.00000007 HPWL: 446724\r\n[InitialPlace] Iter: 5 CG residual: 0.00000006 HPWL: 446783\r\n[INFO GPL-0031] FillerInit: NumGCells: 4704\r\n[INFO GPL-0032] FillerInit: NumGNets: 59\r\n[INFO GPL-0033] FillerInit: NumGPins: 177\r\n[INFO GPL-0023] TargetDensity: 0.60\r\n[INFO GPL-0024] AveragePlaceInstArea: 798000\r\n[INFO GPL-0025] IdealBinArea: 1330000\r\n[INFO GPL-0026] IdealBinCnt: 4704\r\n[INFO GPL-0027] TotalBinArea: 6256320000\r\n[INFO GPL-0028] BinCnt: 64 64\r\n[INFO GPL-0029] BinSize: 1247 1225\r\n[INFO GPL-0030] NumBins: 4096\r\n[NesterovSolve] Iter: 1 overflow: 0.639877 HPWL: 359259\r\n[NesterovSolve] Snapshot saved at iter = 1\r\n[NesterovSolve] Iter: 10 overflow: 0.489962 HPWL: 363804\r\n[NesterovSolve] Iter: 20 overflow: 0.498926 HPWL: 365935\r\n[NesterovSolve] Iter: 30 overflow: 0.482592 HPWL: 369551\r\n[NesterovSolve] Iter: 40 overflow: 0.483702 HPWL: 368669\r\n[NesterovSolve] Iter: 50 overflow: 0.484619 HPWL: 368512\r\n[NesterovSolve] Iter: 60 overflow: 0.486486 HPWL: 368525\r\n[NesterovSolve] Iter: 70 overflow: 0.48833 HPWL: 369257\r\n[NesterovSolve] Iter: 80 overflow: 0.488079 HPWL: 369335\r\n[NesterovSolve] Iter: 90 overflow: 0.486509 HPWL: 369003\r\n[NesterovSolve] Iter: 100 overflow: 0.485679 HPWL: 368747\r\n[NesterovSolve] Iter: 110 overflow: 0.486014 HPWL: 368746\r\n[NesterovSolve] Iter: 120 overflow: 0.486519 HPWL: 368844\r\n[NesterovSolve] Iter: 130 overflow: 0.486319 HPWL: 368769\r\n[NesterovSolve] Iter: 140 overflow: 0.48527 HPWL: 368822\r\n[NesterovSolve] Iter: 150 overflow: 0.484152 HPWL: 369418\r\n[NesterovSolve] Iter: 160 overflow: 0.483444 HPWL: 370585\r\n[NesterovSolve] Iter: 170 overflow: 0.483489 HPWL: 371757\r\n[NesterovSolve] Iter: 180 overflow: 0.483698 HPWL: 372051\r\n[NesterovSolve] Iter: 190 overflow: 0.482917 HPWL: 370127\r\n[NesterovSolve] Iter: 200 overflow: 0.479845 HPWL: 370329\r\n[NesterovSolve] Iter: 210 overflow: 0.476453 HPWL: 371776\r\n[NesterovSolve] Iter: 220 overflow: 0.472229 HPWL: 369141\r\n[NesterovSolve] Iter: 230 overflow: 0.469035 HPWL: 369425\r\n[NesterovSolve] Iter: 240 overflow: 0.457019 HPWL: 369780\r\n[NesterovSolve] Iter: 250 overflow: 0.439543 HPWL: 369075\r\n[NesterovSolve] Iter: 260 overflow: 0.410903 HPWL: 368672\r\n[NesterovSolve] Iter: 270 overflow: 0.374498 HPWL: 368879\r\n[NesterovSolve] Iter: 280 overflow: 0.330665 HPWL: 369741\r\n[NesterovSolve] Iter: 290 overflow: 0.307971 HPWL: 371295\r\n[NesterovSolve] Iter: 300 overflow: 0.285886 HPWL: 374614\r\n[NesterovSolve] Iter: 310 overflow: 0.255892 HPWL: 378986\r\n[NesterovSolve] Iter: 320 overflow: 0.237718 HPWL: 386679\r\n[NesterovSolve] Iter: 330 overflow: 0.209939 HPWL: 396110\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[INFO GPL-0036] TileLxLy: 0 0\r\n[INFO GPL-0037] TileSize: 7500 7500\r\n[INFO GPL-0038] TileCnt: 13 13\r\n[INFO GPL-0039] numRoutingLayers: 3\r\n[INFO GPL-0040] NumTiles: 169\r\n[INFO GPL-0063] TotalRouteOverflowH2: 0.0\r\n[INFO GPL-0064] TotalRouteOverflowV2: 0.0\r\n[INFO GPL-0065] OverflowTileCnt2: 0\r\n[INFO GPL-0066] 0.5%RC: 0.6666666865348816\r\n[INFO GPL-0067] 1.0%RC: 0.6333333551883698\r\n[INFO GPL-0068] 2.0%RC: 0.5809524016720908\r\n[INFO GPL-0069] 5.0%RC: 0.5137254998964422\r\n[INFO GPL-0070] 0.5rcK: 1.0\r\n[INFO GPL-0071] 1.0rcK: 1.0\r\n[INFO GPL-0072] 2.0rcK: 0.0\r\n[INFO GPL-0073] 5.0rcK: 0.0\r\n[INFO GPL-0074] FinalRC: 0.65000004\r\n[NesterovSolve] Iter: 340 overflow: 0.209598 HPWL: 375579\r\n[NesterovSolve] Iter: 350 overflow: 0.193679 HPWL: 374811\r\n[NesterovSolve] Iter: 360 overflow: 0.176135 HPWL: 375655\r\n[NesterovSolve] Iter: 370 overflow: 0.143264 HPWL: 377891\r\n[NesterovSolve] Iter: 380 overflow: 0.116553 HPWL: 379052\r\n[NesterovSolve] Iter: 390 overflow: 0.122842 HPWL: 381791\r\n[NesterovSolve] Finished with Overflow: 0.099987\r\n[WARNING RSZ-0014] wire capacitance for corner default is zero. Use the set_wire_rc command to set wire resistance and capacitance.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 37.0 u\r\naverage displacement 0.6 u\r\nmax displacement 2.1 u\r\noriginal HPWL 392.3 u\r\nlegalized HPWL 417.9 u\r\ndelta HPWL 7 %\n```\n\n\n### Screenshots\n\n![Screenshot from 2023-11-22 11-54-32](https://github.com/The-OpenROAD-Project/OpenROAD/assets/74424277/dbcc3bff-67f7-4c5c-ab46-570f3be4479f)\r\n![Screenshot from 2023-11-22 11-54-13](https://github.com/The-OpenROAD-Project/OpenROAD/assets/74424277/05aed410-7f15-4e7e-8939-813ff3c53d65)\n\n### Additional Context\n\n_No response_"}, {"assistant": "Your sites/straps are incorrect which will mislead the placer. The straps should align with the top/bottom of sites but you have straps going through the middle of cells. Either your floorplan or pdn setup is incorrect."}, {"user": "Thank you, \r\nknowing where the error is makes it a lot easier to debug. I will close the issue. "}]} +{"num": 4299, "messages": [{"user": "### Describe the bug\r\n\r\nunzip https://drive.google.com/file/d/1_A5dkVbY-6R_asjAVfNjOLVq0C0Eo3lc/view?usp=sharing\r\n\r\nRun:\r\n\r\n```\r\n./run-me-BoomTile-asap7-base.sh\r\n```\r\n\r\nIt takes a minute or so. Look for `data_2048x2.lef ` specifically, because more detail is provided on it below.\r\n\r\n```\r\n[deleted]\r\n[INFO ODB-0222] Reading LEF file: bazel-bin/build/results/asap7/data_2048x2/base/data_2048x2.lef\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[INFO ODB-0225] Created 1 library cells\r\n[INFO ODB-0226] Finished LEF file: bazel-bin/build/results/asap7/data_2048x2/base/data_2048x2.lef\r\n[deleted]\r\n```\r\n\r\nunzip https://drive.google.com/file/d/1cNIRERAaOmR2GFtT3EOxc2kx1v91uaJ5/view?usp=sharing generated with `make generate_abstract_issue`, which will generate `data_2048x2.lef`:\r\n\r\n```\r\n./run-me-data_2048x2-asap7-base.sh\r\n```\r\n\r\n```\r\nOpenROAD v2.0-11072-g2d83b7137 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nStarting generation of abstract views\r\n```\r\n\r\n\r\n\r\nIf you need to generate the `data_2048x2.lef` from scratch, check out https://github.com/hdl/bazel_rules_hdl/pull/225 and run:\r\n\r\n```\r\ncd megaboom/\r\n./orfs make WORK_HOME=build DESIGN_NAME=data_2048x2 SDC_FILE=constraints-sram.sdc VERILOG_FILES=rtl/[data_2048x2.sv](http://data_2048x2.sv/) PLACE_DENSITY=0.65 generate_abstract\r\n```\r\n\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo error\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11072-g2d83b7137\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@maliberty Please confirm that this issue contains sufficient information to reproduce the problem?"}, {"assistant": "I can reproduce it. The LEF abstract writer needs to include the generated vias."}]} +{"num": 4301, "messages": [{"user": "### Describe the bug\n\nunzip https://drive.google.com/file/d/17-H3xSv_emeozTsXrW7eKzUb2y-uAjYS/view?usp=sharing\r\n\r\n```\r\n$ ./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11072-g2d83b7137 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING PPL-0015] Macro core/FpPipeline/fregfile/regfile_ext is not placed.\r\n[WARNING PPL-0015] Macro core/iregfile/regfile_ext is not placed.\r\n[WARNING PPL-0015] Macro dcache/data/array_0_0_0_ext is not placed.\r\n[WARNING PPL-0015] Macro dcache/data/array_0_0_1_ext is not placed.\r\n[WARNING PPL-0015] Macro dcache/data/array_0_1_0_ext is not placed.\r\n[WARNING PPL-0015] Macro dcache/data/array_0_1_1_ext is not placed.\r\n[deleted]\r\n```\r\n\n\n### Expected Behavior\n\nNo warning\n\n### Environment\n\n```shell\nOpenROAD v2.0-11072-g2d83b7137\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nThis is from the same test project as for which #4299 was filed, but possibly a separate problem."}, {"assistant": "Are you not running macro placement before pin placement?"}, {"user": "> Are you not running macro placement before pin placement?\r\n\r\nI'm using ORFS, so I didn't change the order of anything...\r\n\r\nThe command I ran before running `make io_placement_random_issue` was:\r\n\r\n```\r\n./orfs make do-floorplan\r\n```\r\n\r\nIt runs macro placement *after* io_placement_random.tcl:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/c72ffd7dcd317c337df2535314289f8d0a593467/flow/Makefile#L609\r\n\r\n"}, {"user": "@maliberty Perhaps worth fixing #4299 and then retesting?"}, {"user": "@maliberty Tried with the #4302 fix, still problems.\r\n\r\nunzip [io_placement_random.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13451004/io_placement_random.zip)\r\n\r\n```\r\n$ ./run-me-L1MetadataArray-asap7-base.sh \r\nOpenROAD v2.0-11160-g042cdcfa4 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING PPL-0015] Macro tag_array_0_ext is not placed.\r\n[WARNING PPL-0015] Macro tag_array_1_ext is not placed.\r\n[WARNING PPL-0015] Macro tag_array_2_ext is not placed.\r\n[WARNING PPL-0015] Macro tag_array_3_ext is not placed.\r\n[WARNING PPL-0015] Macro tag_array_4_ext is not placed.\r\n[WARNING PPL-0015] Macro tag_array_5_ext is not placed.\r\n[WARNING PPL-0015] Macro tag_array_6_ext is not placed.\r\n[WARNING PPL-0015] Macro tag_array_7_ext is not placed.\r\nFound 0 macro blocks.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0007] Random pin placement.\r\nopenroad> \r\n```\r\n"}, {"assistant": "@oharboe In ORFS, the random pin placement runs before the macro placement, so this is expected. We need an initial pin placement for the following steps, so we run random before everything.\r\n\r\nI could update PPL to avoid these warning messages, but it is not necessarily an error since it is expected."}, {"user": "@eder-matheus Aha! So it is a bogus warning?\r\n\r\nThe macros are visible at the end of the floorplan...\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/40283e91-c4c6-4db2-b9f9-c35ce33f3ba1)\r\n"}, {"user": "@eder-matheus So this github issue is really a feature request: remove bogus warning :-)"}, {"assistant": "It isn't a bogus warning because it doesn't have the macro placement when PPL runs. You'll see the macros at the end of the floorplan stage because the macro placement runs after PPL.\r\n\r\nBut I think the best solution would be to remove the warning message when we run random PPL since it will not look at the placement of any macro or std cell."}]} +{"num": 4306, "messages": [{"user": "### Describe the bug\n\nAfter #4302 was merged, I still get this warning below:\r\n\r\nTo reproduce unzip https://drive.google.com/file/d/1ymXux_59zURs7Fh35NxYGcnBvkOe8YeN/view?usp=sharing\r\n\r\n```\r\n./run-me-BoomTile-asap7-base.sh\r\n```\r\n\r\nOutput:\r\n\r\n```\r\nOpenROAD v2.0-11160-g0728be75e\r\n[deleted]\r\n[WARNING ODB-0208] VIA: duplicate VIA (via5_6_120_288_1_2_58_322) ignored...\r\n[deleted]\r\n```\r\n\n\n### Expected Behavior\n\nno error\n\n### Environment\n\n```shell\nOpenROAD v2.0-11160-g0728be75e\n```\n\n\n### To Reproduce\n\nSee above.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I suppose this is because you have multiple block abstracts with the same via. We could lower it to an info."}, {"user": "> I suppose this is because you have multiple block abstracts with the same via. We could lower it to an info.\r\n\r\nWhat will the user do with this information?\r\n\r\nWho needs to know and why?"}, {"assistant": "A user could have typos or copy/paste errors. Vias that were meant to be different could accidentally have the same name."}, {"user": "@maliberty I no longer see it, close?"}]} +{"num": 4311, "messages": [{"user": "### Describe the bug\n\nWhen building with newer spdlog which uses fmt v10, the build fails with:\r\n```\r\nIn file included from /usr/include/spdlog/fmt/fmt.h:31,\r\n from /root/workspace/CRAFT/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include/utl/Logger.h:52,\r\n from /root/workspace/CRAFT/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/db/dbBTerm.cpp:59:\r\n/usr/include/fmt/core.h: In instantiation of \u2018constexpr fmt::v10::detail::value fmt::v10::detail::make_arg(T&) [with bool PACKED = true; Context = fmt::v10::basic_format_context; T = const odb::dbSigType::Value; typename std::enable_if::type = 0]\u2019:\r\n/usr/include/fmt/core.h:1808:51: required from \u2018constexpr fmt::v10::format_arg_store::format_arg_store(T& ...) [with T = {const char* const, const char* const, const std::__cxx11::basic_string, std::allocator >, const odb::dbSigType::Value}; Context = fmt::v10::basic_format_context; Args = {const char*, const char*, std::__cxx11::basic_string, std::allocator >, odb::dbSigType::Value}]\u2019\r\n/usr/include/fmt/core.h:1826:18: required from \u2018constexpr fmt::v10::format_arg_store::type>::type ...> fmt::v10::make_format_args(T& ...) [with Context = basic_format_context; T = {const char* const, const char* const, const std::__cxx11::basic_string, std::allocator >, const odb::dbSigType::Value}]\u2019\r\n/usr/include/spdlog/logger.h:374:75: required from \u2018void spdlog::logger::log_(spdlog::source_loc, spdlog::level::level_enum, spdlog::string_view_t, Args&& ...) [with Args = {const char* const&, const char* const&, const std::__cxx11::basic_string, std::allocator >&, const odb::dbSigType::Value&}; spdlog::string_view_t = fmt::v10::basic_string_view]\u2019\r\n/usr/include/spdlog/logger.h:90:13: required from \u2018void spdlog::logger::log(spdlog::source_loc, spdlog::level::level_enum, fmt::v10::format_string, Args&& ...) [with Args = {const char* const&, const char* const&, const std::__cxx11::basic_string, std::allocator >&, const odb::dbSigType::Value&}; fmt::v10::format_string = fmt::v10::basic_format_string, std::allocator >&, const odb::dbSigType::Value&>]\u2019\r\n/usr/include/spdlog/logger.h:96:12: required from \u2018void spdlog::logger::log(spdlog::level::level_enum, fmt::v10::format_string, Args&& ...) [with Args = {const char* const&, const char* const&, const std::__cxx11::basic_string, std::allocator >&, const odb::dbSigType::Value&}; fmt::v10::format_string = fmt::v10::basic_format_string, std::allocator >&, const odb::dbSigType::Value&>]\u2019\r\n/root/workspace/CRAFT/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include/utl/Logger.h:131:17: required from \u2018void utl::Logger::debug(utl::ToolId, const std::string&, const std::string&, const Args& ...) [with Args = {odb::dbSigType::Value}; std::string = std::__cxx11::basic_string]\u2019\r\n/root/workspace/CRAFT/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/db/dbBTerm.cpp:332:5: required from here\r\n/usr/include/fmt/core.h:1576:63: error: \u2018fmt::v10::detail::type_is_unformattable_for _\u2019 has incomplete type\r\n 1576 | type_is_unformattable_for _;\r\n | ^\r\n/usr/include/fmt/core.h:1580:7: error: static assertion failed: Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt\r\n 1580 | formattable,\r\n | ^~~~~~~~~~~\r\n/usr/include/fmt/core.h:1580:7: note: \u2018formattable\u2019 evaluates to false\r\n/usr/include/fmt/core.h: In instantiation of \u2018constexpr fmt::v10::detail::value fmt::v10::detail::make_arg(T&) [with bool PACKED = true; Context = fmt::v10::basic_format_context; T = const odb::dbIoType::Value; typename std::enable_if::type = 0]\u2019:\r\n/usr/include/fmt/core.h:1808:51: required from \u2018constexpr fmt::v10::format_arg_store::format_arg_store(T& ...) [with T = {const char* const, const char* const, const std::__cxx11::basic_string, std::allocator >, const odb::dbIoType::Value}; Context = fmt::v10::basic_format_context; Args = {const char*, const char*, std::__cxx11::basic_string, std::allocator >, odb::dbIoType::Value}]\u2019\r\n/usr/include/fmt/core.h:1826:18: required from \u2018constexpr fmt::v10::format_arg_store::type>::type ...> fmt::v10::make_format_args(T& ...) [with Context = basic_format_context; T = {const char* const, const char* const, const std::__cxx11::basic_string, std::allocator >, const odb::dbIoType::Value}]\u2019\r\n/usr/include/spdlog/logger.h:374:75: required from \u2018void spdlog::logger::log_(spdlog::source_loc, spdlog::level::level_enum, spdlog::string_view_t, Args&& ...) [with Args = {const char* const&, const char* const&, const std::__cxx11::basic_string, std::allocator >&, const odb::dbIoType::Value&}; spdlog::string_view_t = fmt::v10::basic_string_view]\u2019\r\n/usr/include/spdlog/logger.h:90:13: required from \u2018void spdlog::logger::log(spdlog::source_loc, spdlog::level::level_enum, fmt::v10::format_string, Args&& ...) [with Args = {const char* const&, const char* const&, const std::__cxx11::basic_string, std::allocator >&, const odb::dbIoType::Value&}; fmt::v10::format_string = fmt::v10::basic_format_string, std::allocator >&, const odb::dbIoType::Value&>]\u2019\r\n/usr/include/spdlog/logger.h:96:12: required from \u2018void spdlog::logger::log(spdlog::level::level_enum, fmt::v10::format_string, Args&& ...) [with Args = {const char* const&, const char* const&, const std::__cxx11::basic_string, std::allocator >&, const odb::dbIoType::Value&}; fmt::v10::format_string = fmt::v10::basic_format_string, std::allocator >&, const odb::dbIoType::Value&>]\u2019\r\n/root/workspace/CRAFT/OpenROAD-flow-scripts/tools/OpenROAD/src/utl/include/utl/Logger.h:131:17: required from \u2018void utl::Logger::debug(utl::ToolId, const std::string&, const std::string&, const Args& ...) [with Args = {odb::dbIoType::Value}; std::string = std::__cxx11::basic_string]\u2019\r\n/root/workspace/CRAFT/OpenROAD-flow-scripts/tools/OpenROAD/src/odb/src/db/dbBTerm.cpp:358:5: required from here\r\n/usr/include/fmt/core.h:1576:63: error: \u2018fmt::v10::detail::type_is_unformattable_for _\u2019 has incomplete type\r\n 1576 | type_is_unformattable_for _;\r\n | ^\r\n/usr/include/fmt/core.h:1580:7: error: static assertion failed: Cannot format an argument. To make type T formattable provide a formatter specialization: https://fmt.dev/latest/api.html#udt\r\n 1580 | formattable,\r\n | ^~~~~~~~~~~\r\n/usr/include/fmt/core.h:1580:7: note: \u2018formattable\u2019 evaluates to false\r\n```\r\n\r\nThis issues seems to have previously happened when updating to fmt v9 (#3228), and fmt v10 just removed `FMT_DEPRECATED_OSTREAM` (https://github.com/fmtlib/fmt/releases/tag/10.0.0), so the workaround is not working.\n\n### Expected Behavior\n\nOpenROAD should be able to be built against fmt v10.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.15.133.1-microsoft-standard-WSL2\r\nos: Arch Linux\r\ncmake version 3.27.8\r\nCMake Deprecation Warning at third-party/abc/CMakeLists.txt:1 (cmake_minimum_required):\r\n Compatibility with CMake < 3.5 will be removed from a future version of\r\n CMake.\r\n\r\n Update the VERSION argument value or use a ... suffix to tell\r\n CMake that the project does not need compatibility with older versions.\r\n\r\n\r\n-- The CXX compiler identification is GNU 13.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/sbin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11117-g6061c4892\r\n-- System name: Linux\r\n-- Compiler: GNU 13.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 13.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/sbin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/sbin/python3.11 (found version \"3.11.6\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-formatCMake Warning at src/CMakeLists.txt:241 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found SWIG: /usr/sbin/swig (found suitable version \"4.1.1\", minimum required is \"3.0\")\r\n-- Using SWIG >= 4.1.1 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\")\r\n-- boost: 1.83.0\r\n-- Found Python3: /usr/include/python3.11 (found version \"3.11.6\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /usr/lib/libz.so (found version \"1.3\")\r\n-- spdlog: 1.12.0\r\n-- Found BISON: /usr/sbin/bison (found version \"3.8.2\")\r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)\r\n-- STA version: 2.4.0\r\n-- STA git sha: 3e2295adfd5ffb7053aa6bb68f31b0eae8f2986f\r\n-- System name: Linux\r\n-- Compiler: GNU 13.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/sbin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /root/workspace/CRAFT/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- Found Protobuf: /usr/lib/libprotobuf.so (found version \"4.25.1\")\r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found suitable version \"1.83.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Boost: /usr/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization system thread\r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done (7.7s)\r\n-- Generating done (0.3s)\r\n-- Build files have been written to: /tmp/tmp.RQfrUGBfAp\n```\n\n\n### To Reproduce\n\n- Use the latest archlinux\r\n- Clone `OpenROAD-flow-scripts`\r\n- Install `or-tools` and `oss-cad-suite-build-bin` from AUR, and `lemon-graph` from source. Other dependencies should be installable from arch repo.\r\n- ./build_openroad.sh --local\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nI've done a grep within OpenROAD for ostream formatter, which gives:\r\n```\r\n\u279c OpenROAD grep -r ostream ./src | grep operator | grep cpp\r\ngrep: ./src/sta/app/sta: binary file matches\r\ngrep: ./src/sta/app/libOpenSTA.a: binary file matches\r\n./src/utl/src/timer.cpp:std::ostream& operator<<(std::ostream& os, const Timer& t)\r\n./src/grt/src/fastroute/src/utility.cpp:std::ostream& operator<<(std::ostream& os, RouteType type)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frRect& pinFigIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frPolygon& pinFigIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frMPin& pinIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frBTerm& termIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frInstTerm& instTermIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frViaDef& viaDefIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frBlock& blockIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frInst& instIn)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const drConnFig& fig)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frPathSeg& p)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frGuide& p)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frConnFig& fig)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frBlockObject& fig)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frNet& n)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const drNet& n)\r\n./src/drt/src/global.cpp:ostream& operator<<(ostream& os, const frMarker& m)\r\n./src/drt/src/db/infra/frTime.cpp:std::ostream& operator<<(std::ostream& os, const frTime& t)\r\n./src/drt/src/frBaseTypes.cpp:std::ostream& operator<<(std::ostream& os, frMinstepTypeEnum type)\r\n./src/drt/src/frBaseTypes.cpp:std::ostream& operator<<(std::ostream& os, frMinimumcutConnectionEnum conn)\r\n./src/drt/src/frBaseTypes.cpp:std::ostream& operator<<(std::ostream& os, frCornerTypeEnum type)\r\n./src/drt/src/frBaseTypes.cpp:std::ostream& operator<<(std::ostream& os, frBlockObjectEnum type)\r\n./src/drt/src/frBaseTypes.cpp:std::ostream& operator<<(std::ostream& os, frConstraintTypeEnum type)\r\n./src/odb/src/db/dbStream.cpp:std::ostream& operator<<(std::ostream& os, const Rect& box)\r\n./src/odb/src/db/dbStream.cpp:std::ostream& operator<<(std::ostream& os, const Point& pIn)\r\n./src/odb/src/db/dbStream.cpp:std::ostream& operator<<(std::ostream& os, const Orientation2D& ori)\r\n./src/odb/src/db/dbStream.cpp:std::ostream& operator<<(std::ostream& os, const Orientation3D& ori)\r\n./src/odb/src/db/dbStream.cpp:std::ostream& operator<<(std::ostream& os, const Direction1D& dir)\r\n./src/odb/src/db/dbStream.cpp:std::ostream& operator<<(std::ostream& os, const Direction2D& dir)\r\n./src/odb/src/db/dbStream.cpp:std::ostream& operator<<(std::ostream& os, const Direction3D& dir)\r\n```\r\n\r\nI'm trying to convert them to fmt formatters to see if it can make OpenROAD compile."}, {"assistant": "I think the best solution is FMT_DEPRECATED_OSTREAM as discussed in https://github.com/The-OpenROAD-Project/OpenROAD/pull/2696"}, {"user": "> I think the best solution is FMT_DEPRECATED_OSTREAM as discussed in #2696\r\n\r\nUnfortunately fmtv10 just removed that switch. I'm compiling with spdlog v1.12.0 and fmt v10.1.1 on ArchLinux. \r\n\r\nAFAIK not a lot of other distros have fmt v10. Fedora 39 has it, and Debian experimental has it. But I assume it will become a problem later on."}, {"assistant": "https://github.com/fmtlib/fmt/issues/3088 is a solution that they proposed but I haven't had a chance to try it. Perhaps you could take a look?"}, {"user": "> [fmtlib/fmt#3088](https://github.com/fmtlib/fmt/issues/3088) is a solution that they proposed but I haven't had a chance to try it. Perhaps you could take a look?\r\n\r\nOK I just got some free time. Looking into it.\r\n\r\nThanks."}, {"user": "I've opened an PR #4351."}, {"assistant": "Resolved?"}]} +{"num": 4318, "messages": [{"user": "### Describe the bug\r\n\r\nHello,\r\n\r\nI have an issue when trying to increase the target design utilization. Whenever I increase it to or above 70%, GPL reports a DU that is way above what I am aiming for or even in this case superior to 100%, which causes the tool to stop.\r\n\r\nThe issue comes from the padding in GPL. Whenever I set it to 0 (`set global_place_pad 2` & `set detail_place_pad 1` in asap7_bottom.vars) then the proper DU is reported, I don't know if this is the intended behavior, but I feel like space padding present existing to facilitate routing should not influence the DU... There is also nothing about the -pad_right and -pad_left argument of the global_placement function, or the units of those arguments\r\n\r\n### Expected Behavior\r\n\r\nMaybe some space padding, but no influence on the reported design utilization value\r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 6.1.0-11-amd64\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11117-g6061c4892\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/local/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3e2295adfd5ffb7053aa6bb68f31b0eae8f2986f\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/lucas/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.N0C0Gj9QSZ\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[TestCase.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13488100/TestCase.zip)\r\n\r\n\r\nUnzip this directory inside _OpenROAD-flow-scripts/tools/OpenROAD/test_ (make sure that everything is inside the TestCase directory), and use `bash run_ibex_cst_pd.sh`. The script should start.\r\n\r\n### Relevant log output\r\n\r\nWith `set global_place_pad 2`, and a target design utilization of 70% :\r\n\r\n#--------------------------------------------------------------------#\r\n# PLACEMENT #\r\n#--------------------------------------------------------------------#\r\n# Step 1 : Global placement without placed IO, timing driven and routability driven\r\n#-----------------------------------------------\r\nglobal_placement -skip_io -density $place_density -pad_left $global_place_pad \\\r\n -pad_right $global_place_pad\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 54 270\r\n[INFO GPL-0004] CoreAreaLxLy: 1026 1080\r\n[INFO GPL-0005] CoreAreaUxUy: 30348 30240\r\n[INFO GPL-0006] NumInstances: 5555\r\n[INFO GPL-0007] NumPlaceInstances: 5284\r\n[INFO GPL-0008] NumFixedInstances: 271\r\n[INFO GPL-0009] NumDummyInstances: 0\r\n[INFO GPL-0010] NumNets: 8865\r\n[INFO GPL-0011] NumPins: 18746\r\n[INFO GPL-0012] DieAreaLxLy: 0 0\r\n[INFO GPL-0013] DieAreaUxUy: 31356 31356\r\n[INFO GPL-0014] CoreAreaLxLy: 1026 1080\r\n[INFO GPL-0015] CoreAreaUxUy: 30348 30240\r\n[INFO GPL-0016] CoreArea: 855029520\r\n[INFO GPL-0017] NonPlaceInstsArea: 7902360\r\n[INFO GPL-0018] PlaceInstsArea: 909966960\r\n[INFO GPL-0019] Util(%): 107.42\r\n[INFO GPL-0020] StdInstsArea: 909966960\r\n[INFO GPL-0021] MacroInstsArea: 0\r\n[ERROR GPL-0301] Utilization exceeds 100%.\r\nError: BottomDie.tcl, 81 GPL-0301\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "> I feel like space padding present existing to facilitate routing should not influence the DU...\r\n\r\nThis is the intended behavior. Padding only impacts the way GPL (and DPL) sees the cells. If you were to use `report_design_area` then you would see the unpadded design utilization. There could be an enhancement to GPL to report both the padded and unpadded area I suppose.\r\n\r\n> There is also nothing about the -pad_right and -pad_left argument of the global_placement function, or the units of those arguments\r\n\r\nThose arguments add a pad which is measured in cell sites to effectively make the cell appear wider. `pad_left` adds a pad to the left side, `pad_right` adds it to the right side."}, {"user": "@rovinski But wouldn't that reduce the maximum design utilization possible for a design ? Especially since OpenROAD want to be automatic, GPL will stop everything if it sees a DU >100% even if it could be possible to achieve a reasonable DU with an equivalent padding ?\n\nI encountered this \"issue\" when trying to aim for a DU of 70% on the ASAP7 PDK which should be possible and reasonable for any design"}, {"assistant": "> But wouldn't that reduce the maximum design utilization possible for a design ?\r\n\r\nYes, that's exactly the point. If you don't want padding in your design, then you should reduce it or turn it off. But be forewarned that padding is usually necessary to achieve a cleanly routed design. If you want to optimize for very high density designs, I believe you can also set padding on a master-by-master basis so that only the most difficult cells are padded. That would take some trial and error.\r\n\r\n> when trying to aim for a DU of 70%\r\n\r\nIs this the core utilization after synthesis? Because after timing optimization the utilization can also increase dramatically due to gate resizing and buffer insertion."}, {"user": "By after synthesis do you mean after the synthesis step in Yosys, or after the PnR step ?\n\nTo me the synthesis step only convert the verilog code into a gate netlist mapped to the target pdk, it gets no information about design utilization yet ? But yes after PnR I target 70%, and GPL (with cell padding) reports a DU above 100%. I am perfectly fine with having a DU a bit above or below that value, as long as it is around it."}, {"assistant": "If you are using ORFS, the `CORE_UTILIZATION` (which is what I assume you are referring to) is actually not that well defined and usually isn't an indicator of the utilization you end up with.\r\n\r\nAfter Yosys (synthesis) runs, you have a gate-level netlist. During floorplanning, the design area from this unmodified netlist is used to calculate how big the die and core sizes should be (design area / `CORE_UTILIZATION` = `CORE_AREA`). After it does that, the die and core areas are fixed.\r\n\r\nThe utilization will immediately go down because ORFS removes all buffers inserted by Yosys. The utilization will then go up again, usually by a lot, because OpenROAD does rebuffering and gate resizing in order to meet timing constraints. Timing constraints are critical and the algorithm does not factor in utilization targets at all. You could easily start with a design at 70% utilization during the initialization step, and then go up to 90% because of optimization. Once you add padding during GPL, utilization can exceed 100%.\r\n\r\nWhy aren't the tools smarter? Why doesn't it account for this area increase due to timing optimization? Well because you have to do the entire timing optimization to figure out how much area it will take up. Trying to estimate this is an active area of research.\r\n\r\nEverything is a trial and error process. If for some reason you specifically need a 70% utilization, then I would suggest you extremely relax your timing constraints so that the optimizer doesn't need to add much. Otherwise, you should simply start with a lower utilization, allow timing optimization to do its thing, and then finish with approximately whatever target utilization you're after."}, {"user": "So, if I understood correctly the timing optimizations done by the synthesis tool are \"discarded\" as the buffers are removed, then replaced during PnR where the DU is also influenced by the timing constraints ?\r\n\r\nSo, for instance, if I have a design for which the detailed placement fails for a few instances, if I relax my timing constraints it may result in a successful placement ?"}, {"assistant": "> the timing optimizations done by the synthesis tool are \"discarded\" as the buffers are removed\r\n\r\nYes. We have found that OpenROAD optimizes better when the buffers from Yosys are removed, because Yosys does not do physical synthesis.\r\n\r\n> if I have a design for which the detailed placement fails for a few instances, if I relax my timing constraints it may result in a successful placement ?\r\n\r\nYes. Relaxed timing constraints usually translates to less buffer insertion and smaller design area."}, {"user": "Thanks for your help ! I do have another question, does this means that there no need to re-synthesize with different timing constraint with Yosys ? Or does it also use different/bigger non-buffer cells that also need to be resized ?"}, {"assistant": "You may get a different result with different timing constraints as gates may be sized, not only buffers."}, {"assistant": "To add, historically we have not seen much change in the output of Yosys based on the timing constraints provided, but the results aren't necessarily identical."}, {"user": "Ok, I understand what is happening a bit better, I'll close this issue. Thank you very much for your explanations @rovinski !"}]} +{"num": 4319, "messages": [{"user": "### Describe the bug\r\n\r\nI am trying to run a custom script to characterize OpenROAD's behavior when the design utilization is varying for a fixed placement_density, using the ASAP7 PDK, when all IO's are on the top metal layer.\r\n\r\nI currently use the ibex design as a test design and I am aiming at a DU of 60%, with a placement density fixed at 1. The issue I have is that I encounter this warning during the global routing step :\r\n\r\n\"[WARNING GRT-0026] Missing route to pin (name of the pin)\"\r\n\r\nIt does this for all pins. During detailed routing it seems to try and fix this problem (as OpenROAD give me the [INFO-DRT-1000] code), but it fails to do so and thus stop the flow after indicating :\r\n\r\n\"[ERROR DRT-0218] Guide is not connected to design\"\r\n\r\nI want to fix this issue, but I have no idea as to where to look, or what to do...\r\n\r\nI use the \"grid-strategy-M1-M2-M5-M6.tcl\" as well as the make_tracks.tcl found in the OpenROAD-flow-scripts/flow/platforms/asap7/ directory.\r\n\r\n### Expected Behavior\r\n\r\nNo warning or error raised\r\n\r\n### Environment\r\n\r\n```shell\r\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 6.1.0-11-amd64\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11117-g6061c4892\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/local/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 3e2295adfd5ffb7053aa6bb68f31b0eae8f2986f\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/lucas/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.1\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.N0C0Gj9QSZ\r\n\r\n(The version I use has been installed on Friday 11/24)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[TestCase.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13478454/TestCase.zip)\r\n\r\n\r\nHere is a test case, uncompress the file into _OpenROAD-flow-scripts/tools/OpenROAD/test/_, enter the TestCase directory and use `bash run_ibex_cst_pd.sh` to reproduce the issue\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@lustefan97 The test case link is incorrect. It doesn't download anything."}, {"user": "@eder-matheus I don't know what happened but I updated the link, tell me if you can download it now \r\n\r\nEdit: I tried with another design (but same setup), the same issue arised... let me know if it is on my end "}, {"assistant": "@lustefan97 The fix for it is in the master branch. Could you confirm it is working for you?"}, {"user": "@eder-matheus Yes it does, thanks for your help ! I do have another warning that I had on another case that I don't understand though. It's about some nets (I think for output pins) that are said not connected to any iterm or bterm yet when looking in the gui it seems like it is the case. \n\nI'll open another issue with a test case for you to check out "}]} +{"num": 4321, "messages": [{"user": "### Description\n\nWhat does ORFS / OpenROAD want me to do here?\r\n\r\n```\r\n[NesterovSolve] Iter: 410 overflow: 0.198856 HPWL: 39454131571\r\n[NesterovSolve] Iter: 420 overflow: 0.191851 HPWL: 41732136463\r\n[NesterovSolve] Iter: 430 overflow: 0.173834 HPWL: 50031597863\r\n[NesterovSolve] Iter: 440 overflow: 0.162364 HPWL: 54937616026\r\n[ERROR GPL-0307] RePlAce divergence detected. Re-run with a smaller max_phi_cof value.\r\nError: tdms_place.tcl, 28 GPL-0307\r\nCommand exited with non-zero status 1\r\nElapsed time: 1:02:01[h:]min:sec. CPU time: user 7652.95 sys 30.82 (206%). Peak memory: 13124976KB.\r\n```\r\n\n\n### Suggested Solution\n\nChange the message to be something actionable by the user\n\n### Additional Context\n\n_No response_"}, {"assistant": "I agree it isn't a great message. global_place has a -max_phi_coef argument but it is quite obscure in its effect. You can try playing with it (it defaults to 1.05).\r\n\r\nUsually what I find is that there is something else interesting going on that is preventing convergence. Can you make a test case for this?"}, {"user": "To reproduce, unzip https://drive.google.com/file/d/1fYQPW0ls_CkZ2lAWY9433JLV1Q7pWbcH/view?usp=sharing\r\n\r\nRun as below. Note! Takes a while... 20 minutes or so?\r\n\r\n\r\n```\r\n$ ./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11183-g2f133cf95 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 54 270\r\n[INFO GPL-0004] CoreAreaLxLy: 2052 2160\r\n[deleted]\r\n[NesterovSolve] Iter: 350 overflow: 0.210059 HPWL: 39329808469\r\n[NesterovSolve] Iter: 360 overflow: 0.204543 HPWL: 42406184812\r\n[NesterovSolve] Iter: 370 overflow: 0.199902 HPWL: 44940535197\r\n[NesterovSolve] Iter: 380 overflow: 0.196656 HPWL: 46014774990\r\n[NesterovSolve] Iter: 390 overflow: 0.197694 HPWL: 44134392550\r\n[NesterovSolve] Iter: 400 overflow: 0.199592 HPWL: 41271264071\r\n[NesterovSolve] Iter: 410 overflow: 0.198856 HPWL: 39454131571\r\n[NesterovSolve] Iter: 420 overflow: 0.191851 HPWL: 41732136463\r\n[NesterovSolve] Iter: 430 overflow: 0.173834 HPWL: 50031597863\r\n[NesterovSolve] Iter: 440 overflow: 0.162364 HPWL: 54937616026\r\n[ERROR GPL-0307] RePlAce divergence detected. Re-run with a smaller max_phi_cof value.\r\nError: tdms_place.tcl, 28 GPL-0307\r\nopenroad> \r\n```\r\n"}]} +{"num": 4333, "messages": [{"user": "### Description\r\n\r\nWhen working with MegaBoom, CTS can take a long time(stopped after 30000s or 10 hours or so...).\r\n\r\nTo reproduce, unzip https://drive.google.com/file/d/1rgNaEOD-IP0Ae16ZSTZKyV3ZC2t-QLHd/view?usp=sharing\r\n\r\n```\r\n$ ./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11160-g042cdcfa4 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nclock_tree_synthesis -root_buf BUFx4_ASAP7_75t_R -buf_list BUFx4_ASAP7_75t_R -sink_clustering_enable -sink_clustering_size 30 -sink_clustering_max_diameter 100 -balance_levels -distance_between_buffers 60\r\n[INFO CTS-0049] Characterization buffer is: BUFx4_ASAP7_75t_R.\r\n[deleted]\r\n\r\n[INFO CTS-0102] Path depth 78 - 79\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nWarning: There are 380 unconstrained endpoints.\r\n\r\n==========================================================================\r\ncts pre-repair report_check_types -max_slew -max_cap -max_fanout -violators\r\n--------------------------------------------------------------------------\r\n-148.0156\r\n-1.0903\r\n\r\n==========================================================================\r\ncts pre-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 4644608 u^2 20% utilization.\r\n[INFO RSZ-0058] Using max wire length 162um.\r\n[INFO RSZ-0047] Found 79 long wires.\r\n[INFO RSZ-0048] Inserted 295 buffers in 79 nets.\r\nWarning: There are 380 unconstrained endpoints.\r\n[never completes]\r\n````\r\n\r\n\r\n\r\n### Suggested Solution\r\n\r\nSome sort of progress message to give an idea of how long it will run, or if it is hopeless and the problem needs to be fixed earlier in the flow.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Try repair_timing -verbose and see if that's what you want"}, {"user": "> Try repair_timing -verbose and see if that's what you want\r\n\r\nNo effect, it churns here with no further output:\r\n\r\n```\r\n[INFO CTS-0099] Sinks 352871\r\n[INFO CTS-0100] Leaf buffers 11846\r\n[INFO CTS-0101] Average sink wire length 8833.40 um\r\n[INFO CTS-0102] Path depth 78 - 79\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nWarning: There are 380 unconstrained endpoints.\r\n[no further output]\r\n```\r\n"}, {"assistant": "I think is sta computing timing and CTS itself is done. \r\n\r\n@tspyrou do you want to discuss such messages with Cherry?"}]} +{"num": 4334, "messages": [{"user": "### Description\n\n```\r\n$ ./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11160-g042cdcfa4 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nclock_tree_synthesis -root_buf BUFx4_ASAP7_75t_R -buf_list BUFx4_ASAP7_75t_R -sink_clustering_enable -sink_clustering_size 30 -sink_clustering_max_diameter 100 -balance_levels -distance_between_buffers 60\r\n[INFO CTS-0049] Characterization buffer is: BUFx4_ASAP7_75t_R.\r\n[INFO CTS-0039] Number of created patterns = 12240.\r\n[INFO CTS-0084] Compiling LUT.\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 34 1 12 \r\n[WARNING CTS-0043] 1632 wires are pure wire and no slew degradation.\r\nTritonCTS forced slew degradation on these wires.\r\n[INFO CTS-0046] Number of wire segments: 12240.\r\n[INFO CTS-0047] Number of keys in characterization LUT: 1456.\r\n[INFO CTS-0048] Actual min input cap: 1.\r\n[INFO CTS-0007] Net \"clock\" found for clock \"clock\".\r\n[INFO CTS-0010] Clock net \"clock\" has 352871 sinks.\r\n[INFO CTS-0008] TritonCTS found 1 clock nets.\r\n[INFO CTS-0097] Characterization used 1 buffer(s) types.\r\n[INFO CTS-0200] 8 placement blockages have been identified.\r\n[INFO CTS-0027] Generating H-Tree topology for net clock.\r\n[INFO CTS-0028] Total number of sinks: 352871.\r\n[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 1350 dbu (1 um).\r\n[INFO CTS-0021] Distance between buffers: 22 units (60 um).\r\n[INFO CTS-0019] Total number of sinks after clustering: 11866.\r\n[INFO CTS-0024] Normalized sink region: [(16.3511, 16.1382), (3524.09, 3510.48)].\r\n[INFO CTS-0025] Width: 3507.7341.\r\n[INFO CTS-0026] Height: 3494.3418.\r\n Level 1\r\n Direction: Horizontal\r\n Sinks per sub-region: 5933\r\n Sub-region size: 1753.8670 X 3494.3418\r\n[INFO CTS-0034] Segment length (rounded): 876.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 60 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 4 delay: 1\r\n Level 2\r\n Direction: Vertical\r\n Sinks per sub-region: 2967\r\n Sub-region size: 1753.8670 X 1747.1709\r\n[INFO CTS-0034] Segment length (rounded): 874.\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 12 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 2 delay: 1\r\n Out of 5754 sinks, 30 sinks closer to other cluster.\r\n Level 3\r\n Direction: Horizontal\r\n Sinks per sub-region: 1484\r\n Sub-region size: 876.9335 X 1747.1709\r\n[INFO CTS-0034] Segment length (rounded): 438.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 252 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.25 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 288 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.75 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 252 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.25 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 288 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.75 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 252 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.25 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 288 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.75 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 252 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.25 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 288 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.75 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 252 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.25 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 288 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.75 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 156 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 6 delay: 1\r\n Out of 2719 sinks, 77 sinks closer to other cluster.\r\n Level 4\r\n Direction: Vertical\r\n Sinks per sub-region: 742\r\n Sub-region size: 876.9335 X 873.5854\r\n[INFO CTS-0034] Segment length (rounded): 436.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 60 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 4 delay: 1\r\n Out of 1320 sinks, 193 sinks closer to other cluster.\r\n Out of 1883 sinks, 15 sinks closer to other cluster.\r\n Out of 1510 sinks, 13 sinks closer to other cluster.\r\n Out of 1339 sinks, 77 sinks closer to other cluster.\r\n Level 5\r\n Direction: Horizontal\r\n Sinks per sub-region: 371\r\n Sub-region size: 438.4668 X 873.5854\r\n[INFO CTS-0034] Segment length (rounded): 220.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 60 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 4 delay: 1\r\n Out of 472 sinks, 33 sinks closer to other cluster.\r\n Out of 1060 sinks, 13 sinks closer to other cluster.\r\n Out of 884 sinks, 61 sinks closer to other cluster.\r\n Out of 800 sinks, 1 sinks closer to other cluster.\r\n Out of 1129 sinks, 35 sinks closer to other cluster.\r\n Out of 754 sinks, 22 sinks closer to other cluster.\r\n Out of 839 sinks, 12 sinks closer to other cluster.\r\n Out of 652 sinks, 3 sinks closer to other cluster.\r\n Out of 728 sinks, 10 sinks closer to other cluster.\r\n Level 6\r\n Direction: Vertical\r\n Sinks per sub-region: 186\r\n Sub-region size: 438.4668 X 436.7927\r\n[INFO CTS-0034] Segment length (rounded): 218.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 12 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 2 delay: 1\r\n Out of 489 sinks, 3 sinks closer to other cluster.\r\n Out of 290 sinks, 5 sinks closer to other cluster.\r\n Out of 482 sinks, 4 sinks closer to other cluster.\r\n Out of 319 sinks, 8 sinks closer to other cluster.\r\n Out of 565 sinks, 8 sinks closer to other cluster.\r\n Out of 339 sinks, 6 sinks closer to other cluster.\r\n Out of 357 sinks, 13 sinks closer to other cluster.\r\n Out of 492 sinks, 8 sinks closer to other cluster.\r\n Level 7\r\n Direction: Horizontal\r\n Sinks per sub-region: 93\r\n Sub-region size: 219.2334 X 436.7927\r\n[INFO CTS-0034] Segment length (rounded): 110.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 252 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.25 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 288 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.75 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 108 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 6 delay: 6\r\n location: 0.333333 buffer: BUFx4_ASAP7_75t_R\r\n Out of 182 sinks, 9 sinks closer to other cluster.\r\n Out of 141 sinks, 8 sinks closer to other cluster.\r\n Out of 304 sinks, 8 sinks closer to other cluster.\r\n Out of 204 sinks, 10 sinks closer to other cluster.\r\n Out of 166 sinks, 11 sinks closer to other cluster.\r\n Out of 204 sinks, 1 sinks closer to other cluster.\r\n Out of 201 sinks, 6 sinks closer to other cluster.\r\n Out of 131 sinks, 3 sinks closer to other cluster.\r\n Out of 226 sinks, 4 sinks closer to other cluster.\r\n Out of 111 sinks, 12 sinks closer to other cluster.\r\n Out of 76 sinks, 15 sinks closer to other cluster.\r\n Level 8\r\n Direction: Vertical\r\n Sinks per sub-region: 47\r\n Sub-region size: 219.2334 X 218.3964\r\n[INFO CTS-0034] Segment length (rounded): 110.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 264 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.5 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 156 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 6 delay: 1\r\n Out of 51 sinks, 1 sinks closer to other cluster.\r\n Out of 32 sinks, 5 sinks closer to other cluster.\r\n Out of 118 sinks, 2 sinks closer to other cluster.\r\n Out of 80 sinks, 7 sinks closer to other cluster.\r\n Out of 29 sinks, 1 sinks closer to other cluster.\r\n Out of 83 sinks, 1 sinks closer to other cluster.\r\n Out of 52 sinks, 2 sinks closer to other cluster.\r\n Out of 167 sinks, 11 sinks closer to other cluster.\r\n Out of 115 sinks, 9 sinks closer to other cluster.\r\n Out of 169 sinks, 1 sinks closer to other cluster.\r\n Out of 76 sinks, 1 sinks closer to other cluster.\r\n Out of 129 sinks, 5 sinks closer to other cluster.\r\n Out of 109 sinks, 5 sinks closer to other cluster.\r\n Out of 71 sinks, 9 sinks closer to other cluster.\r\n Out of 77 sinks, 1 sinks closer to other cluster.\r\n Out of 205 sinks, 12 sinks closer to other cluster.\r\n Out of 59 sinks, 7 sinks closer to other cluster.\r\n Out of 55 sinks, 4 sinks closer to other cluster.\r\n Out of 88 sinks, 2 sinks closer to other cluster.\r\n Out of 182 sinks, 9 sinks closer to other cluster.\r\n Out of 72 sinks, 1 sinks closer to other cluster.\r\n Out of 67 sinks, 17 sinks closer to other cluster.\r\n Out of 53 sinks, 5 sinks closer to other cluster.\r\n Out of 126 sinks, 6 sinks closer to other cluster.\r\n Out of 68 sinks, 3 sinks closer to other cluster.\r\n Out of 44 sinks, 3 sinks closer to other cluster.\r\n Out of 102 sinks, 2 sinks closer to other cluster.\r\n Out of 31 sinks, 11 sinks closer to other cluster.\r\n Level 9\r\n Direction: Horizontal\r\n Sinks per sub-region: 24\r\n Sub-region size: 109.6167 X 218.3964\r\n[INFO CTS-0034] Segment length (rounded): 54.\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 252 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 0.25 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 156 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 6 delay: 1\r\n Out of 38 sinks, 1 sinks closer to other cluster.\r\n Out of 69 sinks, 5 sinks closer to other cluster.\r\n Out of 61 sinks, 3 sinks closer to other cluster.\r\n Out of 56 sinks, 5 sinks closer to other cluster.\r\n Out of 45 sinks, 1 sinks closer to other cluster.\r\n Out of 14 sinks, 2 sinks closer to other cluster.\r\n Out of 20 sinks, 4 sinks closer to other cluster.\r\n Out of 11 sinks, 1 sinks closer to other cluster.\r\n Out of 21 sinks, 6 sinks closer to other cluster.\r\n Out of 31 sinks, 2 sinks closer to other cluster.\r\n Out of 59 sinks, 8 sinks closer to other cluster.\r\n Out of 56 sinks, 1 sinks closer to other cluster.\r\n Out of 59 sinks, 1 sinks closer to other cluster.\r\n Out of 48 sinks, 6 sinks closer to other cluster.\r\n Out of 53 sinks, 5 sinks closer to other cluster.\r\n Out of 46 sinks, 3 sinks closer to other cluster.\r\n Out of 40 sinks, 1 sinks closer to other cluster.\r\n Out of 68 sinks, 2 sinks closer to other cluster.\r\n Out of 65 sinks, 2 sinks closer to other cluster.\r\n Out of 40 sinks, 3 sinks closer to other cluster.\r\n Out of 43 sinks, 2 sinks closer to other cluster.\r\n Out of 45 sinks, 11 sinks closer to other cluster.\r\n Out of 31 sinks, 2 sinks closer to other cluster.\r\n Out of 96 sinks, 1 sinks closer to other cluster.\r\n Out of 26 sinks, 1 sinks closer to other cluster.\r\n Out of 29 sinks, 1 sinks closer to other cluster.\r\n Out of 52 sinks, 2 sinks closer to other cluster.\r\n Out of 69 sinks, 3 sinks closer to other cluster.\r\n Out of 29 sinks, 2 sinks closer to other cluster.\r\n Out of 9 sinks, 1 sinks closer to other cluster.\r\n Out of 59 sinks, 1 sinks closer to other cluster.\r\n Out of 56 sinks, 1 sinks closer to other cluster.\r\n Out of 70 sinks, 1 sinks closer to other cluster.\r\n Out of 62 sinks, 1 sinks closer to other cluster.\r\n Out of 21 sinks, 4 sinks closer to other cluster.\r\n Out of 61 sinks, 3 sinks closer to other cluster.\r\n Out of 31 sinks, 1 sinks closer to other cluster.\r\n Out of 51 sinks, 2 sinks closer to other cluster.\r\n Out of 27 sinks, 3 sinks closer to other cluster.\r\n Out of 32 sinks, 2 sinks closer to other cluster.\r\n Out of 51 sinks, 1 sinks closer to other cluster.\r\n Out of 40 sinks, 2 sinks closer to other cluster.\r\n Out of 35 sinks, 1 sinks closer to other cluster.\r\n Out of 37 sinks, 1 sinks closer to other cluster.\r\n Out of 51 sinks, 1 sinks closer to other cluster.\r\n Out of 56 sinks, 5 sinks closer to other cluster.\r\n Out of 43 sinks, 1 sinks closer to other cluster.\r\n Out of 44 sinks, 2 sinks closer to other cluster.\r\n Out of 23 sinks, 1 sinks closer to other cluster.\r\n Out of 29 sinks, 2 sinks closer to other cluster.\r\n Out of 67 sinks, 4 sinks closer to other cluster.\r\n Out of 54 sinks, 3 sinks closer to other cluster.\r\n Out of 26 sinks, 1 sinks closer to other cluster.\r\n Out of 18 sinks, 1 sinks closer to other cluster.\r\n Out of 38 sinks, 3 sinks closer to other cluster.\r\n Out of 61 sinks, 1 sinks closer to other cluster.\r\n Level 10\r\n Direction: Vertical\r\n Sinks per sub-region: 12\r\n Sub-region size: 109.6167 X 109.1982\r\n[INFO CTS-0034] Segment length (rounded): 54.\r\n Key: 336 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 8 delay: 6\r\n location: 1.0 buffer: BUFx4_ASAP7_75t_R\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 348 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 8 delay: 1\r\n Key: 120 inSlew: 1 inCap: 1 outSlew: 1 load: 1 length: 6 delay: 6\r\n location: 0.666667 buffer: BUFx4_ASAP7_75t_R\r\n Out of 17 sinks, 3 sinks closer to other cluster.\r\n Out of 17 sinks, 1 sinks closer to other cluster.\r\n Out of 39 sinks, 1 sinks closer to other cluster.\r\n Out of 16 sinks, 1 sinks closer to other cluster.\r\n Out of 28 sinks, 1 sinks closer to other cluster.\r\n Out of 48 sinks, 1 sinks closer to other cluster.\r\n Out of 34 sinks, 2 sinks closer to other cluster.\r\n Out of 13 sinks, 1 sinks closer to other cluster.\r\n Out of 16 sinks, 3 sinks closer to other cluster.\r\n Out of 12 sinks, 1 sinks closer to other cluster.\r\n Out of 12 sinks, 1 sinks closer to other cluster.\r\n Out of 12 sinks, 1 sinks closer to other cluster.\r\n Out of 24 sinks, 1 sinks closer to other cluster.\r\n Out of 31 sinks, 1 sinks closer to other cluster.\r\n Out of 8 sinks, 2 sinks closer to other cluster.\r\n Out of 32 sinks, 1 sinks closer to other cluster.\r\n Out of 46 sinks, 2 sinks closer to other cluster.\r\n Out of 33 sinks, 6 sinks closer to other cluster.\r\n Out of 19 sinks, 1 sinks closer to other cluster.\r\n Out of 33 sinks, 1 sinks closer to other cluster.\r\n Out of 44 sinks, 3 sinks closer to other cluster.\r\n Out of 32 sinks, 3 sinks closer to other cluster.\r\n Out of 18 sinks, 1 sinks closer to other cluster.\r\n Out of 28 sinks, 1 sinks closer to other cluster.\r\n Out of 40 sinks, 2 sinks closer to other cluster.\r\n Out of 13 sinks, 1 sinks closer to other cluster.\r\n Out of 14 sinks, 2 sinks closer to other cluster.\r\n Out of 10 sinks, 1 sinks closer to other cluster.\r\n Out of 24 sinks, 5 sinks closer to other cluster.\r\n Out of 27 sinks, 4 sinks closer to other cluster.\r\n Out of 26 sinks, 4 sinks closer to other cluster.\r\n Out of 36 sinks, 2 sinks closer to other cluster.\r\n Out of 28 sinks, 2 sinks closer to other cluster.\r\n Out of 16 sinks, 1 sinks closer to other cluster.\r\n Out of 18 sinks, 1 sinks closer to other cluster.\r\n Out of 15 sinks, 2 sinks closer to other cluster.\r\n Out of 43 sinks, 3 sinks closer to other cluster.\r\n Out of 48 sinks, 2 sinks closer to other cluster.\r\n Out of 39 sinks, 1 sinks closer to other cluster.\r\n Out of 57 sinks, 3 sinks closer to other cluster.\r\n Out of 11 sinks, 1 sinks closer to other cluster.\r\n Out of 15 sinks, 1 sinks closer to other cluster.\r\n Out of 14 sinks, 2 sinks closer to other cluster.\r\n Out of 18 sinks, 1 sinks closer to other cluster.\r\n Out of 16 sinks, 2 sinks closer to other cluster.\r\n Out of 12 sinks, 1 sinks closer to other cluster.\r\n Out of 65 sinks, 1 sinks closer to other cluster.\r\n Out of 4 sinks, 1 sinks closer to other cluster.\r\n Out of 17 sinks, 1 sinks closer to other cluster.\r\n Out of 20 sinks, 2 sinks closer to other cluster.\r\n Out of 36 sinks, 3 sinks closer to other cluster.\r\n Out of 34 sinks, 1 sinks closer to other cluster.\r\n Out of 14 sinks, 5 sinks closer to other cluster.\r\n Out of 16 sinks, 1 sinks closer to other cluster.\r\n Out of 24 sinks, 2 sinks closer to other cluster.\r\n Out of 18 sinks, 2 sinks closer to other cluster.\r\n Out of 38 sinks, 2 sinks closer to other cluster.\r\n Out of 24 sinks, 1 sinks closer to other cluster.\r\n Out of 17 sinks, 1 sinks closer to other cluster.\r\n Out of 12 sinks, 2 sinks closer to other cluster.\r\n Out of 16 sinks, 1 sinks closer to other cluster.\r\n Out of 31 sinks, 1 sinks closer to other cluster.\r\n Out of 28 sinks, 2 sinks closer to other cluster.\r\n Out of 26 sinks, 3 sinks closer to other cluster.\r\n Out of 14 sinks, 1 sinks closer to other cluster.\r\n Out of 11 sinks, 2 sinks closer to other cluster.\r\n Out of 17 sinks, 2 sinks closer to other cluster.\r\n Out of 8 sinks, 1 sinks closer to other cluster.\r\n Out of 50 sinks, 2 sinks closer to other cluster.\r\n Out of 15 sinks, 1 sinks closer to other cluster.\r\n Out of 21 sinks, 1 sinks closer to other cluster.\r\n Out of 18 sinks, 3 sinks closer to other cluster.\r\n Out of 26 sinks, 3 sinks closer to other cluster.\r\n Out of 34 sinks, 2 sinks closer to other cluster.\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 11866.\r\n[INFO CTS-0018] Created 16141 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 78.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 79.\r\n[INFO CTS-0014] 15 clock nets were removed/fixed.\r\n[INFO CTS-0015] Created 16126 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 0:7, 1:5, 2:19, 3:38, 4:67, 5:60, 6:72, 7:83, 8:79, 9:75, 10:102, 11:45, 12:60, 13:58, 14:59, 15:46, 16:49, 17:37, 18:27, 19:24, 20:35, 21:19, 22:20, 23:13, 24:16, 25:9, 26:10, 27:6, 28:6, 29:11, 30:11698, 31:5, 32:1, 33:1, 34:1, 35:1, 37:3, 38:1, 39:2..\r\n[INFO CTS-0017] Max level of the clock tree: 10.\r\n[INFO CTS-0098] Clock net \"clock\"\r\n[INFO CTS-0099] Sinks 352871\r\n[INFO CTS-0100] Leaf buffers 11846\r\n[INFO CTS-0101] Average sink wire length 8833.40 um\r\n[INFO CTS-0102] Path depth 78 - 79\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nWarning: There are 380 unconstrained endpoints.\r\n\r\n==========================================================================\r\ncts pre-repair report_check_types -max_slew -max_cap -max_fanout -violators\r\n--------------------------------------------------------------------------\r\n-148.0156\r\n-1.0903\r\n\r\n==========================================================================\r\ncts pre-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 4644608 u^2 20% utilization.\r\n[INFO RSZ-0058] Using max wire length 162um.\r\n[INFO RSZ-0047] Found 79 long wires.\r\n[INFO RSZ-0048] Inserted 295 buffers in 79 nets.\r\nWarning: There are 380 unconstrained endpoints.\r\n```\r\n\n\n### Suggested Solution\n\nConsidering log above, change as approperiate to debug level output.\n\n### Additional Context\n\n_No response_"}, {"user": "@maliberty This is a much more most recent output for CTS. Much less verbose.\r\n\r\nSome comments on log quality and detail...\r\n\r\n\r\n```\r\n[INFO CTS-0050] Root buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0051] Sink buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0052] The following clock buffers will be used for CTS:\r\n BUFx24_ASAP7_75t_R\r\n[INFO CTS-0049] Characterization buffer is BUFx24_ASAP7_75t_R.\r\n```\r\n\r\nMore or less the same information above repeated four times. Single line? Some of this superfluous?\r\n\r\n\r\n```\r\n[INFO CTS-0039] Number of created patterns = 4200.\r\n```\r\n\r\nWhat is a \"pattern\" and what conclusions can the user make from this information?\r\n\r\n```\r\n[INFO CTS-0084] Compiling LUT.\r\nMin. len Max. len Min. cap Max. cap Min. slew Max. slew\r\n2 8 1 20 1 7 \r\n```\r\n\r\nIsn't LUT an implementation detail?\r\n\r\n\r\n```\r\n[WARNING CTS-0043] 560 wires are pure wire and no slew degradation.\r\nTritonCTS forced slew degradation on these wires.\r\n```\r\n\r\nThis warning is in the middle of the run and can only be found by grepping... If this is critical information, it would be easier to find at the bottom of the CTS run?\r\n\r\n```\r\n[INFO CTS-0046] Number of wire segments: 2611.\r\n[INFO CTS-0047] Number of keys in characterization LUT: 305.\r\n[INFO CTS-0048] Actual min input cap: 1.\r\n[INFO CTS-0007] Net \"clock\" found for clock \"clock\".\r\n[INFO CTS-0010] Clock net \"clock\" has 4 sinks.\r\n[INFO CTS-0008] TritonCTS found 1 clock nets.\r\n[INFO CTS-0097] Characterization used 1 buffer(s) types.\r\n[INFO CTS-0200] 65 placement blockages have been identified.\r\n[INFO CTS-0027] Generating H-Tree topology for net clock.\r\n[INFO CTS-0028] Total number of sinks: 4.\r\n[INFO CTS-0090] Sinks will be clustered based on buffer max cap.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 1350 dbu (1 um).\r\n[INFO CTS-0021] Distance between buffers: 22 units (60 um).\r\n[INFO CTS-0023] Original sink region: [(543253, 1483741), (543523, 1486441)].\r\n[INFO CTS-0024] Normalized sink region: [(402.41, 1099.07), (402.61, 1101.07)].\r\n[INFO CTS-0025] Width: 0.2000.\r\n[INFO CTS-0026] Height: 2.0000.\r\n```\r\n\r\nThere is a lot of information above... Hard to know what I should try to understand and what I can do about it...\r\n\r\n```\r\n[WARNING CTS-0045] Creating fake entries in the LUT.\r\n Level 1\r\n Direction: Vertical\r\n Sinks per sub-region: 2\r\n Sub-region size: 0.2000 X 1.0000\r\n[INFO CTS-0034] Segment length (rounded): 1.\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 4.\r\n[INFO CTS-0018] Created 3 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 3 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 2:2..\r\n[INFO CTS-0017] Max level of the clock tree: 1.\r\n[INFO CTS-0098] Clock net \"clock\"\r\n[INFO CTS-0099] Sinks 4\r\n[INFO CTS-0100] Leaf buffers 0\r\n[INFO CTS-0101] Average sink wire length 159.41 um\r\n[INFO CTS-0102] Path depth 2 - 2\r\n```\r\n\r\nHmm... Lots of information...\r\n\r\n```\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\n```\r\n\r\nThe warning above is a bit drowned out and repeated many times in the logs due to the clock_vir that I have in my .sdc file.\r\n\r\n```\r\nWarning: There are 135660 unclocked register/latch pins.\r\n```\r\n\r\nWhat are a \"unclocked register/latch\" pins? There are no latches in this design.\r\n\r\n```\r\nWarning: There are 135902 unconstrained endpoints.\r\n```\r\n\r\nThe .sdc file needs work...\r\n\r\n```\r\n==========================================================================\r\ncts pre-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 1364898 u^2 51% utilization.\r\n[INFO RSZ-0058] Using max wire length 162um.\r\n```\r\n\r\n```\r\nWarning: There are 135660 unclocked register/latch pins.\r\nWarning: There are 135902 unconstrained endpoints.\r\n```\r\n\r\nRepetition above...\r\n\r\n```\r\n==========================================================================\r\ncts post-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 1364898 u^2 51% utilization.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 1.0 u\r\naverage displacement 0.0 u\r\nmax displacement 0.3 u\r\n```\r\n\r\nWhat is \"displacement\"?\r\n\r\n```\r\noriginal HPWL 19804342.9 u\r\nlegalized HPWL 19948597.3 u\r\ndelta HPWL 1 %\r\n\r\nRepair setup and hold violations...\r\nTNS end percent 5\r\n[INFO RSZ-0033] No hold violations found.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 0.0 u\r\naverage displacement 0.0 u\r\nmax displacement 0.0 u\r\noriginal HPWL 19948597.3 u\r\nlegalized HPWL 19948597.3 u\r\ndelta HPWL 0 %\r\n```\r\n\r\n```\r\nWarning: There are 135660 unclocked register/latch pins.\r\nWarning: There are 135902 unconstrained endpoints.\r\n```\r\n\r\nMore repetition...\r\n\r\n\r\n```\r\n==========================================================================\r\ncts final report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 1364898 u^2 51% utilization.\r\nElapsed time: 46:23.04[h:]min:sec. CPU time: user 2769.95 sys 12.20 (99%). Peak memory: 17120504KB.\r\n```\r\n"}, {"assistant": "> More or less the same information above repeated four times. Single line? Some of this superfluous?\r\n\r\nThe various buffers happen to be the same here but they needn't be. The messages describe different information.\r\n\r\n> What is a \"pattern\" and what conclusions can the user make from this information?\r\n\r\nNot much beyond more patterns == more runtime. It can be moved to debug.\r\n\r\n> Isn't LUT an implementation detail?\r\n\r\nYes, can be a debug.\r\n\r\n> There is a lot of information above... Hard to know what I should try to understand and what I can do about it...\r\n\r\nMostly should be debug.\r\n\r\n> What are a \"unclocked register/latch\" pins? There are no latches in this design.\r\n\r\nIt means registers or latch pins. Unclocked means that they have no clock signal reaching their clock pin so they will get no timing check.\r\n\r\n> What is \"displacement\"?\r\n\r\nThe distance an instance is moved when legalizing its location."}, {"assistant": "More CTS messages have been moved to debug in [PR 4459.](https://github.com/The-OpenROAD-Project/OpenROAD/pull/4459)\r\n"}, {"user": "@maliberty @precisionmoon Is this done for now? There is still a lot of output I don't understand :-) and can't do anything about...\r\n\r\nChipTop now has ca. 250 lines of output. That's not an onerous task to wade through...\r\n\r\n```\r\nOpenROAD v2.0-11780-g3a01a779d \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nclock_tree_synthesis -sink_clustering_enable -balance_levels -distance_between_buffers 60\r\n[INFO CTS-0050] Root buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0051] Sink buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0052] The following clock buffers will be used for CTS:\r\n BUFx24_ASAP7_75t_R\r\n[INFO CTS-0049] Characterization buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0007] Net \"clock_uncore\" found for clock \"clock_uncore\".\r\n[INFO CTS-0010] Clock net \"clock_uncore\" has 120981 sinks.\r\n[INFO CTS-0010] Clock net \"gated_clock_debug_clock_gate.out\" has 1004 sinks.\r\n[INFO CTS-0007] Net \"serial_tl_0_clock\" found for clock \"serial_tl_0_clock\".\r\n[INFO CTS-0010] Clock net \"serial_tl_0_clock\" has 91 sinks.\r\n[INFO CTS-0008] TritonCTS found 3 clock nets.\r\n[INFO CTS-0097] Characterization used 1 buffer(s) types.\r\n[INFO CTS-0200] 11 placement blockages have been identified.\r\n[INFO CTS-0027] Generating H-Tree topology for net clock_uncore.\r\n[INFO CTS-0028] Total number of sinks: 120981.\r\n[INFO CTS-0090] Sinks will be clustered based on buffer max cap.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 1350 dbu (1 um).\r\n[INFO CTS-0021] Distance between buffers: 22 units (60 um).\r\n[INFO CTS-0206] Best clustering solution was found from clustering size of 20 and clustering diameter of 50.\r\n[INFO CTS-0019] Total number of sinks after clustering: 7469.\r\n[INFO CTS-0024] Normalized sink region: [(3.44519, 5.22941), (737.393, 737.881)].\r\n[INFO CTS-0025] Width: 733.9481.\r\n[INFO CTS-0026] Height: 732.6516.\r\n Level 1\r\n Direction: Horizontal\r\n Sinks per sub-region: 3735\r\n Sub-region size: 366.9740 X 732.6516\r\n[INFO CTS-0034] Segment length (rounded): 184.\r\n Level 2\r\n Direction: Vertical\r\n Sinks per sub-region: 1868\r\n Sub-region size: 366.9740 X 366.3258\r\n[INFO CTS-0034] Segment length (rounded): 184.\r\n Level 3\r\n Direction: Horizontal\r\n Sinks per sub-region: 934\r\n Sub-region size: 183.4870 X 366.3258\r\n[INFO CTS-0034] Segment length (rounded): 92.\r\n Level 4\r\n Direction: Vertical\r\n Sinks per sub-region: 467\r\n Sub-region size: 183.4870 X 183.1629\r\n[INFO CTS-0034] Segment length (rounded): 92.\r\n Level 5\r\n Direction: Horizontal\r\n Sinks per sub-region: 234\r\n Sub-region size: 91.7435 X 183.1629\r\n[INFO CTS-0034] Segment length (rounded): 46.\r\n Level 6\r\n Direction: Vertical\r\n Sinks per sub-region: 117\r\n Sub-region size: 91.7435 X 91.5814\r\n[INFO CTS-0034] Segment length (rounded): 46.\r\n Level 7\r\n Direction: Horizontal\r\n Sinks per sub-region: 59\r\n Sub-region size: 45.8718 X 91.5814\r\n[INFO CTS-0034] Segment length (rounded): 22.\r\n Level 8\r\n Direction: Vertical\r\n Sinks per sub-region: 30\r\n Sub-region size: 45.8718 X 45.7907\r\n[INFO CTS-0034] Segment length (rounded): 22.\r\n Level 9\r\n Direction: Horizontal\r\n Sinks per sub-region: 15\r\n Sub-region size: 22.9359 X 45.7907\r\n[INFO CTS-0034] Segment length (rounded): 12.\r\n Level 10\r\n Direction: Vertical\r\n Sinks per sub-region: 8\r\n Sub-region size: 22.9359 X 22.8954\r\n[INFO CTS-0034] Segment length (rounded): 12.\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 7469.\r\n[INFO CTS-0200] 11 placement blockages have been identified.\r\n[INFO CTS-0027] Generating H-Tree topology for net gated_clock_debug_clock_gate.out.\r\n[INFO CTS-0028] Total number of sinks: 1004.\r\n[INFO CTS-0090] Sinks will be clustered based on buffer max cap.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 1350 dbu (1 um).\r\n[INFO CTS-0021] Distance between buffers: 22 units (60 um).\r\n[INFO CTS-0206] Best clustering solution was found from clustering size of 10 and clustering diameter of 50.\r\n[INFO CTS-0019] Total number of sinks after clustering: 66.\r\n[INFO CTS-0024] Normalized sink region: [(214.407, 558.247), (344.047, 671.544)].\r\n[INFO CTS-0025] Width: 129.6397.\r\n[INFO CTS-0026] Height: 113.2978.\r\n Level 1\r\n Direction: Horizontal\r\n Sinks per sub-region: 33\r\n Sub-region size: 64.8198 X 113.2978\r\n[INFO CTS-0034] Segment length (rounded): 32.\r\n Level 2\r\n Direction: Vertical\r\n Sinks per sub-region: 17\r\n Sub-region size: 64.8198 X 56.6489\r\n[INFO CTS-0034] Segment length (rounded): 28.\r\n Level 3\r\n Direction: Horizontal\r\n Sinks per sub-region: 9\r\n Sub-region size: 32.4099 X 56.6489\r\n[INFO CTS-0034] Segment length (rounded): 16.\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 66.\r\n[INFO CTS-0200] 11 placement blockages have been identified.\r\n[INFO CTS-0027] Generating H-Tree topology for net serial_tl_0_clock.\r\n[INFO CTS-0028] Total number of sinks: 91.\r\n[INFO CTS-0090] Sinks will be clustered based on buffer max cap.\r\n[INFO CTS-0030] Number of static layers: 0.\r\n[INFO CTS-0020] Wire segment unit: 1350 dbu (1 um).\r\n[INFO CTS-0021] Distance between buffers: 22 units (60 um).\r\n[INFO CTS-0023] Original sink region: [(400828, 734578), (428047, 770758)].\r\n[INFO CTS-0024] Normalized sink region: [(296.91, 544.132), (317.072, 570.932)].\r\n[INFO CTS-0025] Width: 20.1622.\r\n[INFO CTS-0026] Height: 26.8000.\r\n Level 1\r\n Direction: Vertical\r\n Sinks per sub-region: 46\r\n Sub-region size: 20.1622 X 13.4000\r\n[INFO CTS-0034] Segment length (rounded): 6.\r\n Level 2\r\n Direction: Horizontal\r\n Sinks per sub-region: 23\r\n Sub-region size: 10.0811 X 13.4000\r\n[INFO CTS-0034] Segment length (rounded): 6.\r\n Level 3\r\n Direction: Vertical\r\n Sinks per sub-region: 12\r\n Sub-region size: 10.0811 X 6.7000\r\n[INFO CTS-0034] Segment length (rounded): 4.\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 91.\r\n[INFO CTS-0093] Fixing tree levels for max depth 23\r\nFixing from level 18 (parent=0 + current=18) to max 23 for driver clock_uncore\r\n[INFO CTS-0018] Created 46133 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 18.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 23.\r\n[INFO CTS-0015] Created 46133 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 1:2, 2:8, 3:31, 4:99, 5:110, 6:181, 7:204, 8:164, 9:138, 10:148, 11:113, 12:147, 13:286, 14:641, 15:1224, 16:1573, 17:1463, 18:1010, 19:587, 20:246, 21:96, 22:14, 23:5, 24:2..\r\n[INFO CTS-0017] Max level of the clock tree: 10.\r\n[INFO CTS-0018] Created 79 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 4.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 4.\r\n[INFO CTS-0015] Created 79 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 4:1, 5:1, 6:1, 7:4, 8:2, 9:2, 11:4, 12:2, 13:6, 14:4, 15:11, 16:10, 17:11, 18:9, 19:3, 20:3..\r\n[INFO CTS-0017] Max level of the clock tree: 3.\r\n[INFO CTS-0018] Created 9 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 2.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 2.\r\n[INFO CTS-0015] Created 9 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 5:1, 9:1, 10:2, 12:2, 15:1, 18:1..\r\n[INFO CTS-0017] Max level of the clock tree: 3.\r\n[INFO CTS-0098] Clock net \"clock_uncore\"\r\n[INFO CTS-0099] Sinks 120981\r\n[INFO CTS-0100] Leaf buffers 7467\r\n[INFO CTS-0101] Average sink wire length 1581.53 um\r\n[INFO CTS-0102] Path depth 18 - 23\r\n[INFO CTS-0098] Clock net \"gated_clock_debug_clock_gate.out\"\r\n[INFO CTS-0099] Sinks 1004\r\n[INFO CTS-0100] Leaf buffers 66\r\n[INFO CTS-0101] Average sink wire length 268.65 um\r\n[INFO CTS-0102] Path depth 4 - 4\r\n[INFO CTS-0098] Clock net \"serial_tl_0_clock\"\r\n[INFO CTS-0099] Sinks 91\r\n[INFO CTS-0100] Leaf buffers 0\r\n[INFO CTS-0101] Average sink wire length 761.43 um\r\n[INFO CTS-0102] Path depth 2 - 2\r\nWarning: There are 12 input ports missing set_input_delay.\r\nWarning: There are 8 output ports missing set_output_delay.\r\nWarning: There are 323 unclocked register/latch pins.\r\nWarning: There are 502 unconstrained endpoints.\r\n\r\n==========================================================================\r\ncts pre-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 401897 u^2 41% utilization.\r\n[INFO RSZ-0058] Using max wire length 162um.\r\n[INFO RSZ-0047] Found 13 long wires.\r\n[INFO RSZ-0048] Inserted 20 buffers in 13 nets.\r\nWarning: There are 12 input ports missing set_input_delay.\r\nWarning: There are 8 output ports missing set_output_delay.\r\nWarning: There are 323 unclocked register/latch pins.\r\nWarning: There are 502 unconstrained endpoints.\r\n\r\n==========================================================================\r\ncts post-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 401903 u^2 41% utilization.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 56175.3 u\r\naverage displacement 0.0 u\r\nmax displacement 86.6 u\r\noriginal HPWL 17631049.1 u\r\nlegalized HPWL 17817963.0 u\r\ndelta HPWL 1 %\r\n\r\nRepair setup and hold violations...\r\nTNS end percent 5\r\n[INFO RSZ-0046] Found 650 endpoints with hold violations.\r\n[INFO RSZ-0032] Inserted 940 hold buffers.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 352.1 u\r\naverage displacement 0.0 u\r\nmax displacement 37.1 u\r\noriginal HPWL 17820752.6 u\r\nlegalized HPWL 17821087.6 u\r\ndelta HPWL 0 %\r\n\r\nWarning: There are 12 input ports missing set_input_delay.\r\nWarning: There are 8 output ports missing set_output_delay.\r\nWarning: There are 323 unclocked register/latch pins.\r\nWarning: There are 502 unconstrained endpoints.\r\n\r\n==========================================================================\r\ncts final report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 401972 u^2 41% utilization.\r\nElapsed time: 1:20:19[h:]min:sec. CPU time: user 4814.64 sys 4.18 (99%). Peak memory: 10239348KB.\r\n```"}, {"user": "@maliberty Close? For my part the amount of output from CTS is reasonable. Output can always be improved everywhere, but no need for a github issue unless something is especially bad or there is a specific suggestion."}]} +{"num": 4335, "messages": [{"user": "### Description\r\n\r\nNever completes...\r\n\r\nTo reproduce(I suppose it should be possible to make a small text only based unit-test that reproduces the problem, but I don't know how to):\r\n\r\nunzip https://drive.google.com/file/d/1e2ebRJ80NInmfF3xhgY96wOHQsz_7Czc/view?usp=sharing\r\n\r\n```\r\n./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11160-g042cdcfa4 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ORD-0030] Using 16 thread(s).\r\nHierRTLMP Flow enabled...\r\nCall Macro Placer -halo_width 20 -report_directory bazel-bin/build//objects/asap7/BoomTile/base/rtlmp\r\nFloorplan Outline: (0.0, 0.0) (4740.732, 4740.732), Core Outline: (2.052, 2.16) (4738.716, 4738.5)\r\nTraversed logical hierarchy\r\n\tNumber of std cell instances: 2130872\r\n\tArea of std cell instances: 273473.66\r\n\tNumber of macros: 7\r\n\tArea of macros: 4194455.50\r\n\tArea of macros with halos: 4534412.00\r\n\tArea of std cell instances + Area of macros: 4467929.00\r\n\tCore area: 22434450.00\r\n\tDesign Utilization: 0.20\r\n\tCore Utilization: 0.01\r\n\tManufacturing Grid: 1\r\n\r\n[INFO MPL-0024] [Multilevel Autoclustering] Creating clustered netlist.\r\n[INFO MPL-0039] [Coarse Shaping] Determining shape functions for clusters.\r\n[INFO MPL-0028] [Hierarchical Macro Placement] Placing clusters and macros.\r\n[no further output]\r\n```\r\n\r\n### Suggested Solution\r\n\r\nActionable error message if it is hopeless(what is wrong and what needs to be fixed) or complete in a practical amount of time. At most hours, not days.\r\n\r\nAlso, it would be great to have a write_macro_placement command so as to store the macro placement. Once the floorplan has been identified, there may not be a reason and also reasons not to recompute it. Also writing out the macro placement allows for manual tinkering afterewards.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe Write macro placement was already implemented in #4120. It was reported in #4068.\r\n\r\nI'm updating Makefile options in ORFS PR #[1680](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1680) with -write_macro_placement included."}, {"user": "> @oharboe Write macro placement was already implemented in #4120. It was reported in #4068.\r\n> \r\n> I'm updating Makefile options in ORFS PR #[1680](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1680) with -write_macro_placement included.\r\n\r\nAh yes, I recall now, thanks!\r\n\r\n\r\nThis issue is really about running times of rtlmp."}, {"assistant": "How are you running synthesis? I'm surprised to see modules as small as\r\n```\r\n[DEBUG MPL-multilevel_autoclustering] Setting Cluster Metrics for core/ftq_arb: Num Macros: 0 Num Std Cells: 27\r\n```"}, {"assistant": "Are you setting\r\nexport SYNTH_HIERARCHICAL = 1\r\nexport RTLMP_FLOW = True\r\n?"}, {"user": "> Are you setting export SYNTH_HIERARCHICAL = 1 export RTLMP_FLOW = True ?\r\n\r\nYes, core/ftq_arb Verilog:\r\n\r\n```verilog\r\nmodule Arbiter_19(\r\n input io_in_0_valid,\r\n input [5:0] io_in_0_bits,\r\n input io_in_1_valid,\r\n input [5:0] io_in_1_bits,\r\n io_in_2_bits,\r\n output io_in_2_ready,\r\n output [5:0] io_out_bits\r\n);\r\n\r\n assign io_in_2_ready = ~(io_in_0_valid | io_in_1_valid);\r\n assign io_out_bits = io_in_0_valid ? io_in_0_bits : io_in_1_valid ? io_in_1_bits : io_in_2_bits;\r\nendmodule\r\n```\r\n"}, {"assistant": "I see things have become inconsistent around MAX_UNGROUP_SIZE \r\n```\r\n./designs/asap7/riscv32i/config.mk\\013:export MAX_UNGROUP_SIZE ?= 1000\r\n./designs/gf12/ariane/config.mk\\05:export MAX_UNGROUP_SIZE ?= 10000\r\n./designs/gf12/swerv_wrapper/config.mk\\04:export MAX_UNGROUP_SIZE ?= 10000\r\n./designs/gf12/tinyRocket/config.mk\\06:export MAX_UNGROUP_SIZE ?= 1000\r\n./designs/gf12/bp_quad/config.mk\\07:export MAX_UNGROUP_SIZE ?= 1000\r\n./designs/gf12/ariane133/config.mk\\06:export MAX_UNGROUP_SIZE ?= 10000\r\n./designs/nangate45/tinyRocket/config.mk\\06:export MAX_UNGROUP_SIZE ?= 5000\r\n./designs/tsmc65lp/tinyRocket/config.mk\\06:export MAX_UNGROUP_SIZE ?= 5000\r\n./designs/sky130hd_fakestack/ariane136/config.mk\\06:export MAX_UNGROUP_SIZE = 10000\r\n./platforms/nangate45/config.mk\\025:export MAX_UNGROUP_SIZE ?= 10000\r\n./scripts/synth_hier_report.tcl\\031: if { [info exist ::env(MAX_UNGROUP_SIZE)] && $::env(MAX_UNGROUP_SIZE) > 0 } {\r\n./scripts/synth_hier_report.tcl\\032: set ungroup_threshold $::env(MAX_UNGROUP_SIZE)\r\n./Makefile\\0185:export MAX_UNGROUP_SIZE ?= 0\r\n```\r\nSo in asap7 (and all non-ng45) it is defaulting to 0 which isn't great as every small module will be kept. This will need some sorting out."}, {"assistant": "@oharboe FYI Even though #4360 solves the problem here, I think is important to comment that for this specific design we end up exhausting the mechanism that tries to estimate the best position for both macros and std cells in mpl2. As consequence, we use a more extreme mechanism to do so and this can deteriorate QoR.\r\n\r\nWithin this context, _I think #4354 can have a significant impact for us to get better results out of this type of situation._\r\n\r\n_The same applies for #4206._"}]} +{"num": 4336, "messages": [{"user": "### Description\n\nImportant and actionable information is drowning.\r\n\r\n```\r\nOpenROAD v2.0-11160-g042cdcfa4 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ORD-0030] Using 48 thread(s).\r\nHierRTLMP Flow enabled...\r\nCall Macro Placer -halo_width 10 -report_directory bazel-out/k8-fastbuild/bin//build/objects/asap7/BoomNonBlockingDCache/base/rtlmp\r\nFloorplan Outline: (0.0, 0.0) (819.254, 819.254), Core Outline: (2.052, 2.16) (817.236, 817.02)\r\nTraversed logical hierarchy\r\n\tNumber of std cell instances: 224815\r\n\tArea of std cell instances: 29672.67\r\n\tNumber of macros: 32\r\n\tArea of macros: 366936.91\r\n\tArea of macros with halos: 516805.75\r\n\tArea of std cell instances + Area of macros: 396609.56\r\n\tCore area: 664260.88\r\n\tDesign Utilization: 0.60\r\n\tCore Utilization: 0.10\r\n\tManufacturing Grid: 1\r\n\r\n[INFO MPL-0024] [Multilevel Autoclustering] Creating clustered netlist.\r\n[WARNING PAR-0352] no base balance is specified. Use default value.\r\n[WARNING PAR-0353] no scale factor is specified. Use default value.\r\n[WARNING PAR-0139] No hyperedge weighting is specified. Use default value of 1.\r\n[INFO PAR-0077] hyperedge weight factor : [ 1.000000 ]\r\n[WARNING PAR-0141] No vertex weighting is specified. Use default value of 1.\r\n[INFO PAR-0078] vertex weight factor : [ 1.000000 ]\r\n[INFO PAR-0079] placement weight factor : [ ]\r\n[INFO PAR-0080] net_timing_factor : 1.0\r\n[INFO PAR-0081] path_timing_factor : 1.0\r\n[INFO PAR-0082] path_snaking_factor : 1.0\r\n[INFO PAR-0083] timing_exp_factor : 2.0\r\n[INFO PAR-0084] coarsen order : RANDOM\r\n[INFO PAR-0085] thr_coarsen_hyperedge_size_skip : 50\r\n[INFO PAR-0086] thr_coarsen_vertices : 200\r\n[INFO PAR-0087] thr_coarsen_hyperedges : 50\r\n[INFO PAR-0088] coarsening_ratio : 1.5\r\n[INFO PAR-0089] max_coarsen_iters : 20\r\n[INFO PAR-0090] adj_diff_ratio : 0.0001\r\n[INFO PAR-0091] min_num_vertcies_each_part : 4\r\n[INFO PAR-0092] num_initial_solutions : 50\r\n[INFO PAR-0093] num_best_initial_solutions : 10\r\n[INFO PAR-0094] refine_iters : 2\r\n[INFO PAR-0095] max_moves (FM or greedy refinement) : 50\r\n[INFO PAR-0096] early_stop_ratio : 0.5\r\n[INFO PAR-0097] total_corking_passes : 25\r\n[INFO PAR-0098] v_cycle_flag : true\r\n[INFO PAR-0099] max_num_vcycle : 5\r\n[INFO PAR-0100] num_coarsen_solutions : 3\r\n[INFO PAR-0101] num_vertices_threshold_ilp : 50\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12898\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8625\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5777\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2606\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1762\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1197\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 816\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 558\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 360\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 196\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.050531166 seconds\r\n======================================================================\r\n[STATUS] Initial Partitioning \r\n======================================================================\r\n[INFO PAR-0163] Set the max_move to 182\r\n[INIT-PART] 0 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 1 :: Random part cutcost = 135.0, balance_flag = true\r\n[INIT-PART] 2 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 3 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 4 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 5 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 6 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 7 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 8 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 9 :: Random part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 10 :: Random part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 11 :: Random part cutcost = 133.0, balance_flag = true\r\n[INIT-PART] 12 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 13 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 14 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 15 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 16 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 17 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 18 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 19 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 20 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 21 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 22 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 23 :: Random part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 24 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 25 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 26 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 27 :: Random part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 28 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 29 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 30 :: Random part cutcost = 134.0, balance_flag = true\r\n[INIT-PART] 31 :: Random part cutcost = 138.0, balance_flag = false\r\n[INIT-PART] 32 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 33 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 34 :: Random part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 35 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 36 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 37 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 38 :: Random part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 39 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 40 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 41 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 42 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 43 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 44 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 45 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 46 :: Random part cutcost = 128.0, balance_flag = false\r\n[INIT-PART] 47 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 48 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 49 :: Random part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 0 :: Random VILE part cutcost = 124.0, balance_flag = false\r\n[INIT-PART] 1 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 2 :: Random VILE part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 3 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 4 :: Random VILE part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 5 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 6 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 7 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 8 :: Random VILE part cutcost = 134.0, balance_flag = true\r\n[INIT-PART] 9 :: Random VILE part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 10 :: Random VILE part cutcost = 119.0, balance_flag = false\r\n[INIT-PART] 11 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 12 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 13 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 14 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 15 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 16 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 17 :: Random VILE part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 18 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 19 :: Random VILE part cutcost = 134.0, balance_flag = false\r\n[INIT-PART] 20 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 21 :: Random VILE part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 22 :: Random VILE part cutcost = 135.0, balance_flag = false\r\n[INIT-PART] 23 :: Random VILE part cutcost = 121.0, balance_flag = false\r\n[INIT-PART] 24 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 25 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 26 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 27 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 28 :: Random VILE part cutcost = 135.0, balance_flag = true\r\n[INIT-PART] 29 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 30 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 31 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 32 :: Random VILE part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 33 :: Random VILE part cutcost = 130.0, balance_flag = true\r\n[INIT-PART] 34 :: Random VILE part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 35 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 36 :: Random VILE part cutcost = 135.0, balance_flag = false\r\n[INIT-PART] 37 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 38 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 39 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 40 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 41 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 42 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 43 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 44 :: Random VILE part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 45 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 46 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 47 :: Random VILE part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 48 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 49 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INFO PAR-0165] Reset the max_move to 50\r\n[INFO PAR-0166] Reset the refiner_iters to 2\r\n[INIT-PART] :: VILE part cutcost = 126.0, balance_flag = false\r\n[INFO PAR-0155] Number of chosen best initial solutions = 10\r\n[INFO PAR-0156] Best initial cutcost 130.0\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 196, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 360, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 558, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 816, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1197, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1762, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2606, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5777, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8625, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12898, cutcost = 129.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 2\r\n[INFO PAR-0157] Cut-Overlay Clustering : num_vertices = 189, num_hyperedges = 134\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 189, num_hyperedges = 134\r\n[COARSEN] Level 1 :: num_vertices = 177, num_hyperedges = 114\r\n[INFO PAR-0001] Hierarchical coarsening time 7.9962e-05 seconds\r\n[Refinement] Level 1 :: num_vertices = 189, num_hyperedges = 134, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0158] Statistics of cut-overlay solution:\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8635\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5780\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3878\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2609\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1760\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1196\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 817\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 559\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 355\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 189\r\n[COARSEN] Level 12 :: num_vertices = 184, num_hyperedges = 121\r\n[INFO PAR-0001] Hierarchical coarsening time 0.053340805000000005 seconds\r\n======================================================================\r\n[STATUS] Initial Partitioning \r\n======================================================================\r\n[INFO PAR-0163] Set the max_move to 184\r\n[INIT-PART] 0 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 1 :: Random part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 2 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 3 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 4 :: Random part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 5 :: Random part cutcost = 145.0, balance_flag = true\r\n[INIT-PART] 6 :: Random part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 7 :: Random part cutcost = 146.0, balance_flag = true\r\n[INIT-PART] 8 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 9 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 10 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 11 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 12 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 13 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 14 :: Random part cutcost = 136.0, balance_flag = false\r\n[INIT-PART] 15 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 16 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 17 :: Random part cutcost = 148.0, balance_flag = true\r\n[INIT-PART] 18 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 19 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 20 :: Random part cutcost = 145.0, balance_flag = true\r\n[INIT-PART] 21 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 22 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 23 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 24 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 25 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 26 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 27 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 28 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 29 :: Random part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 30 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 31 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 32 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 33 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 34 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 35 :: Random part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 36 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 37 :: Random part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 38 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 39 :: Random part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 40 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 41 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 42 :: Random part cutcost = 145.0, balance_flag = true\r\n[INIT-PART] 43 :: Random part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 44 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 45 :: Random part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 46 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 47 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 48 :: Random part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 49 :: Random part cutcost = 112.0, balance_flag = false\r\n[INIT-PART] 0 :: Random VILE part cutcost = 148.0, balance_flag = true\r\n[INIT-PART] 1 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 2 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 3 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 4 :: Random VILE part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 5 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 6 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 7 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 8 :: Random VILE part cutcost = 134.0, balance_flag = false\r\n[INIT-PART] 9 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 10 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 11 :: Random VILE part cutcost = 134.0, balance_flag = true\r\n[INIT-PART] 12 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 13 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 14 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 15 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 16 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 17 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 18 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 19 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 20 :: Random VILE part cutcost = 145.0, balance_flag = true\r\n[INIT-PART] 21 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 22 :: Random VILE part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 23 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 24 :: Random VILE part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 25 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 26 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 27 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 28 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 29 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 30 :: Random VILE part cutcost = 135.0, balance_flag = true\r\n[INIT-PART] 31 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 32 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 33 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 34 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 35 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 36 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 37 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 38 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 39 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 40 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 41 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 42 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 43 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 44 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 45 :: Random VILE part cutcost = 146.0, balance_flag = true\r\n[INIT-PART] 46 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 47 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 48 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 49 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INFO PAR-0165] Reset the max_move to 50\r\n[INFO PAR-0166] Reset the refiner_iters to 2\r\n[INIT-PART] :: VILE part cutcost = 127.0, balance_flag = false\r\n[INFO PAR-0155] Number of chosen best initial solutions = 10\r\n[INFO PAR-0156] Best initial cutcost 134.0\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 189, cutcost = 134.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 355, cutcost = 134.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 559, cutcost = 131.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 817, cutcost = 130.0, best_solution_id = 1\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1196, cutcost = 130.0, best_solution_id = 1\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1760, cutcost = 130.0, best_solution_id = 1\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2609, cutcost = 130.0, best_solution_id = 1\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3878, cutcost = 130.0, best_solution_id = 1\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5780, cutcost = 130.0, best_solution_id = 1\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8635, cutcost = 130.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 129.0, best_solution_id = 1\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 3\r\n[INFO PAR-0157] Cut-Overlay Clustering : num_vertices = 187, num_hyperedges = 132\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 187, num_hyperedges = 132\r\n[COARSEN] Level 1 :: num_vertices = 176, num_hyperedges = 113\r\n[INFO PAR-0001] Hierarchical coarsening time 7.992200000000001e-05 seconds\r\n[Refinement] Level 1 :: num_vertices = 187, num_hyperedges = 132, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0158] Statistics of cut-overlay solution:\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5779\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3877\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2609\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1764\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1196\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 820\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 562\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 359\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 197\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.056474139000000007 seconds\r\n======================================================================\r\n[STATUS] Initial Partitioning \r\n======================================================================\r\n[INFO PAR-0163] Set the max_move to 182\r\n[INIT-PART] 0 :: Random part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 1 :: Random part cutcost = 129.0, balance_flag = false\r\n[INIT-PART] 2 :: Random part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 3 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 4 :: Random part cutcost = 148.0, balance_flag = true\r\n[INIT-PART] 5 :: Random part cutcost = 148.0, balance_flag = true\r\n[INIT-PART] 6 :: Random part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 7 :: Random part cutcost = 150.0, balance_flag = true\r\n[INIT-PART] 8 :: Random part cutcost = 150.0, balance_flag = true\r\n[INIT-PART] 9 :: Random part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 10 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 11 :: Random part cutcost = 118.0, balance_flag = false\r\n[INIT-PART] 12 :: Random part cutcost = 149.0, balance_flag = true\r\n[INIT-PART] 13 :: Random part cutcost = 154.0, balance_flag = true\r\n[INIT-PART] 14 :: Random part cutcost = 125.0, balance_flag = false\r\n[INIT-PART] 15 :: Random part cutcost = 152.0, balance_flag = true\r\n[INIT-PART] 16 :: Random part cutcost = 152.0, balance_flag = true\r\n[INIT-PART] 17 :: Random part cutcost = 152.0, balance_flag = true\r\n[INIT-PART] 18 :: Random part cutcost = 147.0, balance_flag = true\r\n[INIT-PART] 19 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 20 :: Random part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 21 :: Random part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 22 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 23 :: Random part cutcost = 152.0, balance_flag = true\r\n[INIT-PART] 24 :: Random part cutcost = 152.0, balance_flag = true\r\n[INIT-PART] 25 :: Random part cutcost = 151.0, balance_flag = true\r\n[INIT-PART] 26 :: Random part cutcost = 153.0, balance_flag = true\r\n[INIT-PART] 27 :: Random part cutcost = 147.0, balance_flag = true\r\n[INIT-PART] 28 :: Random part cutcost = 145.0, balance_flag = true\r\n[INIT-PART] 29 :: Random part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 30 :: Random part cutcost = 149.0, balance_flag = true\r\n[INIT-PART] 31 :: Random part cutcost = 150.0, balance_flag = true\r\n[INIT-PART] 32 :: Random part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 33 :: Random part cutcost = 145.0, balance_flag = true\r\n[INIT-PART] 34 :: Random part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 35 :: Random part cutcost = 116.0, balance_flag = false\r\n[INIT-PART] 36 :: Random part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 37 :: Random part cutcost = 150.0, balance_flag = true\r\n[INIT-PART] 38 :: Random part cutcost = 145.0, balance_flag = true\r\n[INIT-PART] 39 :: Random part cutcost = 147.0, balance_flag = true\r\n[INIT-PART] 40 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 41 :: Random part cutcost = 136.0, balance_flag = false\r\n[INIT-PART] 42 :: Random part cutcost = 147.0, balance_flag = true\r\n[INIT-PART] 43 :: Random part cutcost = 137.0, balance_flag = false\r\n[INIT-PART] 44 :: Random part cutcost = 148.0, balance_flag = true\r\n[INIT-PART] 45 :: Random part cutcost = 155.0, balance_flag = true\r\n[INIT-PART] 46 :: Random part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 47 :: Random part cutcost = 148.0, balance_flag = true\r\n[INIT-PART] 48 :: Random part cutcost = 153.0, balance_flag = true\r\n[INIT-PART] 49 :: Random part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 0 :: Random VILE part cutcost = 134.0, balance_flag = true\r\n[INIT-PART] 1 :: Random VILE part cutcost = 155.0, balance_flag = true\r\n[INIT-PART] 2 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 3 :: Random VILE part cutcost = 136.0, balance_flag = true\r\n[INIT-PART] 4 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 5 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 6 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 7 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 8 :: Random VILE part cutcost = 151.0, balance_flag = true\r\n[INIT-PART] 9 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 10 :: Random VILE part cutcost = 149.0, balance_flag = true\r\n[INIT-PART] 11 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 12 :: Random VILE part cutcost = 155.0, balance_flag = true\r\n[INIT-PART] 13 :: Random VILE part cutcost = 137.0, balance_flag = true\r\n[INIT-PART] 14 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 15 :: Random VILE part cutcost = 149.0, balance_flag = true\r\n[INIT-PART] 16 :: Random VILE part cutcost = 149.0, balance_flag = true\r\n[INIT-PART] 17 :: Random VILE part cutcost = 123.0, balance_flag = false\r\n[INIT-PART] 18 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 19 :: Random VILE part cutcost = 153.0, balance_flag = true\r\n[INIT-PART] 20 :: Random VILE part cutcost = 113.0, balance_flag = false\r\n[INIT-PART] 21 :: Random VILE part cutcost = 140.0, balance_flag = true\r\n[INIT-PART] 22 :: Random VILE part cutcost = 151.0, balance_flag = true\r\n[INIT-PART] 23 :: Random VILE part cutcost = 137.0, balance_flag = false\r\n[INIT-PART] 24 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 25 :: Random VILE part cutcost = 153.0, balance_flag = true\r\n[INIT-PART] 26 :: Random VILE part cutcost = 147.0, balance_flag = true\r\n[INIT-PART] 27 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 28 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 29 :: Random VILE part cutcost = 139.0, balance_flag = true\r\n[INIT-PART] 30 :: Random VILE part cutcost = 151.0, balance_flag = true\r\n[INIT-PART] 31 :: Random VILE part cutcost = 150.0, balance_flag = true\r\n[INIT-PART] 32 :: Random VILE part cutcost = 150.0, balance_flag = true\r\n[INIT-PART] 33 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 34 :: Random VILE part cutcost = 115.0, balance_flag = false\r\n[INIT-PART] 35 :: Random VILE part cutcost = 136.0, balance_flag = false\r\n[INIT-PART] 36 :: Random VILE part cutcost = 141.0, balance_flag = true\r\n[INIT-PART] 37 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 38 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INIT-PART] 39 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 40 :: Random VILE part cutcost = 138.0, balance_flag = true\r\n[INIT-PART] 41 :: Random VILE part cutcost = 143.0, balance_flag = true\r\n[INIT-PART] 42 :: Random VILE part cutcost = 134.0, balance_flag = false\r\n[INIT-PART] 43 :: Random VILE part cutcost = 151.0, balance_flag = true\r\n[INIT-PART] 44 :: Random VILE part cutcost = 147.0, balance_flag = true\r\n[INIT-PART] 45 :: Random VILE part cutcost = 149.0, balance_flag = true\r\n[INIT-PART] 46 :: Random VILE part cutcost = 146.0, balance_flag = true\r\n[INIT-PART] 47 :: Random VILE part cutcost = 144.0, balance_flag = true\r\n[INIT-PART] 48 :: Random VILE part cutcost = 149.0, balance_flag = true\r\n[INIT-PART] 49 :: Random VILE part cutcost = 142.0, balance_flag = true\r\n[INFO PAR-0165] Reset the max_move to 50\r\n[INFO PAR-0166] Reset the refiner_iters to 2\r\n[INIT-PART] :: VILE part cutcost = 135.0, balance_flag = false\r\n[INFO PAR-0155] Number of chosen best initial solutions = 10\r\n[INFO PAR-0156] Best initial cutcost 134.0\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 197, cutcost = 134.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 359, cutcost = 132.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 562, cutcost = 132.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 820, cutcost = 132.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1196, cutcost = 130.0, best_solution_id = 3\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1764, cutcost = 130.0, best_solution_id = 3\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2609, cutcost = 130.0, best_solution_id = 3\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3877, cutcost = 130.0, best_solution_id = 3\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5779, cutcost = 130.0, best_solution_id = 3\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 130.0, best_solution_id = 3\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 129.0, best_solution_id = 3\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0157] Cut-Overlay Clustering : num_vertices = 184, num_hyperedges = 124\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 184, num_hyperedges = 124\r\n[COARSEN] Level 1 :: num_vertices = 177, num_hyperedges = 114\r\n[INFO PAR-0001] Hierarchical coarsening time 9.781500000000001e-05 seconds\r\n[Refinement] Level 1 :: num_vertices = 184, num_hyperedges = 124, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0158] Statistics of cut-overlay solution:\r\n[INFO PAR-0151] Finish Candidate Solutions Generation\r\n[INFO PAR-0157] Cut-Overlay Clustering : num_vertices = 171, num_hyperedges = 108\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 171, num_hyperedges = 108\r\n[INFO PAR-0001] Hierarchical coarsening time 7.6174e-05 seconds\r\n[INFO PAR-0158] Statistics of cut-overlay solution:\r\n[INFO PAR-0152] Finish Cut-Overlay Clustering and Optimal Partitioning\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.053379037000000004 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 0, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.05330174 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 1, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.053481684 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 2, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.053109616000000005 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 3, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.053158991 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 4, cutcost = 126.0\r\n[INFO PAR-0157] Cut-Overlay Clustering : num_vertices = 126, num_hyperedges = 63\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 126, num_hyperedges = 63\r\n[INFO PAR-0001] Hierarchical coarsening time 4.7751000000000004e-05 seconds\r\n[INFO PAR-0158] Statistics of cut-overlay solution:\r\n[INFO PAR-0153] Finish Vcycle Refinement\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.057450104 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 0, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.08780374 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 1, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.084883339 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 2, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.085644758 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 3, cutcost = 126.0\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 19272, num_hyperedges = 19309\r\n[COARSEN] Level 1 :: num_vertices = 12848, num_hyperedges = 12906\r\n[COARSEN] Level 2 :: num_vertices = 8565, num_hyperedges = 8631\r\n[COARSEN] Level 3 :: num_vertices = 5710, num_hyperedges = 5778\r\n[COARSEN] Level 4 :: num_vertices = 3806, num_hyperedges = 3875\r\n[COARSEN] Level 5 :: num_vertices = 2537, num_hyperedges = 2607\r\n[COARSEN] Level 6 :: num_vertices = 1691, num_hyperedges = 1761\r\n[COARSEN] Level 7 :: num_vertices = 1127, num_hyperedges = 1194\r\n[COARSEN] Level 8 :: num_vertices = 751, num_hyperedges = 815\r\n[COARSEN] Level 9 :: num_vertices = 500, num_hyperedges = 552\r\n[COARSEN] Level 10 :: num_vertices = 333, num_hyperedges = 358\r\n[COARSEN] Level 11 :: num_vertices = 222, num_hyperedges = 192\r\n[COARSEN] Level 12 :: num_vertices = 182, num_hyperedges = 119\r\n[INFO PAR-0001] Hierarchical coarsening time 0.08695061800000001 seconds\r\n[Refinement] Level 1 :: num_vertices = 222, num_hyperedges = 192, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 2 :: num_vertices = 333, num_hyperedges = 358, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 3 :: num_vertices = 500, num_hyperedges = 552, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 4 :: num_vertices = 751, num_hyperedges = 815, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 5 :: num_vertices = 1127, num_hyperedges = 1194, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 6 :: num_vertices = 1691, num_hyperedges = 1761, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 7 :: num_vertices = 2537, num_hyperedges = 2607, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 8 :: num_vertices = 3806, num_hyperedges = 3875, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 9 :: num_vertices = 5710, num_hyperedges = 5778, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 10 :: num_vertices = 8565, num_hyperedges = 8631, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 11 :: num_vertices = 12848, num_hyperedges = 12906, cutcost = 126.0, best_solution_id = 0\r\n[Refinement] Level 12 :: num_vertices = 19272, num_hyperedges = 19309, cutcost = 126.0, best_solution_id = 0\r\n[INFO PAR-0154] [V-cycle Refinement] num_cycles = 4, cutcost = 126.0\r\n[INFO PAR-0157] Cut-Overlay Clustering : num_vertices = 126, num_hyperedges = 63\r\n=========================================\r\n[STATUS] Running FC multilevel coarsening\r\n=========================================\r\n[COARSEN] Level 0 :: num_vertices = 126, num_hyperedges = 63\r\n[INFO PAR-0001] Hierarchical coarsening time 8.0182e-05 seconds\r\n[INFO PAR-0158] Statistics of cut-overlay solution:\r\n[Cutcost of partition : 126.0]\r\n[Vertex balance of block_0 : 0.50875 ( 1305.70264 ) \r\n[Vertex balance of block_1 : 0.49129 ( 1260.90308 ) \r\nSatisfy the balance constraint : true\r\nSatisfy the group constraint : true\r\nSatisfy the fixed vertices constraint : true\r\n[INFO PAR-0109] The runtime of multi-level partitioner : 4.374240426 seconds\r\n[INFO MPL-0039] [Coarse Shaping] Determining shape functions for clusters.\r\n[INFO MPL-0028] [Hierarchical Macro Placement] Placing clusters and macros.\r\n```\r\n\n\n### Suggested Solution\n\nMove more output to debug output.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe\r\nFYI this output is not from HierRTLMP itself, it's from a distinct tool used by mpl2 to perform some operations.\r\n\r\n@maliberty \r\nTritonpart verbosity.. How to proceed here?"}, {"assistant": "@AcKoucher please make any changes in par that are needed. It has not had any attention since it was contributed."}]} +{"num": 4340, "messages": [{"user": "### Describe the bug\r\n\r\nHello,\r\n\r\nI have a design which has a known quantity of cells and that I want to use to build bigger and bigger \"dummy\" designs to perform some tests. To avoid a (rightful) optimization during synthesis I've set `SYNTHESIS_HIERARCHICAL = 1` to allow having multiple time the same design in a top-level module. The problem I have at hand is that, during PnR with OpenROAD and a pin access check, I have a pin that is said \"not visited\" and then a \"Guide not connected to design\" error is raised, stopping the flow....\r\n\r\n### Expected Behavior\r\n\r\nHierarchical design routed without issue\r\n\r\n### Environment\r\n\r\n```shell\r\nI unfortunately cannot give the exact output as I do not have access to the machine on which I work for this script, but the version installed is the one from PR #4319\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[TestCase.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13551104/TestCase.zip)\r\n\r\nHere is a test case, uncompress the file into OpenROAD-flow-scripts/tools/OpenROAD/test/, enter the TestCase directory and use `bash run_adder_cst_pd.sh` to reproduce the issue\r\n\r\n### Relevant log output\r\n\r\n```shell\r\n#--------------------------------------------------------------------#\r\n# ROUTING #\r\n#--------------------------------------------------------------------# \r\n# Step 1 : Global routing\r\n#-----------------------------------------------------\r\npin_access -bottom_routing_layer $min_routing_layer \\\r\n -top_routing_layer $max_routing_layer\r\nset_global_routing_layer_adjustment $min_routing_layer-$max_routing_layer 0.3\r\nset_routing_layers -signal $min_routing_layer-$max_routing_layer\r\nset route_guide [make_result_file ${design}_${platform}.route_guide]\r\nglobal_route -guide_file $route_guide \\\r\n -congestion_iterations 100 \\\r\n -allow_congestion\r\nset_propagated_clock [all_clocks]\r\nestimate_parasitics -global_routing\r\n# Check and repair antenna\r\n#-----------------------------------------------------\r\ncheck_antennas\r\n# Filler placement \r\n#-----------------------------------------------------\r\nfiller_placement $filler_cells\r\ncheck_placement -verbose\r\n# Step 2 : DETAILLED ROUTING\r\n#------------------------------------------------------\r\npin_access -bottom_routing_layer $min_routing_layer \\\r\n -top_routing_layer $max_routing_layer\r\nset_thread_count [exec getconf _NPROCESSORS_ONLN]\r\ndetailed_route -output_drc [make_result_file \"${design}_${platform}_route_drc.rpt\"] \\\r\n -output_maze [make_result_file \"${design}_${platform}_maze.log\"] \\\r\n -no_pin_access \\\r\n -save_guide_updates \\\r\n -bottom_routing_layer $min_routing_layer \\\r\n -top_routing_layer $max_routing_layer \\\r\n -verbose 1 \r\nwrite_guides [make_result_file \"${design}_${platform}_output_guide.mod\"]\r\nset drv_count [detailed_route_num_drvs]\r\nutl::metric \"DRT::drv\" $drv_count\r\ncheck_antennas\r\nutl::metric \"DRT::ANT::errors\" [ant::antenna_violation_count]\r\nset_propagated_clock [all_clocks]\r\n\r\n[INFO DRT-0149] Reading tech and libs.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n\r\nUnits: 1000\r\nNumber of layers: 21\r\nNumber of macros: 212\r\nNumber of vias: 9\r\nNumber of viarulegen: 11\r\n\r\n[WARNING DRT-0204] Cut layer V0 has no default via defined.\r\n[WARNING DRT-0204] Cut layer V0 has no default via defined.\r\n.\r\n.\r\n.\r\n.\r\n[WARNING DRT-0204] Cut layer V0 has no default via defined.\r\n[WARNING DRT-0204] message limit reached, this message will no longer print\r\n[INFO DRT-0150] Reading design.\r\n\r\nDesign: micro_adder\r\nDie area: ( 0 0 ) ( 103679 103679 )\r\nNumber of track patterns: 32\r\nNumber of DEF vias: 0\r\nNumber of components: 336794\r\nNumber of terminals: 3072\r\nNumber of snets: 2\r\nNumber of nets: 71838\r\n\r\n[WARNING DRT-0240] CUT layer V3 does not have square single-cut via, cut layer width may be set incorrectly.\r\n[WARNING DRT-0240] CUT layer V5 does not have square single-cut via, cut layer width may be set incorrectly.\r\n[INFO DRT-0167] List of default vias:\r\n Layer V2\r\n default via: VIA23\r\n Layer V3\r\n default via: VIA34\r\n Layer V4\r\n default via: VIA45\r\n Layer V5\r\n default via: VIA56\r\n Layer V6\r\n default via: VIA67\r\n Layer V7\r\n default via: VIA78\r\n Layer V8\r\n default via: VIA89\r\n Layer V9\r\n default via: VIA9Pad\r\n[INFO DRT-0162] Library cell analysis.\r\n[INFO DRT-0163] Instance analysis.\r\n Complete 10000 instances.\r\n Complete 20000 instances.\r\n Complete 30000 instances.\r\n Complete 40000 instances.\r\n Complete 50000 instances.\r\n Complete 60000 instances.\r\n Complete 70000 instances.\r\n Complete 80000 instances.\r\n Complete 90000 instances.\r\n Complete 100000 instances.\r\n Complete 200000 instances.\r\n Complete 300000 instances.\r\n[INFO DRT-0164] Number of unique instances = 147.\r\n[INFO DRT-0168] Init region query.\r\n[INFO DRT-0018] Complete 10000 insts.\r\n[INFO DRT-0018] Complete 20000 insts.\r\n[INFO DRT-0018] Complete 30000 insts.\r\n[INFO DRT-0018] Complete 40000 insts.\r\n[INFO DRT-0018] Complete 50000 insts.\r\n[INFO DRT-0018] Complete 60000 insts.\r\n[INFO DRT-0018] Complete 70000 insts.\r\n[INFO DRT-0018] Complete 80000 insts.\r\n[INFO DRT-0018] Complete 90000 insts.\r\n[INFO DRT-0019] Complete 100000 insts.\r\n[INFO DRT-0019] Complete 200000 insts.\r\n[INFO DRT-0019] Complete 300000 insts.\r\n[INFO DRT-0024] Complete Active.\r\n[INFO DRT-0024] Complete V0.\r\n[INFO DRT-0024] Complete M1.\r\n[INFO DRT-0024] Complete V1.\r\n[INFO DRT-0024] Complete M2.\r\n[INFO DRT-0024] Complete V2.\r\n[INFO DRT-0024] Complete M3.\r\n[INFO DRT-0024] Complete V3.\r\n[INFO DRT-0024] Complete M4.\r\n[INFO DRT-0024] Complete V4.\r\n[INFO DRT-0024] Complete M5.\r\n[INFO DRT-0024] Complete V5.\r\n[INFO DRT-0024] Complete M6.\r\n[INFO DRT-0024] Complete V6.\r\n[INFO DRT-0024] Complete M7.\r\n[INFO DRT-0024] Complete V7.\r\n[INFO DRT-0024] Complete M8.\r\n[INFO DRT-0024] Complete V8.\r\n[INFO DRT-0024] Complete M9.\r\n[INFO DRT-0024] Complete V9.\r\n[INFO DRT-0024] Complete Pad.\r\n[INFO DRT-0033] Active shape region query size = 0.\r\n[INFO DRT-0033] V0 shape region query size = 0.\r\n[INFO DRT-0033] M1 shape region query size = 1490772.\r\n[INFO DRT-0033] V1 shape region query size = 1120591.\r\n[INFO DRT-0033] M2 shape region query size = 31413.\r\n[INFO DRT-0033] V2 shape region query size = 10179.\r\n[INFO DRT-0033] M3 shape region query size = 20358.\r\n[INFO DRT-0033] V3 shape region query size = 6786.\r\n[INFO DRT-0033] M4 shape region query size = 16965.\r\n[INFO DRT-0033] V4 shape region query size = 6786.\r\n[INFO DRT-0033] M5 shape region query size = 6966.\r\n[INFO DRT-0033] V5 shape region query size = 324.\r\n[INFO DRT-0033] M6 shape region query size = 180.\r\n[INFO DRT-0033] V6 shape region query size = 0.\r\n[INFO DRT-0033] M7 shape region query size = 0.\r\n[INFO DRT-0033] V7 shape region query size = 0.\r\n[INFO DRT-0033] M8 shape region query size = 0.\r\n[INFO DRT-0033] V8 shape region query size = 0.\r\n[INFO DRT-0033] M9 shape region query size = 3072.\r\n[INFO DRT-0033] V9 shape region query size = 0.\r\n[INFO DRT-0033] Pad shape region query size = 0.\r\n[INFO DRT-0165] Start pin access.\r\n[INFO DRT-0076] Complete 100 pins.\r\n[INFO DRT-0076] Complete 200 pins.\r\n[INFO DRT-0076] Complete 300 pins.\r\n[INFO DRT-0076] Complete 400 pins.\r\n[INFO DRT-0076] Complete 500 pins.\r\n[INFO DRT-0078] Complete 532 pins.\r\n[INFO DRT-0079] Complete 100 unique inst patterns.\r\n[INFO DRT-0081] Complete 139 unique inst patterns.\r\n[INFO DRT-0082] Complete 1000 groups.\r\n[INFO DRT-0082] Complete 2000 groups.\r\n[INFO DRT-0082] Complete 3000 groups.\r\n[INFO DRT-0082] Complete 4000 groups.\r\n[INFO DRT-0082] Complete 5000 groups.\r\n[INFO DRT-0082] Complete 6000 groups.\r\n[INFO DRT-0082] Complete 7000 groups.\r\n[INFO DRT-0082] Complete 8000 groups.\r\n[INFO DRT-0082] Complete 9000 groups.\r\n[INFO DRT-0083] Complete 10000 groups.\r\n[INFO DRT-0084] Complete 15164 groups.\r\n#scanned instances = 336794\r\n#unique instances = 145\r\n#stdCellGenAp = 3958\r\n#stdCellValidPlanarAp = 48\r\n#stdCellValidViaAp = 3206\r\n#stdCellPinNoAp = 0\r\n#stdCellPinCnt = 191990\r\n#instTermValidViaApCnt = 0\r\n#macroGenAp = 0\r\n#macroValidPlanarAp = 0\r\n#macroValidViaAp = 0\r\n#macroNoAp = 0\r\n[INFO DRT-0166] Complete pin access.\r\n[INFO DRT-0267] cpu time = 00:32:34, elapsed time = 00:32:34, memory = 1130.54 (MB), peak = 1135.81 (MB)\r\n[WARNING STA-0357] virtual clock clk can not be propagated.\r\n[WARNING GRT-0026] Missing route to pin a1/_08384_/A.\r\n[INFO ANT-0002] Found 0 net violations.\r\n[INFO ANT-0001] Found 0 pin violations.\r\n[INFO DPL-0001] Placed 0 filler instances.\r\n[INFO DRT-0149] Reading tech and libs.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n\r\nUnits: 1000\r\nNumber of layers: 21\r\nNumber of macros: 212\r\nNumber of vias: 9\r\nNumber of viarulegen: 11\r\n\r\n[INFO DRT-0150] Reading design.\r\n\r\nDesign: micro_adder\r\nDie area: ( 0 0 ) ( 103679 103679 )\r\nNumber of track patterns: 32\r\nNumber of DEF vias: 0\r\nNumber of components: 336794\r\nNumber of terminals: 3072\r\nNumber of snets: 2\r\nNumber of nets: 71838\r\n\r\n[WARNING DRT-0240] CUT layer V3 does not have square single-cut via, cut layer width may be set incorrectly.\r\n[WARNING DRT-0240] CUT layer V5 does not have square single-cut via, cut layer width may be set incorrectly.\r\n[INFO DRT-0167] List of default vias:\r\n Layer V2\r\n default via: VIA23\r\n Layer V3\r\n default via: VIA34\r\n Layer V4\r\n default via: VIA45\r\n Layer V5\r\n default via: VIA56\r\n Layer V6\r\n default via: VIA67\r\n Layer V7\r\n default via: VIA78\r\n Layer V8\r\n default via: VIA89\r\n Layer V9\r\n default via: VIA9Pad\r\n[INFO DRT-0162] Library cell analysis.\r\n[INFO DRT-0163] Instance analysis.\r\n Complete 10000 instances.\r\n Complete 20000 instances.\r\n Complete 30000 instances.\r\n Complete 40000 instances.\r\n Complete 50000 instances.\r\n Complete 60000 instances.\r\n Complete 70000 instances.\r\n Complete 80000 instances.\r\n Complete 90000 instances.\r\n Complete 100000 instances.\r\n Complete 200000 instances.\r\n Complete 300000 instances.\r\n[INFO DRT-0164] Number of unique instances = 147.\r\n[INFO DRT-0168] Init region query.\r\n[INFO DRT-0018] Complete 10000 insts.\r\n[INFO DRT-0018] Complete 20000 insts.\r\n[INFO DRT-0018] Complete 30000 insts.\r\n[INFO DRT-0018] Complete 40000 insts.\r\n[INFO DRT-0018] Complete 50000 insts.\r\n[INFO DRT-0018] Complete 60000 insts.\r\n[INFO DRT-0018] Complete 70000 insts.\r\n[INFO DRT-0018] Complete 80000 insts.\r\n[INFO DRT-0018] Complete 90000 insts.\r\n[INFO DRT-0019] Complete 100000 insts.\r\n[INFO DRT-0019] Complete 200000 insts.\r\n[INFO DRT-0019] Complete 300000 insts.\r\n[INFO DRT-0024] Complete Active.\r\n[INFO DRT-0024] Complete V0.\r\n[INFO DRT-0024] Complete M1.\r\n[INFO DRT-0024] Complete V1.\r\n[INFO DRT-0024] Complete M2.\r\n[INFO DRT-0024] Complete V2.\r\n[INFO DRT-0024] Complete M3.\r\n[INFO DRT-0024] Complete V3.\r\n[INFO DRT-0024] Complete M4.\r\n[INFO DRT-0024] Complete V4.\r\n[INFO DRT-0024] Complete M5.\r\n[INFO DRT-0024] Complete V5.\r\n[INFO DRT-0024] Complete M6.\r\n[INFO DRT-0024] Complete V6.\r\n[INFO DRT-0024] Complete M7.\r\n[INFO DRT-0024] Complete V7.\r\n[INFO DRT-0024] Complete M8.\r\n[INFO DRT-0024] Complete V8.\r\n[INFO DRT-0024] Complete M9.\r\n[INFO DRT-0024] Complete V9.\r\n[INFO DRT-0024] Complete Pad.\r\n[INFO DRT-0033] Active shape region query size = 0.\r\n[INFO DRT-0033] V0 shape region query size = 0.\r\n[INFO DRT-0033] M1 shape region query size = 1490772.\r\n[INFO DRT-0033] V1 shape region query size = 1120591.\r\n[INFO DRT-0033] M2 shape region query size = 31413.\r\n[INFO DRT-0033] V2 shape region query size = 10179.\r\n[INFO DRT-0033] M3 shape region query size = 20358.\r\n[INFO DRT-0033] V3 shape region query size = 6786.\r\n[INFO DRT-0033] M4 shape region query size = 16965.\r\n[INFO DRT-0033] V4 shape region query size = 6786.\r\n[INFO DRT-0033] M5 shape region query size = 6966.\r\n[INFO DRT-0033] V5 shape region query size = 324.\r\n[INFO DRT-0033] M6 shape region query size = 180.\r\n[INFO DRT-0033] V6 shape region query size = 0.\r\n[INFO DRT-0033] M7 shape region query size = 0.\r\n[INFO DRT-0033] V7 shape region query size = 0.\r\n[INFO DRT-0033] M8 shape region query size = 0.\r\n[INFO DRT-0033] V8 shape region query size = 0.\r\n[INFO DRT-0033] M9 shape region query size = 3072.\r\n[INFO DRT-0033] V9 shape region query size = 0.\r\n[INFO DRT-0033] Pad shape region query size = 0.\r\n[INFO DRT-0165] Start pin access.\r\n[INFO DRT-0076] Complete 100 pins.\r\n[INFO DRT-0076] Complete 200 pins.\r\n[INFO DRT-0076] Complete 300 pins.\r\n[INFO DRT-0076] Complete 400 pins.\r\n[INFO DRT-0076] Complete 500 pins.\r\n[INFO DRT-0078] Complete 532 pins.\r\n[INFO DRT-0079] Complete 100 unique inst patterns.\r\n[INFO DRT-0081] Complete 139 unique inst patterns.\r\n[INFO DRT-0082] Complete 1000 groups.\r\n[INFO DRT-0082] Complete 2000 groups.\r\n[INFO DRT-0082] Complete 3000 groups.\r\n[INFO DRT-0082] Complete 4000 groups.\r\n[INFO DRT-0082] Complete 5000 groups.\r\n[INFO DRT-0082] Complete 6000 groups.\r\n[INFO DRT-0082] Complete 7000 groups.\r\n[INFO DRT-0082] Complete 8000 groups.\r\n[INFO DRT-0082] Complete 9000 groups.\r\n[INFO DRT-0083] Complete 10000 groups.\r\n[INFO DRT-0084] Complete 15164 groups.\r\n#scanned instances = 336794\r\n#unique instances = 145\r\n#stdCellGenAp = 3958\r\n#stdCellValidPlanarAp = 48\r\n#stdCellValidViaAp = 3206\r\n#stdCellPinNoAp = 0\r\n#stdCellPinCnt = 191990\r\n#instTermValidViaApCnt = 0\r\n#macroGenAp = 0\r\n#macroValidPlanarAp = 0\r\n#macroValidViaAp = 0\r\n#macroNoAp = 0\r\n[INFO DRT-0166] Complete pin access.\r\n[INFO DRT-0267] cpu time = 00:33:06, elapsed time = 00:33:07, memory = 1387.51 (MB), peak = 1394.30 (MB)\r\n[INFO ORD-0030] Using 24 thread(s).\r\n[INFO DRT-0156] guideIn read 100000 guides.\r\n[INFO DRT-0156] guideIn read 200000 guides.\r\n[INFO DRT-0156] guideIn read 300000 guides.\r\n[INFO DRT-0156] guideIn read 400000 guides.\r\n\r\nNumber of guides: 490112\r\n\r\n[INFO DRT-0169] Post process guides.\r\n[INFO DRT-0176] GCELLGRID X 0 DO 191 STEP 540 ;\r\n[INFO DRT-0177] GCELLGRID Y 0 DO 191 STEP 540 ;\r\n[INFO DRT-0026] Complete 10000 origin guides.\r\n[INFO DRT-0026] Complete 20000 origin guides.\r\n[INFO DRT-0026] Complete 30000 origin guides.\r\n[INFO DRT-0026] Complete 40000 origin guides.\r\n[INFO DRT-0026] Complete 50000 origin guides.\r\n[INFO DRT-0026] Complete 60000 origin guides.\r\n[INFO DRT-0026] Complete 70000 origin guides.\r\n[INFO DRT-0026] Complete 80000 origin guides.\r\n[INFO DRT-0026] Complete 90000 origin guides.\r\n[INFO DRT-0027] Complete 100000 origin guides.\r\n[INFO DRT-0027] Complete 200000 origin guides.\r\n[INFO DRT-0027] Complete 300000 origin guides.\r\n[INFO DRT-0027] Complete 400000 origin guides.\r\n[INFO DRT-0028] Complete Active.\r\n[INFO DRT-0028] Complete V0.\r\n[INFO DRT-0028] Complete M1.\r\n[INFO DRT-0028] Complete V1.\r\n[INFO DRT-0028] Complete M2.\r\n[INFO DRT-0028] Complete V2.\r\n[INFO DRT-0028] Complete M3.\r\n[INFO DRT-0028] Complete V3.\r\n[INFO DRT-0028] Complete M4.\r\n[INFO DRT-0028] Complete V4.\r\n[INFO DRT-0028] Complete M5.\r\n[INFO DRT-0028] Complete V5.\r\n[INFO DRT-0028] Complete M6.\r\n[INFO DRT-0028] Complete V6.\r\n[INFO DRT-0028] Complete M7.\r\n[INFO DRT-0028] Complete V7.\r\n[INFO DRT-0028] Complete M8.\r\n[INFO DRT-0028] Complete V8.\r\n[INFO DRT-0028] Complete M9.\r\n[INFO DRT-0028] Complete V9.\r\n[INFO DRT-0028] Complete Pad.\r\n[WARNING DRT-0225] b[178] 1 pin not visited, fall back to feedthrough mode.\r\n[WARNING DRT-0224] b[178] 1 pin not visited, number of guides = 32.\r\n[ERROR DRT-0218] Guide is not connected to design.\r\nError: BottomDie.tcl, 273 DRT-0218\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@lustefan97 I've run this test case with the latest OpenROAD and the error is not happening anymore. We had a few fixes in GRT since the PR you mentioned, so it's possible that this issue was already fixed."}, {"user": "I just updated OpenROAD-flow-scripts using `build_openroad.sh --latest`, when using etc/Env.sh it reports \"Git commit: ed356f36fab23fcbf857925a0c04178d431b203f\" which is the commit from the master branch yet I still have that exact same issue...\r\n\r\nAlthough I do admit, this message did not seem to appear on another design (the same basically, but multiple one in a bigger top-level module), for reasons that I don't understand..."}, {"assistant": "@lustefan97 I did not have the error, but I see a weird behavior that is probably the cause for this error. The global router creates the guides correctly, with guides covering the pins placed at the top level, but the detailed router seems to modify the guides, removing this top layer guide. I'll investigate why this is happening."}, {"assistant": "> @lustefan97 I did not have the error, but I see a weird behavior that is probably the cause for this error. The global router creates the guides correctly, with guides covering the pins placed at the top level, but the detailed router seems to modify the guides, removing this top layer guide. I'll investigate why this is happening.\r\n\r\nAfter debugging a little bit more, I noticed that it was not an issue. After creating the stack of vias to connect to the top layer pin, the detailed router will remove the top layer guides since it won't be needed anymore to proceed with the routing. I've tested it in CentOS and MacOS, and I don't have an error in any of them.\r\n\r\n@lustefan97 Could you try running the attached files on the same machine you're having the issue with? Just unzip it and run `openroad run.tcl`. It should be using the same routing config from your run.\r\n\r\n[4340.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13565117/4340.zip)\r\n"}, {"user": "@eder-matheus The files you gave me did work indeed, it is now going into the detailed routing optimization steps. \r\n\r\nI don't really understand what is happening right now, if it was the OS I use an ubuntu 22.04 docker, which has never really gave me any trouble except for now..."}, {"assistant": "> I don't really understand what is happening right now, if it was the OS I use an ubuntu 22.04 docker, which has never really gave me any trouble except for now...\r\n\r\nYeah, it seems really weird. Could you confirm the OpenROAD version when you run the binary? It should be in the beginning of the log. Perhaps you're compiling the correct version, but using another binary?\r\n\r\nAlso, the zip file you've sent me is the correct one? Maybe you attached the wrong file. I didn't see any reference to SYNTHESIS_HIERARCHICAL in the files you sent me."}, {"user": "The version of OpenROAD reported when running the binary is OpenROAD v2.0-11343-ged356f36f (if this is what you meant, it is the very first thing that is reported when calling OpenROAD.\r\n\r\nRegarding SYNTHESIS_HIERARCHICAL, to synthesize my modules I use the Yosys script used in the automatic flow (as I haven't managed to properly make a custom one, and I didn't want to waste more time than necessary on this step). Usually the test case that I send a related to OpenROAD and OpenROAD only, the .v file is the post synthesis RTL file (1_synth.v) from the automatic flow. What I do is that I synthesize the designs using the automatic scripts from ORFS, then move the result RTL into a custom director in _OpenROAD/test/_ to use it in a custom TCL script."}, {"assistant": "@lustefan97 Got it. The commit looks correct, so I wonder why you have this DRT issue. The guides look fine, and DRT is appropriately processing them. My only guess is that I'm running a different version of your running, but I wonder if this is the case."}, {"user": "@eder-matheus I think I figured it out.\r\n\r\nIt was a mistake on my part when uploading the test case. On my end I had modified the asap7_bottom.vars file to not perform any padding during GPL/DPL as it limits the maximum design utilization achievable by OpenROAD (as it stops everything when GPL detect a DU >100%). The \"padding variables\" were set at zero in my case where DRT fails, while it was still the \"default\" (global placement padding at 2 and detailed padding at 1) in the test case I sent you...\r\n\r\nI attached a new TestCase file that should reproduce the issue, very sorry....\r\n\r\n[TestCase-2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13590371/TestCase-2.zip)\r\n\r\n\r\n"}, {"assistant": "> @eder-matheus I think I figured it out.\r\n> \r\n> It was a mistake on my part when uploading the test case. On my end I had modified the asap7_bottom.vars file to not perform any padding during GPL/DPL as it limits the maximum design utilization achievable by OpenROAD (as it stops everything when GPL detect a DU >100%). The \"padding variables\" were set at zero in my case where DRT fails, while it was still the \"default\" (global placement padding at 2 and detailed padding at 1) in the test case I sent you...\r\n> \r\n> I attached a new TestCase file that should reproduce the issue, very sorry....\r\n> \r\n> [TestCase-2.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/13590371/TestCase-2.zip)\r\n\r\nNo problem! Thanks for the updated test case. I'll look at it soon."}, {"user": "> No problem! Thanks for the updated test case. I'll look at it soon.\n\nThank you ! I do have a few questions about those guides that I hope you can answer : What are they ? What do they do ? Why do we need them ? What are the difference in use between GRT and DRT regarding the guide ?\n\nI'm curious to know"}, {"assistant": "Routing is hard problem so we divide it into two phases. The global router works on a coarse grid and ignores detailed DRC rules to give an overall solution that should be routable without congestion problems. It passes that solution to the detailed router in terms of a guide for each net. The guide give a set of layers/rectangles that tell the detailed router generally where to put the wires.\r\n\r\nThe detailed router tries to follow the guides while also dealing with all the detailed drc rules involved in making legal layout.\r\n\r\nYou can see the guides for a net in the GUI, after global routing, if you select a net and click 'Route Guides':\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/01a6a4fa-ce08-495a-8795-72af86d0c950)\r\n"}, {"user": "Oooh yes I remember now, thanks for the answer @maliberty !\r\n "}, {"user": "@eder-matheus Did you has the possibility to check the test case ? I am currently working on a project and having the flow working in this case would allow me to quickly perform more advanced tests, I am running a bit out of time and having it working would be amazing..."}, {"assistant": "A fix for it should come later today or at most, tomorrow. I'll let you know when the PR is created."}, {"user": "@eder-matheus Sorry to insist... but has a PR been created for this issue ? I don't see anything explicitly related to it in the PR tab..."}, {"assistant": "No, it wasn't. The problem with this case is harder than we first thought, and now @arthurjolo is working to solve it."}, {"user": "Ah I see, thank you !"}, {"assistant": "@lustefan97 Could you try latest master branch? We've merged the fix for this issue."}]} +{"num": 4347, "messages": [{"user": "### Description\r\n\r\nThis issue is a follow-up from discussion on #4337, and tracks adding [`tclint`](https://github.com/nmoroze/tclint.git) to the OpenROAD CI. \r\n\r\n`tclint` is a lint tool for Tcl that checks both style and functional errors. I've done some early experiments on this codebase, and with a baseline config there are ~23,000 lint violations across all the Tcl scripts. Nearly all of these are style-related. \r\n\r\nI think the best strategy would be to add linting incrementally to certain parts of the codebase. This will also give the maintainers a chance to review a reasonably-sized initial PR to make sure the style enforced by `tclint` is to their liking.\r\n\r\nDo any maintainers have a preference for a chunk of the Tcl codebase that might be a good candidate for the first linting pass? If given a pointer somewhere I'll open a PR that adds linting to CI for that part of the code and does the cleanup required to get it to pass. \r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "How about src/rsz/src/Resizer.tcl as a sample\r\n"}, {"assistant": "Should create a mirrored issue for ORFS.\r\n\r\nOn that note, it might be good to create separate issues for a Python linter as well.\r\n\r\n@nmoroze are you planning on enhancing it reformat files similar to `clang-format -i`? That would be super useful."}, {"user": "> Should create a mirrored issue for ORFS.\r\n\r\nDone: https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/issues/1686\r\n\r\n> are you planning on enhancing it reformat files similar to clang-format -i? That would be super useful.\r\n\r\nyeah, this was on my medium/long-term roadmap but I can consider prioritizing it, since it definitely seems useful for getting lint going on a large existing codebase like this one. At the very least, I can do some early experiments to see how hard it will be. I think `tclint` has most of the key pieces already, and \"just\" needs an emitter for the syntax tree to spit out well-formatted Tcl scripts. I tried to be mindful of this use case when designing the parse tree data structure to make sure it captures enough information, but the devil's in the details and I have a feeling there will be some tricky bits :) \r\n\r\nI opened an issue to track this: https://github.com/nmoroze/tclint/issues/8"}, {"user": "I've submitted the first PR (#4397) towards this effort, cleaning up `Resizer.tcl` as suggested. \r\n\r\nOne facilitating change to `tclint` was adding an option to not require an extra level of indentation within `namespace eval` blocks - this seems to be a common pattern in the OpenROAD code, and it seems to halve the overall number of lint violations found in the codebase.\r\n\r\nIf that PR looks good, I can go ahead and clean up the entire `src/rsz` directory unless anyone has alternate suggestions (which will hit a bunch of Tcl files in `src/rsz/test/`). There are ~200 violations there, so it's chunkier but tractable. "}, {"assistant": "Done"}]} +{"num": 4349, "messages": [{"user": "### Describe the bug\r\n\r\nSee below for faster testcase, this is the original testcase that takes 90 minutes:\r\n\r\nunzip https://drive.google.com/file/d/1G9_F_YeRQMmUVjTf4bGfWCUOcPyok696/view?usp=sharing\r\n\r\n```\r\n./run-me-BoomTile-asap7-base.sh\r\n```\r\n\r\nSegfault:\r\n\r\n```\r\nOpenROAD v2.0-11344-g7631c468c\r\n[deleted]\r\nRepair setup and hold violations...\r\nTNS end percent 5\r\n[INFO RSZ-0094] Found 47189 endpoints with setup violations.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000556DA2AE6233 in openroad\r\n 1# 0x00007FCDAF83C460 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbObject::getObjectType() const in openroad\r\n 3# sta::dbNetwork::instance(sta::Pin const*) const in openroad\r\n 4# sta::Network::isLeaf(sta::Pin const*) const in openroad\r\n 5# sta::FindVertexDelays::visit(sta::Vertex*) in openroad\r\n 6# sta::BfsIterator::visit(int, sta::VertexVisitor*) in openroad\r\n 7# sta::GraphDelayCalc::findDelays(int) in openroad\r\n 8# sta::Sta::searchPreamble() in openroad\r\n 9# sta::Sta::findRequireds() in openroad\r\n10# rsz::RepairSetup::repairSetup(float, double, int, bool, bool, bool) in openroad\r\n11# 0x0000556DA2C543C2 in openroad\r\n12# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# 0x00007FCDB404BC43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n17# ord::tclAppInit(Tcl_Interp*) in openroad\r\n18# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n19# main in openroad\r\n20# 0x00007FCDAF823A90 in /lib/x86_64-linux-gnu/libc.so.6\r\n21# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n22# _start in openroad\r\n./run-me-BoomTile-asap7-base.sh: line 7: 3617715 Segmentation fault (core dumped) openroad -no_init ${SCRIPTS_DIR}/cts.tcl\r\n````\r\n\r\nScreenshot from the placement:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/ddf3e197-f5bf-4d63-8f10-606f9e8d4829)\r\n\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo crash\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11344-g7631c468c\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "I'll try to whittle it down:\r\n\r\n```\r\nopenroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --persistence 6 --use_stdout --error_string \"getObjectType\" --base_db_path bazel-bin/build/results/asap7/BoomTile/base/3_place.odb --step ./run-me-BoomTile-asap7-base.sh\r\n```\r\n"}, {"assistant": "Why we can't follow other guys working style and populate bunches of zip files?\r\nOne HUGE 8G odb seems overwhelming?"}, {"user": "> Why we can't follow other guys working style and populate bunches of zip files? One HUGE 8G odb seems overwhelming?\r\n\r\nThat's trading one problem for another I would say... Less convenient. I'm trying to whittle down(with deltaDebug.py) this problem to something that is faster to reproduce, size concern is secondary.\r\n\r\nBreaking the 800(compressed)mbyte file into 25mbyte .zip files would generate 32 .zip files. That's not convenient..."}, {"assistant": "I see. \r\nThanks for quick answer!"}, {"user": "> I see. Thanks for quick answer!\r\n\r\nAlso, OpenROAD is working very well these days. Crashes are most frequently found in very large examples, smaller work fine..."}, {"user": "@maliberty Faster reproduction case, ca. 1 minute.\r\n\r\nunzip https://drive.google.com/file/d/1DJYvp9cjQF81SXgyVUHIlJQA4vM61mlI/view?usp=sharing\r\n\r\n```\r\n ./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11389-gaae7fc8d2 \r\n[deleted]\r\nTNS end percent 5\r\n[INFO RSZ-0094] Found 1 endpoints with setup violations.\r\n[INFO RSZ-0045] Inserted 2 buffers, 1 to split loads.\r\n[INFO RSZ-0041] Resized 2 instances.\r\n[INFO RSZ-0043] Swapped pins on 1 instances.\r\n[INFO RSZ-0049] Cloned 1 instances.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000561507E18BA3 in openroad\r\n 1# 0x00007F2C81842910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbObject::getObjectType() const in openroad\r\n 3# sta::dbNetwork::instance(sta::Pin const*) const in openroad\r\n 4# sta::Network::isLeaf(sta::Pin const*) const in openroad\r\n 5# sta::FindVertexDelays::visit(sta::Vertex*) in openroad\r\n 6# sta::BfsIterator::visit(int, sta::VertexVisitor*) in openroad\r\n 7# sta::GraphDelayCalc::findDelays(int) in openroad\r\n 8# sta::Sta::searchPreamble() in openroad\r\n 9# sta::Sta::worstSlack(sta::MinMax const*) in openroad\r\n10# rsz::RepairSetup::repairSetup(float, double, int, bool, bool, bool) in openroad\r\n11# 0x0000561507F9F20D in openroad\r\n12# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# 0x00007F2C85FADC43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n15# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n17# ord::tclAppInit(Tcl_Interp*) in openroad\r\n18# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n19# main in openroad\r\n20# 0x00007F2C818280D0 in /lib/x86_64-linux-gnu/libc.so.6\r\n```\r\n"}, {"assistant": "While we should fix the crash I don't understand\r\n```\r\ncreate_clock -name clock -period 2700.0000 [get_ports {clock}]\r\ncreate_clock -name clock_vir -period 2700.0000 \r\n```\r\nwhich leads to\r\n```\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\n```\r\nwhat is the purpose of clock_vir?"}, {"assistant": "@tspyrou please pass to Cherry as it seems sta is accessing a deleted vertex."}, {"user": "> While we should fix the crash I don't understand\r\n> \r\n> ```\r\n> create_clock -name clock -period 2700.0000 [get_ports {clock}]\r\n> create_clock -name clock_vir -period 2700.0000 \r\n> ```\r\n> \r\n> which leads to\r\n> \r\n> ```\r\n> [WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\n> ```\r\n> \r\n> what is the purpose of clock_vir?\r\n\r\nThis is back to the clock discussions this summer... BoomTile is a macro that fits into a higher level design where the long clock network latency is mitigated by introducing two clock domain FIFOs between the top level pins and the data that goes into BoomTile. Thie clock_vir is tells CTS that this is going on. My, Louii's colleague, tought us this trick."}, {"assistant": "While it isn't harmful (aside from the warning) I don't think it tells CTS anything. @louiic would you refresh me on how this is helpful?"}, {"assistant": "This should be resolved"}]} +{"num": 4359, "messages": [{"user": "### Describe the bug\n\nwrite_timing_model uses original timing from libraries without considering impact from set_timing_derate.\n\n### Expected Behavior\n\nIt'd be good if write_timing_model and report_checks have the same behavior related to set_timing_derate.\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.19.0-1027-gcp\r\nos: Ubuntu 22.04.2 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11396-g137ea7c81\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/local/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 60a45c13b7cfd9bd3919939a73290b7e6bf081e8\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/cmoon/OR/cts_google/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.MdWNYl7qIL\n```\n\n\n### To Reproduce\n\n# Instantiate a base cell and generate multiple\r\n# versions using set_timing_derate\r\n\r\nset liberty_file \"Nangate45/Nangate45_typ.lib\"\r\nread_lib $liberty_file\r\nread_lib array_tile.lib\r\n\r\n# LEF is needed to create block\r\nset tech_lef \"Nangate45/Nangate45_tech.lef\"\r\nread_lef $tech_lef\r\nset std_cell_lef \"Nangate45/Nangate45_stdcell.lef\"\r\nread_lef $std_cell_lef\r\nread_lef array_tile.lef\r\n\r\n# create block\r\nset db [ord::get_db]\r\nset chip [odb::dbChip_create $db]\r\nset block [odb::dbBlock_create $chip \"top\"]\r\n\r\n# create IO nets\r\nset clk_net [odb::dbNet_create $block \"clk\"]\r\nset e_in_net [odb::dbNet_create $block \"e_in\"]\r\nset w_in_net [odb::dbNet_create $block \"w_in\"]\r\nset e_out_net [odb::dbNet_create $block \"e_out\"]\r\nset w_out_net [odb::dbNet_create $block \"w_out\"]\r\n\r\n# create IO terminals\r\nset clk_bterm [odb::dbBTerm_create $clk_net \"clk\"]\r\n$clk_bterm setSigType CLOCK\r\n$clk_bterm setIoType INPUT\r\n\r\nset e_in_bterm [odb::dbBTerm_create $e_in_net \"e_in\"]\r\n$e_in_bterm setSigType SIGNAL\r\n$e_in_bterm setIoType INPUT\r\n\r\nset w_in_bterm [odb::dbBTerm_create $e_in_net \"w_in\"]\r\n$w_in_bterm setSigType SIGNAL\r\n$w_in_bterm setIoType INPUT\r\n\r\nset e_out_bterm [odb::dbBTerm_create $e_out_net \"e_out\"]\r\n$e_out_bterm setSigType SIGNAL\r\n$e_out_bterm setIoType OUTPUT\r\n\r\nset w_out_bterm [odb::dbBTerm_create $w_out_net \"w_out\"]\r\n$w_out_bterm setSigType SIGNAL\r\n$w_out_bterm setIoType OUTPUT\r\n\r\n# create instance and connect to IO terminals\r\nset master [$db findMaster \"array_tile\"]\r\nset inst [odb::dbInst_create $block $master \"inst_1\"]\r\n[$inst findITerm \"clk\"] connect $clk_net\r\n[$inst findITerm \"e_in\"] connect $e_in_net\r\n[$inst findITerm \"w_in\"] connect $w_in_net\r\n[$inst findITerm \"e_out\"] connect $e_out_net\r\n[$inst findITerm \"w_out\"] connect $w_out_net\r\n\r\n# create and link design\r\nord::design_created\r\n\r\n# create SDC\r\ncreate_clock -period 5 clk\r\nset_input_delay 0.0 {e_in w_in} -clock clk\r\nset_output_delay 0.0 {e_out w_out} -clock clk\r\n\r\nputs \"#### baseline ####\"\r\nreport_checks\r\nwrite_timing_model -library_name base_lib -cell base base.lib\r\n\r\nset_timing_derate -late -cell_delay 0.5 [get_cells \"inst_1\"]\r\nputs \"#### after 0.5 derate ####\"\r\nreport_checks\r\nwrite_timing_model -library_name base0p5_lib -cell base0p5 base0p5.lib\r\n\r\nset_timing_derate -late -cell_delay 2.0 [get_cells \"inst_1\"]\r\nputs \"#### after 2.0 derate ####\"\r\nreport_checks\r\nwrite_timing_model -library_name base2p0_lib -cell base2p0 base2p0.lib\r\n\n\n### Relevant log output\n\n```shell\nbase05p.lib and base2p0.lib don't reflect impact of set_timing_derate\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "[test.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13610590/test.tar.gz)\r\nestimate.tcl reproduces the issue"}, {"assistant": "@tspyrou looks like one for Cherry"}, {"assistant": "I vaguely recall that this was actually intentional. It used to operate the way as described, but then the problem was that many users were misusing it. I think it may have been related to double-derating the timing when the block gets used at a higher level. Either way I'm sure Cherry will know."}, {"assistant": "I could ask Cherry to make it optional although I agree that normally the derating would be applied from the top.\r\n@precisionmoon could you comment on the use case?"}, {"user": "One simple use model is to add an option to write_timing_model like -use_derate to honor STD. An info or warning message would be nice that explains that timing derates are being honored but this may lead to double-derating."}]} +{"num": 4367, "messages": [{"user": "### Describe the bug\n\nunzip https://drive.google.com/file/d/1puNriOUxW5JdMKytTCQN0I7J3lyoGvEI/view?usp=sharing\r\n\r\nThe error is output pretty much immediately(seconds):\r\n\r\n```\r\n$ ./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11423-g41f53d4db \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /home/oyvind/bazel_rules_hdl/megaboom/foo/platforms/asap7/lef/asap7_tech_1x_201209.lef\r\n[INFO ODB-0223] Created 24 technology layers\r\n[INFO ODB-0224] Created 9 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/oyvind/bazel_rules_hdl/megaboom/foo/platforms/asap7/lef/asap7_tech_1x_201209.lef\r\n[INFO ODB-0222] Reading LEF file: /home/oyvind/bazel_rules_hdl/megaboom/foo/platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef\r\n[INFO ODB-0225] Created 212 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/oyvind/bazel_rules_hdl/megaboom/foo/platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef\r\n[INFO ODB-0222] Reading LEF file: bazel-bin/build/results/asap7/ebtb_128x40/base/ebtb_128x40.lef\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[INFO ODB-0225] Created 1 library cells\r\n[INFO ODB-0226] Finished LEF file: bazel-bin/build/results/asap7/ebtb_128x40/base/ebtb_128x40.lef\r\n[INFO ODB-0222] Reading LEF file: bazel-bin/build/results/asap7/array_256x64/base/array_256x64.lef\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n[INFO ODB-0225] Created 1 library cells\r\n[deleted]\r\n```\r\n\n\n### Expected Behavior\n\nIt should be either a warning or an error... Ideally the error should just be fixed...\r\n\r\nWhy does this happen and what should the user do about it? A more actionable error message would be great.\r\n\r\n```\r\n[WARNING ODB-0177] error: undefined via (via5_6_120_288_1_2_58_322) referenced\r\n```\n\n### Environment\n\n```shell\nOpenROAD v2.0-11423-g41f53d4db\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Are you sure the abstract you are using was generated after the fix to write the vias? This seems quite similar to what we had before."}, {"user": "> Are you sure the abstract you are using was generated after the fix to write the vias? This seems quite similar to what we had before.\r\n\r\n@eder-matheus @maliberty Ah!\r\n\r\nI see what I'm doing wrong.\r\n\r\nI'm testing out OpenROAD w/Bazel on MegaBoom. I forgot to bump the ORFS_VERSION variable that I added. Whether or not I want to rebuild everything from scratch upon an ORFS/OpenROAD version bump is a decision that I want to make manually, otherwise it would always rebuild, effectively.\r\n\r\nI'm going to do a version bump. If the error/warning is still there, I will file a fresh issue."}]} +{"num": 4369, "messages": [{"user": "### Description\n\nI believe these warnings are bogus in 2_2_floorplan.log, there are no macros placed at this point.\r\n\r\nAlso, I thought that these warnings were removed?\r\n\r\n```\r\n\r\nOpenROAD v2.0-11117-g6061c4892 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING PPL-0015] Macro core/FpPipeline/fregfile/regfile_ext is not placed.\r\n[WARNING PPL-0015] Macro core/iregfile/regfile_ext is not placed.\r\n[WARNING PPL-0015] Macro dcache is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/bim/data_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/bim/data_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/bim/data_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/bim/data_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_0_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_0_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_0_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_0_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_1_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_1_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_1_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/btb_1_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/ebtb_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_0_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_0_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_0_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_0_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_1_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_1_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_1_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/btb/meta_1_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t/table_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t/table_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t/table_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t/table_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_1/table_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_1/table_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_1/table_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_1/table_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_2/table_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_2/table_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_2/table_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_2/table_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_3/table_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_3/table_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_3/table_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_3/table_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_4/table_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_4/table_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_4/table_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_4/table_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_5/table_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_5/table_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_5/table_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_0/tage/t_5/table_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/bim/data_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/bim/data_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/bim/data_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/bim/data_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_0_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_0_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_0_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_0_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_1_0_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_1_1_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_1_2_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/btb_1_3_ext is not placed.\r\n[WARNING PPL-0015] Macro frontend/bpd/banked_predictors_1/btb/ebtb_ext is not placed.\r\n```\r\n\n\n### Suggested Solution\n\nRemove bogus warnings at this stage.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe This run uses a commit from November 16, and my update to remove these warnings is from November 23. The latest OR should behave as you expect."}, {"user": "@eder-matheus Thanks! I am working on multiple computers and got things mixed up."}]} +{"num": 4373, "messages": [{"user": "### Describe the bug\n\n`tar -xzf` https://drive.google.com/file/d/1AfB6A1SsozJG7Tn6-nHftQgAqi8VhdIh/view?usp=sharing\r\n\r\n```\r\n$ openroad\r\nOpenROAD v2.0-11428-g64228063b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> read_db ./bazel-bin/build/results/asap7/BoomTile/base/deltaDebug_base_temp_3_place.odb\r\nopenroad> write_def a.def\r\nopenroad> write_lef b.lef\r\n[INFO ORD-0034] More than one lib exists, multiple files will be written.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000558B27B2C483 in openroad\r\n 1# 0x00007F500C842910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbProperty::getPropOwner() in openroad\r\n 3# odb::lefout::writePropertyDefinition(odb::dbProperty*) in openroad\r\n 4# odb::lefout::writeObjectPropertyDefinitions(odb::dbObject*, std::unordered_map, std::allocator >, short, std::hash, std::allocator > >, std::equal_to, std::allocator > >, std::allocator, std::allocator > const, short> > >&) in openroad\r\n 5# odb::lefout::writePropertyDefinitions(odb::dbLib*) in openroad\r\n 6# odb::lefout::writeHeader(odb::dbLib*) in openroad\r\n 7# odb::lefout::writeTechAndLib(odb::dbLib*) in openroad\r\n 8# ord::OpenRoad::writeLef(char const*) in openroad\r\n 9# 0x0000558B27B8595E in openroad\r\n10# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# 0x00007F5010F98C43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# ord::tclAppInit(Tcl_Interp*) in openroad\r\n15# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# main in openroad\r\n17# 0x00007F500C8280D0 in /lib/x86_64-linux-gnu/libc.so.6\r\n18# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n19# _start in openroad\r\nSegmentation fault (core dumped)\r\n```\n\n### Expected Behavior\n\nno crash\n\n### Environment\n\n```shell\nOpenROAD v2.0-11428-g64228063b\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "This odb seems to be in a bad state. It has a property \"TYPE NWELL ;\" but it seems to think it is attached to a dbScanChainObj which makes no sense. How was this db generated?"}, {"user": "> This odb seems to be in a bad state. It has a property \"TYPE NWELL ;\" but it seems to think it is attached to a dbScanChainObj which makes no sense. How was this db generated?\r\n\r\nWith deltaDebug as part of MegaBoom project. I wanted to run write_def/lef and read back in to see if that would reduce the .odb file size."}, {"assistant": "so the problem exists even before deltaDebug - how was the input odb generated?"}, {"assistant": "Where you delta debugging this problem or another that then produced this problem on write_lef?"}, {"user": "> so the problem exists even before deltaDebug - how was the input odb generated?\r\n\r\nThis is part of MegaBoom Bazel ORFS flow. It is the input, place, to the CTS crash as I recall."}, {"assistant": "Can you write_lef from the input db to delta debug?"}, {"user": "@maliberty It seems to happen with just about any .odb file.\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/aes-block/config.mk floorplan\r\n```\r\n\r\n```\r\noyvind@gale:~/OpenROAD-flow-scripts/flow/results/asap7/aes-block/base$ openroad\r\nOpenROAD v2.0-11428-g64228063b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nopenroad> read_db 2_1_floorplan.odb\r\nopenroad> write_lef foo.lef\r\n[INFO ORD-0034] More than one lib exists, multiple files will be written.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055BEDD5F4483 in openroad\r\n 1# 0x00007FB26F642910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbProperty::getPropOwner() in openroad\r\n 3# odb::lefout::writePropertyDefinition(odb::dbProperty*) in openroad\r\n 4# odb::lefout::writeObjectPropertyDefinitions(odb::dbObject*, std::unordered_map, std::allocator >, short, std::hash, std::allocator > >, std::equal_to, std::allocator > >, std::allocator, std::allocator > const, short> > >&) in openroad\r\n 5# odb::lefout::writePropertyDefinitions(odb::dbLib*) in openroad\r\n 6# odb::lefout::writeHeader(odb::dbLib*) in openroad\r\n 7# odb::lefout::writeTechAndLib(odb::dbLib*) in openroad\r\n 8# ord::OpenRoad::writeLef(char const*) in openroad\r\n 9# 0x000055BEDD64D95E in openroad\r\n10# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# 0x00007FB273D07C43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# ord::tclAppInit(Tcl_Interp*) in openroad\r\n15# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n16# main in openroad\r\n17# 0x00007FB26F6280D0 in /lib/x86_64-linux-gnu/libc.so.6\r\n18# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n19# _start in openroad\r\n```\r\n"}]} +{"num": 4383, "messages": [{"user": "### Description\r\n\r\nunzip https://drive.google.com/file/d/1IKNjdXdUdjgcf1zjTXuyDntbyXLWgrO8/view?usp=sharing\r\n\r\n```\r\n./run-me-BoomTile-asap7-base.sh \r\nOpenROAD v2.0-11428-g64228063b \r\n[deleted]\r\n[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\r\n[INFO CTS-0035] Number of sinks covered: 4433.\r\n[INFO CTS-0018] Created 5337 clock buffers.\r\n[INFO CTS-0012] Minimum number of buffers in the clock path: 23.\r\n[INFO CTS-0013] Maximum number of buffers in the clock path: 24.\r\n[INFO CTS-0014] 1 clock nets were removed/fixed.\r\n[INFO CTS-0015] Created 5336 clock nets.\r\n[INFO CTS-0016] Fanout distribution for the current clock = 0:1, 1:3, 2:10, 3:34, 4:50, 5:43, 6:55, 7:51, 8:61, 9:57, 10:56, 11:36, 12:32, 13:24, 14:18, 15:16, 16:11, 17:11, 18:7, 19:4, 20:4, 21:5, 22:3, 23:2, 24:3, 25:3, 26:2, 27:1, 29:1, 30:4338..\r\n[INFO CTS-0017] Max level of the clock tree: 9.\r\n[INFO CTS-0098] Clock net \"clock\"\r\n[INFO CTS-0099] Sinks 131199\r\n[INFO CTS-0100] Leaf buffers 4430\r\n[INFO CTS-0101] Average sink wire length 2221.56 um\r\n[INFO CTS-0102] Path depth 23 - 24\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nWarning: There are 540 unconstrained endpoints.\r\n```\r\n\r\nAfter ca. 1 minute, there is no further output for quite some time... 20 minutes?\r\n\r\n```\r\n==========================================================================\r\ncts pre-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 985247 u^2 51% utilization.\r\n[INFO RSZ-0058] Using max wire length 162um.\r\n[INFO RSZ-0047] Found 62 long wires.\r\n[INFO RSZ-0048] Inserted 98 buffers in 62 nets.\r\nWarning: There are 540 unconstrained endpoints.\r\n```\r\n\r\nThen nothing for maybe another 20 minutes?\r\n\r\n```\r\n==========================================================================\r\ncts post-repair report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 985278 u^2 51% utilization.\r\nPlacement Analysis\r\n---------------------------------\r\ntotal displacement 12910.7 u\r\naverage displacement 0.0 u\r\nmax displacement 278.4 u\r\noriginal HPWL 16326114.3 u\r\nlegalized HPWL 16466441.8 u\r\ndelta HPWL 1 %\r\n\r\nRepair setup and hold violations...\r\nTNS end percent 5\r\n[INFO RSZ-0046] Found 17274 endpoints with hold violations.\r\n```\r\n\r\nNo further output for a while...\r\n\r\n\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "How is this different from #4333 ?"}, {"user": "> How is this different from #4333 ?\r\n\r\nOne is a feature request for progress info, the other to speed up CTS."}, {"assistant": "@precisionmoon would you start by looking at the skew show in \r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/1a42340c-d882-41df-bd6e-bf1e86c04099)\r\n(from https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/discussions/1710) before we start on runtime."}, {"assistant": "with the current settings there are many buffers on the macros:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/14807a81-716c-4775-a0c0-866d477b3e46)\r\n\r\nbut if I add -obstruction_aware I see:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/1c531f33-a448-408b-95fa-4e151cd92334)\r\n\r\nSome objects are now outside the die area which is wrong. Some are still on top of macros too."}, {"assistant": "Ok. Let me take a look."}, {"assistant": "[PR 4383](https://github.com/The-OpenROAD-Project/OpenROAD/pull/4459) should fix the issue of cells getting placed outside core area and cells on top of hardmacros."}, {"assistant": "@precisionmoon with #4459 and -obstruction_aware does the skew improve?"}, {"assistant": "> @precisionmoon with #4459 and -obstruction_aware does the skew improve?\r\n\r\nWorst skew remains at 58.56 immediately after CTS with and without -obstruction_aware, even after #4459.\r\nClock clock\r\nLatency CRPR Skew\r\ncore/fp_rename_stage/_7310_/CLK ^\r\n 451.28\r\ncore/fp_rename_stage/freelist/_45073_/CLK ^\r\n 392.72 0.00 58.56\r\n"}]} +{"num": 4391, "messages": [{"user": "### Describe the bug\r\n\r\nunzip https://drive.google.com/file/d/1UrcL0WPXG9tJhBQ_GT3r_GvVwRsrH-ic/view?usp=sharing , start OpenROAD GUI, load 4_cts.odb, then load the congestion.rpt file.\r\n\r\nWhy are the DRC markers (white x's) at the top and not in the middle of the congested area?\r\n\r\nTo re-run global routing `$ ./run-me-BoomTile-asap7-base.sh`\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/29f6f8fb-4fa4-4c5a-9d12-26a3bd5be40f)\r\n\r\n\r\n### Expected Behavior\r\n\r\nCongestion markers in the middle of the congested area, not at the edge of the design.\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11454-g34687e2a9\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Would you zoom in on the area with the markers and post the image. When zoomed way out we use a X to make things visible. There could be congestion near the edge of the block."}, {"user": "> Would you zoom in on the area with the markers and post the image. When zoomed way out we use a X to make things visible. There could be congestion near the edge of the block.\r\n\r\nI no longer have this available on my computer, but using the reproduction case here you can. I didn't see anything there though, the area looked empty. There were square DRC congestion boxes at the top edge."}, {"assistant": "@oharboe I've run GRT with the files you've attached, and the congestion markers generated in this run are not the same as the one you attached:\r\n\"image\"\r\n\r\nIs it possible that you loaded a different congestion report file by mistake?\r\n\r\nHere's the congestion heatmap:\r\n\r\n\"image\"\r\n"}, {"user": "entirely..."}]} +{"num": 4394, "messages": [{"user": "### Description\r\n\r\nDebug output... all of this is information already contained in previous output.\r\n\r\n```\r\n[INFO MPL-0024] [Multilevel Autoclustering] Creating clustered netlist.\r\n[INFO MPL-0039] [Coarse Shaping] Determining shape functions for clusters.\r\n[INFO MPL-0028] [Hierarchical Macro Placement] Placing clusters and macros.\r\n[INFO MPL-0037] Updated location of 95 macros\r\nDelete buffers for RTLMP flow...\r\n[INFO RSZ-0026] Removed 0 buffers.\r\n```\r\n\r\n\r\n### Suggested Solution\r\n\r\nConvert to debug output...\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe My intention with these specific messages was just to inform the user of each stage of HierRTLMP flow. Of course there's no problem in removing them, but is it really the case?\r\n\r\nClarifying: It was just so that the user had some sort of idea of what MPL is doing. Again, if desired, I'll remove them."}, {"user": "> @oharboe My intention with these specific messages was just to inform the user of each stage of HierRTLMP flow. Of course there's no problem in removing them, but is it really the case?\r\n> \r\n> Clarifying: It was just so that the user had some sort of idea of what MPL is doing. Again, if desired, I'll remove them.\r\n\r\nProgress messages that give some real indication of time remaining are good, but otherwise removing noise from output helps make useful actionable.information more availble."}, {"assistant": "Its not always clear how long something will take so displaying the steps can be a reasonable proxy if it isn't too noisy."}, {"user": "> Its not always clear how long something will take so displaying the steps can be a reasonable proxy if it isn't too noisy.\r\n\r\nThese messages arent particularly helpful in this regard.... The first are printed, then ca 1hr passes (megaboom) and the rest are printed."}]} +{"num": 4395, "messages": [{"user": "### Describe the bug\n\nuntar https://drive.google.com/file/d/1v49C3TCcUf6ryJWWb6q8xnZ5cynolUdo/view?usp=drive_link\r\n\r\n```\r\n$ run-me-BoomTile-asap7-base.sh\r\nOpenROAD v2.0-11454-g34687e2a9 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO PDN-0001] Inserting grid: top\r\n[WARNING PDN-0110] No via inserted between M5 and M6 at (543.4920, 828.6400) - (543.6120, 828.6720) on VSS\r\n[WARNING PDN-0110] No via inserted between M5 and M6 at (1251.9720, 1075.1280) - (1252.0920, 1075.1680) on VSS\r\n[WARNING PDN-0110] No via inserted between M5 and M6 at (1329.7320, 1075.1280) - (1329.7340, 1075.1680) on VSS\r\n```\r\n\r\n\r\n\n\n### Expected Behavior\n\nNo warning\n\n### Environment\n\n```shell\nOpenROAD v2.0-11454-g34687e2a9\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort do you have time to look at this?"}, {"assistant": "These all look similar to \r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/023a0be3-cd33-4df5-9961-d3a1c30deab7)\r\n\r\nThe straps are too close to the macro to add a via and should have been pruned back. It won't cause you any problem but we should avoid the issue for cosmetics."}, {"assistant": "@oharboe you might want to increase the halo for the macro to ensure PDN does not attempt to insert that set of straps.\r\nI'm not able to run this at the moment due to the size of it."}, {"user": "@gadfort So if I understand corr"}, {"user": "@gadfort So if I understand correctly, everything is working, but the warning does not offer your advice above. Should it?"}, {"assistant": "The failed via report should contain some information, but it's possible that could be better documented."}]} +{"num": 4401, "messages": [{"user": "### Describe the bug\r\n\r\nFallout from https://github.com/The-OpenROAD-Project/OpenROAD/pull/2914: the removal of `using namespace std;` causes compilation to fail with these messages:\r\n\r\n```log\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8233:3: error: no template named 'vector'; did you mean 'ant::vector'?\r\n vector< odb::dbITerm * > *arg2 = (vector< odb::dbITerm * > *) 0 ;\r\n ^~~~~~\r\n ant::vector\r\n/Users/donn/efabless/openroad/src/ant/src/../include/ant/AntennaChecker.hh:47:12: note: 'ant::vector' declared here\r\nusing std::vector;\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8233:63: error: expected expression\r\n vector< odb::dbITerm * > *arg2 = (vector< odb::dbITerm * > *) 0 ;\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8233:37: error: use of undeclared identifier 'vector'\r\n vector< odb::dbITerm * > *arg2 = (vector< odb::dbITerm * > *) 0 ;\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8251:28: error: no template named 'vector'; did you mean 'ant::vector'?\r\n arg2 = reinterpret_cast< vector< odb::dbITerm * > * >(argp2);\r\n ^~~~~~\r\n ant::vector\r\n/Users/donn/efabless/openroad/src/ant/src/../include/ant/AntennaChecker.hh:47:12: note: 'ant::vector' declared here\r\nusing std::vector;\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8266:3: error: no template named 'vector'; did you mean 'ant::vector'?\r\n vector< odb::dbITerm * > *result = 0 ;\r\n ^~~~~~\r\n ant::vector\r\n/Users/donn/efabless/openroad/src/ant/src/../include/ant/AntennaChecker.hh:47:12: note: 'ant::vector' declared here\r\nusing std::vector;\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8274:39: error: expected expression\r\n result = (vector< odb::dbITerm * > *)& ((arg1)->gates);\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8274:13: error: use of undeclared identifier 'vector'\r\n result = (vector< odb::dbITerm * > *)& ((arg1)->gates);\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8847:21: error: use of undeclared identifier 'vector'\r\n SwigValueWrapper< vector< ant::Violation > > result;\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8888:39: error: no template named 'vector'; did you mean 'ant::vector'?\r\n resultobj = SWIG_NewPointerObj((new vector< ant::Violation >(static_cast< const vector< ant::Violation >& >(result))), SWIGTYPE_p_vectorT_ant__Violation_t, SWIG_POINTER_OWN | 0 );\r\n ^~~~~~\r\n ant::vector\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:1187:89: note: expanded from macro 'SWIG_NewPointerObj'\r\n#define SWIG_NewPointerObj(ptr, type, flags) SWIG_Python_NewPointerObj(NULL, ptr, type, flags)\r\n ^\r\n/Users/donn/efabless/openroad/src/ant/src/../include/ant/AntennaChecker.hh:47:12: note: 'ant::vector' declared here\r\nusing std::vector;\r\n ^\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:8888:83: error: no template named 'vector'; did you mean 'ant::vector'?\r\n resultobj = SWIG_NewPointerObj((new vector< ant::Violation >(static_cast< const vector< ant::Violation >& >(result))), SWIGTYPE_p_vectorT_ant__Violation_t, SWIG_POINTER_OWN | 0 );\r\n ^~~~~~\r\n ant::vector\r\n/Users/donn/efabless/openroad/build/src/ant/src/CMakeFiles/ant_py.dir/AntennaChecker-pyPYTHON_wrap.cxx:1187:89: note: expanded from macro 'SWIG_NewPointerObj'\r\n#define SWIG_NewPointerObj(ptr, type, flags) SWIG_Python_NewPointerObj(NULL, ptr, type, flags)\r\n ^\r\n/Users/donn/efabless/openroad/src/ant/src/../include/ant/AntennaChecker.hh:47:12: note: 'ant::vector' declared here\r\nusing std::vector;\r\n```\r\n\r\nThis is because SWIG 3 doesn't handle the `using std::vector` in `src/ant/include/ant/AntennaChecker.hh`. SWIG 4 however does.\r\n\r\nThe only reason I mention this is that this is the only SWIG file that is broken on SWIG 3, and it can be fixed quite easily.\r\n\r\n### Expected Behavior\r\n\r\nAdding `using std::vector;` after `using namespace odb;` in `src/ant/src/AntennaChecker-py.i` works, but also, given that SWIG 3 is no longer being actively tested, it may be prudent to just update `src/CMakeLists.txt` to require SWIG 4 as a minimum.\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 75f2f325b7a42e56a92404f33af8e96530d9b202\r\nkernel: Darwin 23.1.0\r\nos: macOS 14.1.1\r\ncmake version 3.27.7\r\nCMake Deprecation Warning at third-party/abc/CMakeLists.txt:1 (cmake_minimum_required):\r\n Compatibility with CMake < 3.5 will be removed from a future version of\r\n CMake.\r\n\r\n Update the VERSION argument value or use a ... suffix to tell\r\n CMake that the project does not need compatibility with older versions.\r\n\r\n\r\nCMake Warning:\r\n Manually-specified variables were not used by the project:\r\n\r\n VERBOSE\r\n\r\n\r\n-- The CXX compiler identification is Clang 16.0.6\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /nix/store/zh206nri10wwp68g7qf9ccya1irynx5g-clang-wrapper-16.0.6/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11533-g75f2f325b\r\n-- System name: Darwin\r\n-- Compiler: Clang 16.0.6\r\n-- Build type: RELEASE\r\n-- Install prefix: /var/empty/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is Clang 16.0.6\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /nix/store/zh206nri10wwp68g7qf9ccya1irynx5g-clang-wrapper-16.0.6/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- TCL readline library: /nix/store/ajpyasvckmhr4w0jf92hjcqh4wfpy214-tclreadline-2.3.8/lib/libtclreadline.dylib\r\n-- TCL readline header: /nix/store/ajpyasvckmhr4w0jf92hjcqh4wfpy214-tclreadline-2.3.8/include\r\n-- Found SWIG: /nix/store/f0kg0q7y7m9rlfs1skgrqkhhmbhd2slh-swig-3.0.12/bin/swig (found suitable version \"3.0.12\", minimum required is \"3.0\") \r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") \r\n-- boost: 1.83.0\r\n-- Found Python3: /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/include/python3.11 (found version \"3.11.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /nix/store/a377d66g1rgsd4vkzxdhkza5g55fkmky-zlib-1.3/lib/libz.dylib (found version \"1.3\") \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- spdlog: 1.12.0\r\n-- Found BISON: /nix/store/js4fzri749vfnjda5jz73ydclv5dgjan-bison-3.8.2/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 5b374dd36ad345c9fcd5224e9fc20484393568ab\r\n-- System name: Darwin\r\n-- Compiler: Clang 16.0.6\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /var/empty/local\r\n-- Found FLEX: /nix/store/4zngv9imr4gii0zz9wmi4am1ijf14xib-flex-2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /Users/donn/efabless/openroad/src/sta/app/sta\r\n-- Found Protobuf: /nix/store/nkrmgb9ikzpdrs5h02jrw7yd69wybwv7-protobuf-21.12/lib/libprotobuf.dylib (found version \"3.21.12\") \r\n-- Found re2: /nix/store/hpdlfnhcp4xpc0y2kn2z7644ksy46mz6-re2-2023-11-01-dev/lib/cmake/re2/re2Config.cmake (found version \"11.0.0\") \r\n-- Found GLPK: /nix/store/xh65x0zq6ni7dkb1dymdrahxll81ma8m-glpk-5.0/lib/libglpk.dylib \r\n-- Found OpenMP_CXX: -fopenmp=libomp (found version \"5.0\") \r\n-- Found OpenMP: TRUE (found version \"5.0\") \r\n-- GPU is not enabled\r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- Found Eigen3: /nix/store/wx9kzvpd6ck59i9n2rg2jgir3km31n8b-eigen-3.4.0/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found suitable version \"1.83.0\", minimum required is \"1.78\") \r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization system thread \r\n-- Removing MPL2 and PAR to avoid run time fatal error.\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Removing PAR_PY to avoid run time fatal error.\r\n-- Configuring done (15.1s)\r\n-- Generating done (0.8s)\r\n-- Build files have been written to: /tmp/tmp.bFvsVZtWO2\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nAttempt to build OpenROAD with SWIG 3.0.12.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "swig3 is ancient and we don't support it. Please use swig 4"}]} +{"num": 4402, "messages": [{"user": "### Describe the bug\n\nTrying a smoke-test multi-macro design with core rings: `check_power_grid -net VPWR` reports a multitude of disconnected PDN nets. Key is, the nets are not in fact disconnected there are vias at the claimed location: see this example\r\n\r\n![Screenshot 2023-12-18 at 17 15 43](https://github.com/The-OpenROAD-Project/OpenROAD/assets/12652988/763bacc1-8f29-45b5-aeb6-5ef6023e098d)\r\n\r\nWhen disabling the check, the design does indeed pass LVS.\n\n### Expected Behavior\n\nA design passing LVS should not fail the PSM power grid check.\n\n### Environment\n\n```shell\nGit commit: 75f2f325b7a42e56a92404f33af8e96530d9b202\r\nkernel: Darwin 23.1.0\r\nos: macOS 14.1.1\r\ncmake version 3.27.7\r\nCMake Deprecation Warning at third-party/abc/CMakeLists.txt:1 (cmake_minimum_required):\r\n Compatibility with CMake < 3.5 will be removed from a future version of\r\n CMake.\r\n\r\n Update the VERSION argument value or use a ... suffix to tell\r\n CMake that the project does not need compatibility with older versions.\r\n\r\n\r\nCMake Warning:\r\n Manually-specified variables were not used by the project:\r\n\r\n VERBOSE\r\n\r\n\r\n-- The CXX compiler identification is Clang 16.0.6\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /nix/store/zh206nri10wwp68g7qf9ccya1irynx5g-clang-wrapper-16.0.6/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11533-g75f2f325b\r\n-- System name: Darwin\r\n-- Compiler: Clang 16.0.6\r\n-- Build type: RELEASE\r\n-- Install prefix: /var/empty/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is Clang 16.0.6\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /nix/store/zh206nri10wwp68g7qf9ccya1irynx5g-clang-wrapper-16.0.6/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- TCL readline library: /nix/store/ajpyasvckmhr4w0jf92hjcqh4wfpy214-tclreadline-2.3.8/lib/libtclreadline.dylib\r\n-- TCL readline header: /nix/store/ajpyasvckmhr4w0jf92hjcqh4wfpy214-tclreadline-2.3.8/include\r\n-- Found SWIG: /nix/store/f0kg0q7y7m9rlfs1skgrqkhhmbhd2slh-swig-3.0.12/bin/swig (found suitable version \"3.0.12\", minimum required is \"3.0\") \r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") \r\n-- boost: 1.83.0\r\n-- Found Python3: /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/include/python3.11 (found version \"3.11.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /nix/store/a377d66g1rgsd4vkzxdhkza5g55fkmky-zlib-1.3/lib/libz.dylib (found version \"1.3\") \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- spdlog: 1.12.0\r\n-- Found BISON: /nix/store/js4fzri749vfnjda5jz73ydclv5dgjan-bison-3.8.2/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 5b374dd36ad345c9fcd5224e9fc20484393568ab\r\n-- System name: Darwin\r\n-- Compiler: Clang 16.0.6\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /var/empty/local\r\n-- Found FLEX: /nix/store/4zngv9imr4gii0zz9wmi4am1ijf14xib-flex-2.6.4/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /Users/donn/efabless/openroad/src/sta/app/sta\r\n-- Found Protobuf: /nix/store/nkrmgb9ikzpdrs5h02jrw7yd69wybwv7-protobuf-21.12/lib/libprotobuf.dylib (found version \"3.21.12\") \r\n-- Found re2: /nix/store/hpdlfnhcp4xpc0y2kn2z7644ksy46mz6-re2-2023-11-01-dev/lib/cmake/re2/re2Config.cmake (found version \"11.0.0\") \r\n-- Found GLPK: /nix/store/xh65x0zq6ni7dkb1dymdrahxll81ma8m-glpk-5.0/lib/libglpk.dylib \r\n-- Found OpenMP_CXX: -fopenmp=libomp (found version \"5.0\") \r\n-- Found OpenMP: TRUE (found version \"5.0\") \r\n-- GPU is not enabled\r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- Found Eigen3: /nix/store/wx9kzvpd6ck59i9n2rg2jgir3km31n8b-eigen-3.4.0/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found suitable version \"1.83.0\", minimum required is \"1.78\") \r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization system thread \r\n-- Removing MPL2 and PAR to avoid run time fatal error.\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Removing PAR_PY to avoid run time fatal error.\r\n-- Configuring done (12.0s)\r\n-- Generating done (0.7s)\r\n-- Build files have been written to: /tmp/tmp.iWDflSEtcm\n```\n\n\n### To Reproduce\n\nYou may use the very short `./run.sh` or load the odb into the GUI:\r\n\r\n[repro.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13705445/repro.tgz)\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I believe LVS passes but the design is oddly constructed. The instance has pins:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/aab5cb91-bd2e-495c-9d9d-b67bf2df103d)\r\nwhile at the top level you have:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/795c938a-bdad-4483-8117-1701a08c402e)\r\nThey shapes are connected only through the instance pin shapes. Is this intended? How was this grid constructed?\r\n\r\n"}, {"user": "@maliberty Apologies for the late response. Here's the reproducible for the top-level PDN generation: \r\n\r\n[repro.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13761392/repro.tgz)\r\n\r\nFully expecting something here to not make sense given your confusion \ud83d\ude05 "}, {"assistant": "any response to \"Is this intended? How was this grid constructed?\""}, {"assistant": "nvm"}, {"assistant": "@gadfort any thoughts on this? It appears to be trying to connect the shapes that in the ring pin of the instance. Oddly it doesn't happen in both rings."}, {"assistant": "@gadfort your shape merging idea would fix the psm issue here."}, {"assistant": "@maliberty Ill take a look tomorrow if I can"}]} +{"num": 4406, "messages": [{"user": "### Description\n\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/cc85a028-6603-429e-8c5e-773e31370528)\r\n\r\nTo reproduce, unzip https://drive.google.com/file/d/1jSxumDJ_oWN5MEXX24faCkrg9UB5qNnc/view?usp=sharing, then run (ca. 4000s):\r\n\r\n\r\n```\r\n./run-me-BoomTile-asap7-base.sh\r\n```\r\n\n\n### Suggested Solution\n\nImprove skew in CTS with default/automatic arguments.\n\n### Additional Context\n\n_No response_"}, {"assistant": "@precisionmoon this is somewhat old - not sure if your recent work has solved this."}, {"assistant": "Let me re-run this with the latest version of CTS."}, {"assistant": "Here are some observations:\r\n1) There are no macro sinks here, only registers. So -insertion_delay doesn't help.\r\n2) Skew gets worse after timing repair.\r\n3) clustering diameter and size are no longer required. Removing these options to enable auto clustering slightly improved skew.\r\n\r\nThis is clock tree before timing repair.\r\n\"clock\r\n\r\nThis is clock tree after timing repair.\r\n\"clock\r\n\r\nThere is no short-term quick solution. We need to have a more holistic solution where timing repair can be done within CTS such that optimization is clock-tree aware.\r\n\r\nThanks."}, {"user": "@precisionmoon When you say holistic solution, does that include taking advantage of \"useful skew\" #4656 ?\r\n\r\nIf so, then I propose closing this issue as it covered by #4656. This is then perhaps a useful testcase, but it is not a separate issue, as such."}, {"assistant": "We will keep this issue open for now. Useful skew is a different solution."}, {"assistant": "There is a huge change in insertion delay before and after timing repair. Do you know what accounts for that? Is it related to repair_clock_net?"}, {"assistant": "I didn't see any macro sinks, so where did you see insertion delay changes? There are some \"wire\" buffers on clock trees that degrade clock skew."}, {"assistant": "I meant that the scale on the left side of the graphs is substantially different ~400 vs ~1400"}, {"assistant": "Yes, the latencies increased after repair_clock_net."}]} +{"num": 4407, "messages": [{"user": "### Description\n\nGlobal route takes at least 2x longer than detailed route here.\r\n\r\nTo reproduce(ca. 8000s in global route, vs. 4000s in detailed route):\r\n\r\nunzip https://drive.google.com/file/d/1WmmWfZ_Ctb4wOl-bzoMhFBcu6pD-j0iN/view?usp=sharing, run:\r\n\r\n```\r\n./run-me-BoomTile-asap7-base.sh\r\n```\n\n### Suggested Solution\n\nSpeed up global route.\n\n### Additional Context\n\n_No response_"}, {"assistant": "The biggest problem here is the incremental grt. It can be very long since multiple incremental runs of the GRT are made to repair timing, setup, hold violations, etc.\r\n\r\nWe'll try running a profiler to identify the bottlenecks and how we can improve them."}, {"user": "> The biggest problem here is the incremental grt. It can be very long since multiple incremental runs of the GRT are made to repair timing, setup, hold violations, etc.\r\n> \r\n> We'll try running a profiler to identify the bottlenecks and how we can improve them.\r\n\r\nO(n^2)?"}, {"assistant": "> O(n^2)?\r\n\r\nThe major problem is that it may take thousands of iterations to complete the incremental groute. If you want to test, you can disable the incremental groute by adding the following to the config.mk file of your design:\r\n`export SKIP_INCREMENTAL_REPAIR = 1`\r\n\r\nBut in any case, we'll still look at how to make it faster."}, {"user": "Example of where global route is stuck after 8 hours, which confirms your observation:\r\n\r\n```\r\n[INFO GRT-0018] Total wirelength: 26097568 um\r\n[INFO GRT-0014] Routed nets: 1213301\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nWarning: There are 135660 unclocked register/latch pins.\r\nWarning: There are 135902 unconstrained endpoints.\r\n\r\n==========================================================================\r\nglobal route pre repair design report_design_area\r\n--------------------------------------------------------------------------\r\nDesign area 1364898 u^2 51% utilization.\r\nPerform buffer insertion...\r\n[INFO RSZ-0058] Using max wire length 162um.\r\n[no further output]\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/ef11c023-d245-443a-9d7a-89b711634179)\r\n"}]} +{"num": 4412, "messages": [{"user": "### Description\r\n\r\nI am creating a mock abstract of an SRAM to study the top level of the design. This is an SRAM that belongs to L2 in MegaBoom. So turnaround times are important here, not quality of results.\r\n\r\nuntar https://drive.google.com/file/d/1OwSZ8cEieVASeZUkP5bgHi-G43cWxo-x/view?usp=sharing \r\n\r\n```\r\n./run-me-cc_banks_8192x64-asap7-base.sh \r\nOpenROAD v2.0-11432-gead3236e5 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: /home/oyvind/megaboom/foo/platforms/asap7/lef/asap7_tech_1x_201209.lef\r\n[INFO ODB-0223] Created 24 technology layers\r\n[INFO ODB-0224] Created 9 technology vias\r\n[INFO ODB-0226] Finished LEF file: /home/oyvind/megaboom/foo/platforms/asap7/lef/asap7_tech_1x_201209.lef\r\n[INFO ODB-0222] Reading LEF file: /home/oyvind/megaboom/foo/platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef\r\n[INFO ODB-0225] Created 212 library cells\r\n[INFO ODB-0226] Finished LEF file: /home/oyvind/megaboom/foo/platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef\r\n[WARNING STA-0354] set_input_delay relative to a clock defined on the same port/pin not allowed.\r\n\r\n==========================================================================\r\nFloorplan check_setup\r\n--------------------------------------------------------------------------\r\n[no output for a few mins]\r\n--------------------------------------------------------------------------\r\nnumber instances in verilog is 2749296\r\n[WARNING IFP-0028] Core area lower left (2.000, 2.000) snapped to (2.052, 2.160).\r\n[INFO IFP-0001] Added 1086 rows of 5435 site asap7sc7p5t with height 1.\r\n[no output for a long time]\r\n\r\n```\r\n\r\n### Suggested Solution\r\n\r\nRun faster.\r\n\r\n### Additional Context\r\n\r\nAlthough not relevant to this issue as such, perhaps the reader is interested to know that I have been experimenting with adding something like a \"GUT=1\" variable to ORFS to gut this SRAM in yosys: https://github.com/YosysHQ/yosys/issues/4082\r\n"}, {"user": "Suspending openroad and inspecting the stack trace, I find that it is spending its time here. It spends hours here...\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/8a7544a0-4911-4aae-8be5-b4d3ab7f67a2)\r\n"}, {"user": "Commenting out the line below, makes the testcase move on:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/df7262f5b0f2d3cbe084d9be3797ad7bf6f3c5dc/flow/scripts/floorplan.tcl#L69\r\n\r\nThe next place it get stuck for a bit, but not hours is:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/caaa6c3e-b281-4043-a381-7a74da64673c)\r\n\r\n"}, {"assistant": "design_power is not part of remove_buffers so it would be a separate issue. That is expected to take a while to do power analysis. You can always skip it if you don't care about the result as it is an analysis command."}, {"user": "> design_power is not part of remove_buffers so it would be a separate issue. That is expected to take a while to do power analysis. You can always skip it if you don't care about the result as it is an analysis command.\r\n\r\ndesign_power is not pathologically slow like remove_buffers. I only mention it because I was already profiling."}]} +{"num": 4416, "messages": [{"user": "### Description\n\nOpening OpenROAD in console mode is very useful when working with large designs and logging into remote machines, however tab completion does not work as well:\r\n\r\n\r\n- Run `make open_cts`\r\n- type `report_`, hit tab, alternatives are shown\r\n- type `report_clock`, hit tab. The alternatives for report_clock are not shown.\r\n\r\n\r\nAlternatives for `report_clock` in the GUI:\r\n\r\n![Screenshot_2023-12-20_07-05-36](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/aac9bb8f-787d-4737-a17f-c23d27a40eaf)\r\n\n\n### Suggested Solution\n\nMake tab completion work as well in console mode as GUI mode.\n\n### Additional Context\n\n_No response_"}, {"assistant": "The GUI is custom qt code and not applicable to the console. We rely on tclreadline there which apparently doesn't work well with imported namespaced:\r\n\r\n```\r\nopenroad> sta::report_cl\r\nsta::report_clk_infos sta::report_clock_properties\r\nsta::report_clk_skew sta::report_clock_skew\r\nsta::report_clock1 sta::report_clock_skew_metric\r\nsta::report_clock_min_period \r\n```\r\nworks fine but \r\n```\r\nopenroad> report_cl\r\n```\r\nproduces nothing despite having done ```namespace import sta::*``` at startup. This seems to be more of a tclreadline issue than OR so I'm not clear on how to resolve it. "}, {"user": "@maliberty I see...."}, {"user": "Reopen if there this feature request can come with some sort of idea on what to do about it..."}]} +{"num": 4418, "messages": [{"user": "### Describe the bug\n\nIn gf12/bp_single, we have a bunch of minimum area violations in Power/Ground nets in the sixth metal layer.\n\n### Expected Behavior\n\nPDN nets should not have minimum area violations.\n\n### Environment\n\n```shell\nGit commit: ec214a37b6cfc14ded1e455c48239c8938793df1\r\nkernel: Linux 3.10.0-1160.45.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 8.3.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /opt/rh/devtoolset-8/root/usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11553-gec214a37b\r\n-- System name: Linux\r\n-- Compiler: GNU 8.3.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 8.3.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /opt/rh/devtoolset-8/root/usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /opt/rh/rh-python38/root/usr/bin/python3.8 (found version \"3.8.13\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL readline library: /usr/lib64/libtclreadline.so\r\n-- TCL readline header: /usr/include\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\")\r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.6m (found version \"3.6.8\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /opt/or-tools/lib64/libz.a (found version \"1.2.11\")\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.0.4\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.5\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 5b374dd36ad345c9fcd5224e9fc20484393568ab\r\n-- System name: Linux\r\n-- Compiler: GNU 8.3.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/local/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/emrmonteiro/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\")\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Eigen3: /opt/or-tools/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.TQcTltHpBU\n```\n\n\n### To Reproduce\n\nThe violations are visible in the latest results of our CI.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "@maliberty FYI."}, {"assistant": "@gadfort does pdn have a min-area check or patching?"}, {"assistant": "@maliberty yes, it should for vias and straps. Since there have not been any modifications to pdn I find it strange that this issue would suddenly appear. What changed?"}, {"assistant": "Its not necessarily new - we are just examining things more closely"}]} +{"num": 4425, "messages": [{"user": "### Describe the bug\r\n\r\nuntar https://drive.google.com/file/d/11wkSmWjzmy3a4IA24t9M2lF04Wy9XAAz/view?usp=sharing\r\n\r\nRun floorplan.tcl:\r\n\r\n```\r\n./run-me-DigitalTop-asap7-base.sh\r\n```\r\n\r\nExamine results:\r\n\r\n```\r\n$ make ... open_2_1_floorplan.odb\r\n[deleted]\r\nOpenROAD v2.0-11553-gec214a37b \r\n[deleted]\r\nopenroad> report_clock_min_period\r\nclock period_min = 0.00 fmax = inf\r\nclock_vir period_min = 0.00 fmax = inf\r\nopenroad> \r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nminimum clock period should not be 0.\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11553-gec214a37b\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "This looks bad:\r\n```\r\nWarning: There are 135660 unclocked register/latch pins.\r\nWarning: There are 135902 unconstrained endpoints.\r\n```"}, {"assistant": "Is this right\r\n```\r\n[WARNING ORD-2011] LEF master cc_banks_8192x64 has no liberty cell.\r\n[WARNING ORD-2011] LEF master cc_dir_1024x16 has no liberty cell.\r\n[WARNING ORD-2011] LEF master data_data_40x128 has no liberty cell.\r\n[WARNING ORD-2011] LEF master regfile_128x65 has no liberty cell.\r\n[WARNING ORD-2011] LEF master regfile_128x64 has no liberty cell.\r\n[WARNING ORD-2011] LEF master BoomNonBlockingDCache has no liberty cell.\r\n[WARNING ORD-2011] LEF master data_2048x2 has no liberty cell.\r\n[WARNING ORD-2011] LEF master btb_128x14 has no liberty cell.\r\n[WARNING ORD-2011] LEF master ebtb_128x40 has no liberty cell.\r\n[WARNING ORD-2011] LEF master meta_128x30 has no liberty cell.\r\n[WARNING ORD-2011] LEF master table_128x11 has no liberty cell.\r\n[WARNING ORD-2011] LEF master table_256x12 has no liberty cell.\r\n[WARNING ORD-2011] LEF master table_128x13 has no liberty cell.\r\n[WARNING ORD-2011] LEF master ghist_40x64 has no liberty cell.\r\n[WARNING ORD-2011] LEF master meta_40x120 has no liberty cell.\r\n[WARNING ORD-2011] LEF master ICache has no liberty cell.\r\n[WARNING ORD-2011] LEF master l2_tlb_ram_0_512x45 has no liberty cell.\r\n[WARNING ORD-2011] LEF master ram_256x8 has no liberty cell.\r\n```"}, {"assistant": "clock is only connected to 4 FF:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/b74e6baf-bcdb-470e-93ba-7cc3f00b700a)\r\n\r\nI think you just don't have any constrained paths to generate a min clock period from."}, {"user": "Thanks!\r\n\r\nThere are three top level clocks. I need to update my .sdc file.\r\n\r\n"}]} +{"num": 4426, "messages": [{"user": "### Describe the bug\n\nThis is from https://github.com/The-OpenROAD-Project/megaboom/commit/bd036b21c9217355c31a34a2a2ef41c049586a91\r\n\r\nuntar https://drive.google.com/file/d/1RQeOoC-Al2PHKsCDgaIlKjwMAQz-NTXK/view?usp=sharing\r\n\r\nload into GUI and load DRC report\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/f1d381fa-0936-49b6-a0a9-1e1e617cc16a)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/b9fbfb09-6f4b-496f-8e21-cfec4699a00a)\r\n\r\nRoute guides for net:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/7a1432d9-47d2-404a-9af6-4bcddd2b87f7)\r\n\n\n### Expected Behavior\n\nNo DRC errors\n\n### Environment\n\n```shell\nOpenROAD v2.0-11553-gec214a37b\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "The guide looks to be very close to a macro where you can't legally drop a via. @eder-matheus any thoughts on the guide?"}, {"assistant": "> The guide looks to be very close to a macro where you can't legally drop a via. @eder-matheus any thoughts on the guide?\r\n\r\nYeah, they look too close to the macro. I'll try the macro extension option to see if it resolves and will investigate how to improve our resource modeling to avoid such guides so near the macros."}, {"assistant": "@oharboe Can you add a reproducible of this issue? Having only the final ODB for the routing will not be enough for debugging the global routing of this design."}]} +{"num": 4433, "messages": [{"user": "### Description\n\nIf there are features that are invisible, because some dependency was not installed at compile time, how can the user know about them?\n\n### Suggested Solution\n\nAdd Charts even if qt charts is not installed, but with a text saying qt charts not installed. This will tell users what features they are missing out on and think to look up the documentation to build OpenROAD correctly\n\n### Additional Context\n\n_No response_"}, {"assistant": "cmake does print a message but this is better marketing."}, {"assistant": "Vim has a feature when running `:version` that displays all of the options it was compiled with. Perhaps something similar could be done with OR? Like `openroad --version` prints out\r\n\r\n```\r\nOpenROAD v2.0-11454-g34687e2a9\r\nFeatures included (+) or not (-):\r\n-charts -eqy +GPU +GUI +Python ...\r\n```"}, {"assistant": "> Vim has a feature when running `:version` that displays all of the options it was compiled with. Perhaps something similar could be done with OR? Like `openroad --version` prints out\r\n> \r\n> ```\r\n> OpenROAD v2.0-11454-g34687e2a9\r\n> Features included (+) or not (-):\r\n> -charts -eqy +GPU +GUI +Python ...\r\n> ```\r\n\r\nThis is a nice idea for text mode but should be a separate issue."}]} +{"num": 4434, "messages": [{"user": "### Description\n\nFollow: https://openroad-flow-scripts.readthedocs.io/en/latest/user/BuildLocally.html\r\n\r\n```\r\nCloning into 'eqy'...\r\nremote: Enumerating objects: 1729, done.\r\nremote: Counting objects: 100% (192/192), done.\r\nremote: Compressing objects: 100% (145/145), done.\r\nremote: Total 1729 (delta 68), reused 81 (delta 44), pack-reused 1537\r\nReceiving objects: 100% (1729/1729), 1.05 MiB | 6.77 MiB/s, done.\r\nResolving deltas: 100% (1105/1105), done.\r\nNote: switching to '0cc2ff0'.\r\n\r\nYou are in 'detached HEAD' state. You can look around, make experimental\r\nchanges and commit them, and you can discard any commits you make in this\r\nstate without impacting any branches by switching back to a branch.\r\n\r\nIf you want to create a new branch to retain commits you create, you may\r\ndo so (now or later) by using -c with the switch command. Example:\r\n\r\n git switch -c \r\n\r\nOr undo this operation with:\r\n\r\n git switch -\r\n\r\nTurn off this advice by setting config variable advice.detachedHead to false\r\n\r\nHEAD is now at 0cc2ff0 Merge pull request #46 from YosysHQ/jix/dependent-shell-pattern-escape\r\nyosys-config --build src/eqy_combine.so src/eqy_combine.cc \r\nmake: yosys-config: No such file or directory\r\nmake: *** [Makefile:26: src/eqy_combine.so] Error 127\r\n```\r\n\r\nRun:\r\n\r\n```\r\nmake DESIGN_CONFIG=designs/asap7/aes/config.mk route\r\n```\r\n\r\nI get:\r\n\r\n```\r\n[deleted]\r\n[INFO RSZ-0045] Inserted 1093 buffers, 1 to split loads.\r\n[INFO RSZ-0041] Resized 275 instances.\r\n[INFO RSZ-0043] Swapped pins on 416 instances.\r\n[INFO RSZ-0049] Cloned 174 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n[INFO RSZ-0033] No hold violations found.\r\nError: cts.tcl, 111 couldn't execute \"eqy\": no such file or directory\r\nCommand exited with non-zero status 1\r\nElapsed time: 1:16.98[h:]min:sec. CPU time: user 76.77 sys 0.19 (99%). Peak memory: 277960KB.\r\nmake[1]: *** [Makefile:705: do-4_1_cts] Error 1\r\nmake: *** [Makefile:705: results/asap7/aes/base/4_1_cts.odb] Error 2\r\n```\r\n\r\n\n\n### Suggested Solution\n\nShould work, dependencies should be installed\n\n### Additional Context\n\n_No response_"}, {"assistant": "I thought the dependency installer does this now @vvbandeira "}, {"user": "> I thought the dependency installer does this now @vvbandeira\r\n\r\nYes, but it fails..."}, {"assistant": "[INFO RSZ-0049] Cloned 2 instances.\r\n[WARNING RSZ-0062] Unable to repair all setup violations.\r\n[INFO RSZ-0033] No hold violations found.\r\nError: cts.tcl, 111 couldn't execute \"eqy\": no such file or directory\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:04.16[h:]min:sec. CPU time: user 4.04 sys 0.07 (98%). Peak memory: 105980KB.\r\nmake[1]: *** [Makefile:716: do-4_1_cts] Error 1\r\nmake: *** [Makefile:716: results/nangate45/gcd/base/4_1_cts.odb] Error 2\r\nuser@ubuntu:~/Desktop/OpenROAD-flow-scripts/flow$ make gui_final\r\nmake: *** No rule to make target 'gui_6_final.odb', needed by 'gui_final'. Stop.\r\nuser@ubuntu:~/Desktop/OpenROAD-flow-scripts/flow$ \r\n\r\n\r\n\r\nsame failure...Why?I am new to openroad"}, {"assistant": "@oharboe and @princeofgambit \r\nCould you try again with the latest code? Please also make sure to `source env.sh` before running the flow if you used `sudo ./setup.sh` to install the dependencies."}, {"assistant": "Hi @maliberty and @vvbandeira,\r\n\r\nI am also getting the same error. I am using the latest code and also ran source env.sh. Please look below for details:\r\nCommand 1: make DESIGN_CONFIG=./designs/nangate45/aes/config.mk\r\n\r\nError: cts.tcl, 111 couldn't execute \"eqy\": no such file or directory\r\nCommand exited with non-zero status 1\r\nElapsed time: 2:08.71[h:]min:sec. CPU time: user 128.63 sys 0.07 (99%). Peak memory: 189940KB.\r\nMakefile:716: recipe for target 'do-4_1_cts' failed\r\nmake[1]: *** [do-4_1_cts] Error 1\r\nMakefile:716: recipe for target 'results/nangate45/aes/base/4_1_cts.odb' failed\r\nmake: *** [results/nangate45/aes/base/4_1_cts.odb] Error 2\r\nPlease help me in resolving the error. \r\n\r\nThanks,\r\nShruti"}, {"assistant": "@pandey-shruti \r\nrun `sudo ./setup.sh`, that way `dependencies` folder will be created and installed yosys and eqy."}, {"assistant": "This doesn't work on MacOS M1.\r\nRunning setup.sh didn't install eqy.\r\nIt installs tools/LSOracle and tools/yosys and then the OR build is broken.\r\n\r\nI had to fix tools/OpenROAD/etc/DependencyInstaller.sh to get ortools 9.8 on macOS Sonoma, there is a pending bug report about this that didn't make it yet.\r\n```\r\n+ orToolsVersionBig=9.8\r\n+ orToolsVersionSmall=${orToolsVersionBig}.3296\r\n+ _installOrTools \"macOS\" \"14.1\" $(uname -m)\r\n``` \r\nI did blow away my previously working tools/OpenROAD/build directory and used ./build_openroad.sh --local --latest as usual.\r\nThe build then fails with something related to tcl and swig.\r\nAnybody an idea what's causing this compile error ?\r\n```\r\n[ 86%] Building CXX object src/gui/CMakeFiles/gui.dir/src/tclCmdInputWidget.cpp.o\r\nIn file included from OpenROAD-flow-scripts/tools/OpenROAD/src/gui/src/tclCmdInputWidget.cpp:33:\r\nIn file included from OpenROAD-flow-scripts/tools/OpenROAD/src/gui/src/tclCmdInputWidget.h:50:\r\nOpenROAD-flow-scripts/tools/OpenROAD/build/src/gui/tclSwig.h:1623:11: error: unknown type name 'Tcl_Size'; did you mean 'Tcl_Time'?\r\n Tcl_Size *vlptr = (Tcl_Size *) va_arg(ap, void *);\r\n ^~~~~~~~\r\n Tcl_Time\r\n```"}, {"assistant": "@oharboe are you still having this issue?"}, {"user": "Yes:\r\n\r\n```\r\n$ lsb_release -a\r\nNo LSB modules are available.\r\nDistributor ID:\tUbuntu\r\nDescription:\tUbuntu 23.10\r\nRelease:\t23.10\r\nCodename:\tmantic\r\n$ which yosys\r\n/home/oyvind/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys\r\n$ which -a yosys\r\n/home/oyvind/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys\r\n/usr/bin/yosys\r\n/bin/yosys\r\n$ sudo ./setup.sh\r\n[deleted]\r\nLemon already installed.\r\nspdlog already installed.\r\nCloning into 'eqy'...\r\nremote: Enumerating objects: 1766, done.\r\nremote: Counting objects: 100% (250/250), done.\r\nremote: Compressing objects: 100% (173/173), done.\r\nremote: Total 1766 (delta 101), reused 125 (delta 68), pack-reused 1516\r\nReceiving objects: 100% (1766/1766), 1.01 MiB | 2.05 MiB/s, done.\r\nResolving deltas: 100% (1122/1122), done.\r\nNote: switching to '8327ac7'.\r\n\r\nYou are in 'detached HEAD' state. You can look around, make experimental\r\nchanges and commit them, and you can discard any commits you make in this\r\nstate without impacting any branches by switching back to a branch.\r\n\r\nIf you want to create a new branch to retain commits you create, you may\r\ndo so (now or later) by using -c with the switch command. Example:\r\n\r\n git switch -c \r\n\r\nOr undo this operation with:\r\n\r\n git switch -\r\n\r\nTurn off this advice by setting config variable advice.detachedHead to false\r\n\r\nHEAD is now at 8327ac7 Merge pull request #49 from YosysHQ/jix/submodule_example\r\nyosys-config --build src/eqy_combine.so src/eqy_combine.cc \r\nmake: yosys-config: No such file or directory\r\nmake: *** [Makefile:26: src/eqy_combine.so] Error 127\r\n```\r\n"}]} +{"num": 4436, "messages": [{"user": "### Description\n\nBuilding on https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1722, it would be useful to use CORE_UTILIZATION, but that the area is increased so that the pins, respecting io constraints, can fit.\n\n### Suggested Solution\n\nCORE_UTILIZATION sets a minimum size, but the die area is increased such that io pins fit.\n\n### Additional Context\n\n..."}, {"user": "@maliberty Perhaps the more idiomatic solution for openroad and ORFS is to print actionable error: increase CORE_UTILIZATION from xx to yy?\r\n\r\nSettings specified should be used as is and the user should adjust if necessary based on information in errors, this reduces coupling between stages in the flow amongst other benefits."}, {"assistant": "It might be better to adjust CORE_MARGIN rather than spread things out more just to get more perimeter. "}, {"assistant": "Though strictly speaking ppl doesn't know if you used CORE_UTILIZATION/CORE_MARGIN or DIE_AREA in ifp so we might need to suggest both."}, {"user": "> Though strictly speaking ppl doesn't know if you used CORE_UTILIZATION/CORE_MARGIN or DIE_AREA in ifp so we might need to suggest both.\r\n\r\nMakes sense. An error message that it didnt fit would be an improvement even if much more specific advise in the error isnt approperiate."}, {"assistant": "@oharboe Maybe I'm missing something, but it\u00b4s unclear what the request is here. If I remember correctly, PPL already has error messages that suggest how much the edges should be increased.\r\n\r\nWould the idea here be to suggest alternatives for CORE_UTILIZATION, CORE_MARGIN, and DIE_AREA when PPL can't fit the pins in the boundaries?"}, {"user": "> @oharboe Maybe I'm missing something, but it\u00b4s unclear what the request is here. If I remember correctly, PPL already has error messages that suggest how much the edges should be increased.\r\n\r\nIf it shows a) how much it is today b) how much it would need to be as well as how many pins that fit and how many that were requested to fit, then the user can carry the water the rest of the way. There are going to be differences in the action to take depending on build system and how it is configured(ORFS, SiliconCompiler, etc.)"}, {"assistant": "> If it shows a) how much it is today b) how much it would need to be as well as how many pins that fit and how many that were requested to fit, then the user can carry the water the rest of the way. There are going to be differences in the action to take depending on build system and how it is configured(ORFS, SiliconCompiler, etc.)\r\n\r\nThanks for the clarification! I'll work on adding these pieces of information to PPL. I believe this will require updating a few error messages, like when constrained pins don't fit, when pin groups don't fit, and even when just regular pins will not fit."}, {"user": "Super! The general idea is that an error message should generally have all the information required to remedy the problem, i.e. the error should be actionable."}, {"assistant": "@oharboe I've started with the error where the die area will not fit all the pins. This is the new message:\r\n\r\n`[ERROR PPL-0024] Number of IO pins (54) exceeds maximum number of available positions (52). Increase the die area to 12074.83 to have enough space for all the pins.`\r\n\r\nIs it aligned with your request? "}, {"user": "> @oharboe I've started with the error where the die area will not fit all the pins. This is the new message:\r\n> \r\n> `[ERROR PPL-0024] Number of IO pins (54) exceeds maximum number of available positions (52). Increase the die area to 12074.83 to have enough space for all the pins.`\r\n> \r\n> Is it aligned with your request?\r\n\r\nIt should be to increase width/height because it may be desirable to change aspect and keep area for instance..."}, {"assistant": "> It should be to increase width/height because it may be desirable to change aspect and keep area for instance...\r\n\r\nSo it would be more like what we have for the constraints:\r\n`[WARNING PPL-0110] Constraint has 54 pins, but only 52 available slots. Increase the region in at least 0.52um.`"}, {"user": "replace \"the region\" with \"region on left/top/right/bottom\".\r\n\r\nthe idea is to provide information that the user must anyway find out ..."}, {"assistant": "> the idea is to provide information that the user must anyway find out ...\r\n\r\nThis is not the final message. This is just an example from an existing message that I used to understand if the format is what you need. "}]} +{"num": 4437, "messages": [{"user": "### Description\n\nIt would be nice to be able to sort ascending/descending on e.g. area by clicking at the label of the column:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/f009cd84-cf79-4b81-858d-13403449a910)\r\n\n\n### Suggested Solution\n\nAdd sorting\n\n### Additional Context\n\n_No response_"}, {"assistant": "@AcKoucher I think there is a Qt option for sorting that just needs to be enabled."}, {"assistant": "Fixed by https://github.com/The-OpenROAD-Project/OpenROAD/pull/4460"}]} +{"num": 4441, "messages": [{"user": "### Description\n\nYou can run `estimate_parasitics` prior to RCX extraction with OpenROAD, however, there isn't a way to dump this data to a SPEF file as far as we can tell. We're trying to bring up a PDK internally and it would be helpful for calibrating the RC values. \n\n### Suggested Solution\n\nA way to dump the estimated parasitics would be ideal.\n\n### Additional Context\n\n_No response_"}, {"assistant": "There isn't a method currently. How would you use the spef? If you just need something like total C that's simpler."}, {"assistant": "I'd also like this"}, {"user": "> There isn't a method currently. How would you use the spef? If you just need something like total C that's simpler.\r\n\r\nWe're trying to compare the values pre and post-routing (and RCX) to try and calibrate the RC values to try to get the pre-routed values a bit closer to post-route extracted parasitics.\r\n\r\nIf total C (presuming you meant per-net) can also be dumped the same format pre and post routing and RCX, that would also be helpful as a starting point."}, {"assistant": "@donn take a look in ORFS where we have a script that does linear correlation between GPL, GRT, and RCX parasitics on both total cap and total res.\r\n\r\n[`write_net_rc.tcl`](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/6b53853149d273909d7458f3f6dcba1397767454/flow/util/write_net_rc.tcl) contains a bunch of procs for extracting cap and res for each net.\r\n[`write_net_rc_script.tcl`](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/6b53853149d273909d7458f3f6dcba1397767454/flow/util/write_net_rc_script.tcl) uses those procs to get the GPL, GRT, and RCX cap and res into CSV files.\r\n[`correlateRC.py`](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/6b53853149d273909d7458f3f6dcba1397767454/flow/util/correlateRC.py) does a simple linear regression on the estimated cap and the extracted cap to generate a correlated `setRC.tcl`\r\n\r\n[`make correlate_rc`](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/6b53853149d273909d7458f3f6dcba1397767454/flow/util/utils.mk#L46-L48) will run these scripts on a specific design\r\n[`make correlate_rc_platform`](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/6b53853149d273909d7458f3f6dcba1397767454/flow/util/utils.mk#L53-L59) will run the correlation on all designs in an entire platform, rather than just on a single design. Although for some reason Make always wants to rebuild a design from scratch even if it exists.\r\n"}]} +{"num": 4449, "messages": [{"user": "### Description\r\n\r\nWhen zooming in/out it is helpful with a low level of detail to speed up the GUI.\r\n\r\nHowever, when the GUI is idle, it would be nice if the design rendered higher level of detail in the background.\r\n\r\nExample:\r\n\r\n1. unzip https://drive.google.com/file/d/1lcqYhsNwozFox4IzPegbkxAW9Kk4V4Lq/view?usp=sharing\r\n2. See top level view, all black (good, because panning zooming at top level is fast):\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/3c8828a1-87ae-47bb-80f3-5beb5e1d1a0b)\r\n3. zoom in, see more detail: \r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/4e8e295d-1a6e-4f8c-a365-55185ec18ce3)\r\n4. It would be nice if, after a while, the top level rendered more detail.\r\n\r\n\r\n### Suggested Solution\r\n\r\nQuick zoom/pan hither and dither, then stop moving and wait for higher level of detail. The rendering of higher level of detail should be aborted upon further panning/zooming.\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "What defines \"a low level of detail\"?"}, {"user": "> What defines \"a low level of detail\"?\r\n\r\nSomething that is rendered quickly enough to make the GUI interactive and intuitive. Some sort of heuristic is used today....\r\n\r\nIdeally when panning around, rendering should be on the order of 500ms or less and then as user stops wiggling things around, things could take a long time(a few seconds to dozen of seconds w/heatmaps) to render in full detail."}]} +{"num": 4454, "messages": [{"user": "### Describe the problem\r\n\r\n1. unzip https://drive.google.com/file/d/1_yIOwyTIN9uo6PW_HqJT5NjnieWiBeMx/view?usp=sharing\r\n2. execute command below\r\n\r\n```\r\n./run-me-DigitalTop-asap7-base.sh\r\n```\r\n\r\nUses lots of memory:\r\n\r\n```\r\n PID USER PR NI VIRT RES SHR S %CPU %MEM TIME+ COMMAND \r\n2402025 oyvind 20 0 49,9g 49,4g 57216 R 99,7 78,7 5:23.24 openroad \r\n```\r\n\r\nRuns 'forever' if it starts to use swap.\r\n\r\nOutput:\r\n\r\n```\r\nOpenROAD v2.0-11584-gdfb48568b\r\n[...]\r\n[didn't wait for completion, aborted]\r\n```\r\n\r\ndie area, 2000um x 2000um:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/032d28e8-ccbd-4835-a4a5-8b6d1265513f)\r\n\r\n\r\n### Expected Behavior\r\n\r\nShould complete in some reasonable amount of time. Fast PDN is very useful because it is part of iterating on the floorplan.\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11584-gdfb48568b\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above.\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "Some suspend resume stacks up to and including:\r\n\r\n```\r\nOpenROAD v2.0-11584-gdfb48568b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO PDN-0001] Inserting grid: top\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/52ef28d2-cf62-47c1-8b48-a2653feeeb0f)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/c40a43e0-e2d9-4a2f-a5b5-77948043ede8)\r\n\r\n"}, {"user": "Some suspend resume snapshots *after*:\r\n\r\n```\r\nOpenROAD v2.0-11584-gdfb48568b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO PDN-0001] Inserting grid: top\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/80049ea5-5b4c-4b84-950a-25c2320b2aa6)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/17440000-1d47-45d7-9d84-18f0d320b1a3)\r\n"}, {"user": "After ca. 6 hours, the following output:\r\n\r\n```\r\n[INFO PDN-0001] Inserting grid: ElementGrid - tile_prci_domain/tile_reset_domain/boom_tile/dcache\r\n[INFO PDN-0001] Inserting grid: ElementGrid - tile_prci_domain/tile_reset_domain/boom_tile/frontend/bpd\r\n[INFO PDN-0001] Inserting grid: ElementGrid - tile_prci_domain/tile_reset_domain/boom_tile/core/FpPipeline\r\n[INFO PDN-0001] Inserting grid: ElementGrid - tile_prci_domain/tile_reset_domain/boom_tile/frontend/icache\r\n```\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/db6d6408-ffb3-42a9-83b1-c6714ab17c47)\r\n"}, {"assistant": "With OpenROAD v2.0-11595-g31d7e3dc5 \r\n\r\nit took under 1hr:\r\n```\r\nreal\t52m24.020s\r\n```\r\nso I can't reproduce your issue."}, {"user": "> With OpenROAD v2.0-11595-g31d7e3dc5\r\n> \r\n> it took under 1hr:\r\n> \r\n> ```\r\n> real\t52m24.020s\r\n> ```\r\n> \r\n> so I can't reproduce your issue.\r\n\r\nWhat kind of machine do you have? How much L3 cache do you have?"}, {"user": "This is the PC I used. I will try again with `OpenROAD v2.0-11595-g31d7e3dc5`\r\n\r\nQuite a large memory footprint for 16mByte L3...\r\n\r\n```\r\n PID USER PR NI VIRT RES SHR S %CPU %MEM TIME+ COMMAND \r\n2402025 oyvind 20 0 49,9g 49,4g 57216 R 99,7 78,7 5:23.24 openroad \r\n```\r\n\r\nThe run got terminated (as well as other apps) as the machine ran out of swap space, probably. It happened twice, so I'm pretty sure that was what happened.\r\n\r\n```\r\n$ swapon --show\r\nNAME TYPE SIZE USED PRIO\r\n/swapfile file 128G 1,3G -2\r\n$ free -h\r\n total used free shared buff/cache available\r\nMem: 62Gi 2,2Gi 60Gi 55Mi 1,2Gi 60Gi\r\nSwap: 127Gi 1,3Gi 126Gi\r\n```\r\n\r\n\r\n```\r\n$ lscpu\r\nArchitecture: x86_64\r\n CPU op-mode(s): 32-bit, 64-bit\r\n Address sizes: 39 bits physical, 48 bits virtual\r\n Byte Order: Little Endian\r\nCPU(s): 16\r\n On-line CPU(s) list: 0-15\r\nVendor ID: GenuineIntel\r\n Model name: Intel(R) Core(TM) i9-9900KF CPU @ 3.60GHz\r\n CPU family: 6\r\n Model: 158\r\n Thread(s) per core: 2\r\n Core(s) per socket: 8\r\n Socket(s): 1\r\n Stepping: 13\r\n CPU(s) scaling MHz: 84%\r\n CPU max MHz: 5000,0000\r\n CPU min MHz: 800,0000\r\n BogoMIPS: 7200,00\r\n Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush\r\n dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_\r\n tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmp\r\n erf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pd\r\n cm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c\r\n rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single ssbd ibrs ibpb\r\n stibp ibrs_enhanced tpr_shadow flexpriority ept vpid ept_ad fsgsbase tsc_adjus\r\n t bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsav\r\n eopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_windo\r\n w hwp_epp vnmi md_clear flush_l1d arch_capabilities\r\nVirtualization features: \r\n Virtualization: VT-x\r\nCaches (sum of all): \r\n L1d: 256 KiB (8 instances)\r\n L1i: 256 KiB (8 instances)\r\n L2: 2 MiB (8 instances)\r\n L3: 16 MiB (1 instance)\r\nNUMA: \r\n NUMA node(s): 1\r\n NUMA node0 CPU(s): 0-15\r\nVulnerabilities: \r\n Gather data sampling: Mitigation; Microcode\r\n Itlb multihit: KVM: Mitigation: VMX disabled\r\n L1tf: Not affected\r\n Mds: Not affected\r\n Meltdown: Not affected\r\n Mmio stale data: Mitigation; Clear CPU buffers; SMT vulnerable\r\n Retbleed: Mitigation; Enhanced IBRS\r\n Spec rstack overflow: Not affected\r\n Spec store bypass: Mitigation; Speculative Store Bypass disabled via prctl\r\n Spectre v1: Mitigation; usercopy/swapgs barriers and __user pointer sanitization\r\n Spectre v2: Mitigation; Enhanced / Automatic IBRS, IBPB conditional, RSB filling, PBRSB-eIB\r\n RS SW sequence\r\n Srbds: Mitigation; Microcode\r\n Tsx async abort: Mitigation; TSX disabled\r\n```\r\n"}, {"user": "Will try on this machine...\r\n\r\nI don't expect much better luck as PDN is running out of memory and into swap space here as well, same amount of physical memory as on my feebler machine.\r\n\r\n\r\n\r\n\r\n```\r\n$ swapon --show\r\nNAME TYPE SIZE USED PRIO\r\n/swapfile file 2G 2G -2\r\n/swapfile1 file 256G 95,9G -3\r\n$ free -h\r\n total used free shared buff/cache available\r\nMem: 62Gi 61Gi 493Mi 3,4Mi 1,5Gi 1,3Gi\r\nSwap: 257Gi 97Gi 160Gi\r\n$ lscpu\r\nArchitecture: x86_64\r\n CPU op-mode(s): 32-bit, 64-bit\r\n Address sizes: 43 bits physical, 48 bits virtual\r\n Byte Order: Little Endian\r\nCPU(s): 48\r\n On-line CPU(s) list: 0-47\r\nVendor ID: AuthenticAMD\r\n Model name: AMD Ryzen Threadripper 3960X 24-Core Processor\r\n CPU family: 23\r\n Model: 49\r\n Thread(s) per core: 2\r\n Core(s) per socket: 24\r\n Socket(s): 1\r\n Stepping: 0\r\n Frequency boost: enabled\r\n CPU(s) scaling MHz: 86%\r\n CPU max MHz: 3800,0000\r\n CPU min MHz: 2200,0000\r\n BogoMIPS: 7585,79\r\n Flags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush\r\n mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc\r\n rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf rapl pni pclmulqdq moni\r\n tor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm\r\n cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs\r\n skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb ca\r\n t_l3 cdp_l3 hw_pstate ssbd mba ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 \r\n cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 cqm_ll\r\n c cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr rdpru wbno\r\n invd amd_ppin arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid\r\n decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif v_spec_ctrl um\r\n ip rdpid overflow_recov succor smca sev sev_es\r\nVirtualization features: \r\n Virtualization: AMD-V\r\nCaches (sum of all): \r\n L1d: 768 KiB (24 instances)\r\n L1i: 768 KiB (24 instances)\r\n L2: 12 MiB (24 instances)\r\n L3: 128 MiB (8 instances)\r\nNUMA: \r\n NUMA node(s): 1\r\n NUMA node0 CPU(s): 0-47\r\nVulnerabilities: \r\n Gather data sampling: Not affected\r\n Itlb multihit: Not affected\r\n L1tf: Not affected\r\n Mds: Not affected\r\n Meltdown: Not affected\r\n Mmio stale data: Not affected\r\n Retbleed: Mitigation; untrained return thunk; SMT enabled with STIBP protection\r\n Spec rstack overflow: Mitigation; safe RET\r\n Spec store bypass: Mitigation; Speculative Store Bypass disabled via prctl\r\n Spectre v1: Mitigation; usercopy/swapgs barriers and __user pointer sanitization\r\n Spectre v2: Mitigation; Retpolines, IBPB conditional, STIBP always-on, RSB filling, PBRSB-e\r\n IBRS Not affected\r\n Srbds: Not affected\r\n Tsx async abort: Not affected\r\n```\r\n\r\n\r\n```\r\n$ time ./run-me-DigitalTop-asap7-base.sh \r\nOpenROAD v2.0-11595-g31d7e3dc5 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n```\r\n"}, {"assistant": "Its fairly old:\r\n```\r\n% lscpu\r\nArchitecture: x86_64\r\nCPU op-mode(s): 32-bit, 64-bit\r\nByte Order: Little Endian\r\nCPU(s): 40\r\nOn-line CPU(s) list: 0-39\r\nThread(s) per core: 1\r\nCore(s) per socket: 20\r\nSocket(s): 2\r\nNUMA node(s): 2\r\nVendor ID: GenuineIntel\r\nCPU family: 6\r\nModel: 85\r\nModel name: Intel(R) Xeon(R) Gold 6148 CPU @ 2.40GHz\r\nStepping: 4\r\nCPU MHz: 1068.164\r\nCPU max MHz: 3700.0000\r\nCPU min MHz: 1000.0000\r\nBogoMIPS: 4800.00\r\nVirtualization: VT-x\r\nL1d cache: 32K\r\nL1i cache: 32K\r\nL2 cache: 1024K\r\nL3 cache: 28160K\r\nNUMA node0 CPU(s): 0,2,4,6,8,10,12,14,16,18,20,22,24,26,28,30,32,34,36,38\r\nNUMA node1 CPU(s): 1,3,5,7,9,11,13,15,17,19,21,23,25,27,29,31,33,35,37,39\r\nFlags: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc aperfmperf eagerfpu pni pclmulqdq dtes64 monitor ds_cpl vmx smx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid dca sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch epb cat_l3 cdp_l3 invpcid_single intel_ppin intel_pt ssbd mba ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid fsgsbase tsc_adjust bmi1 hle avx2 smep bmi2 erms invpcid rtm cqm mpx rdt_a avx512f avx512dq rdseed adx smap clflushopt clwb avx512cd avx512bw avx512vl xsaveopt xsavec xgetbv1 cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local dtherm ida arat pln pts pku ospke md_clear spec_ctrl intel_stibp flush_l1d arch_capabilities\r\n```"}, {"user": "@maliberty Relabeled issue as a feature request"}, {"user": "@maliberty Ca. 2x as much L3 as I have. How much RAM do you have? I believe the reason it completes in a reasonable amount of time is that you have a lot of memory..."}, {"user": "I'm not going to do any additional tests, I think we have pretty convincing evidence that the problem is the surprisingly large memory consumption of PDN."}, {"user": "When I reduce the size of the floorplan from 2000um x 2000um to 1000um x 1000um, then PDN completes in 3 minutes or so, compared to never completing. Memory consumption is well below 10gGByte near as I can see, so memory consumption increases more than proportionally to area, it would seem."}, {"assistant": "@oharboe how were some of these LEFs generated? Some of them are 100's of MBs, with 4M+ shapes for the power pins (exposing all the vias internally does not offer a lot of abstractions for the tools).\r\nThis is something that historically will cause some issues with memory use. I'm not sure why switching from 2000->1000 speed things up by that much.\r\nI'm not sure if there is something that PDN can really do (other than do some basic filtering on the shapes) when the abstract views are very detailed.\r\nI can take a look to see if there is anything else that could help, but I would take a look at the LEFs to see why they are so large."}, {"user": "> I can take a look to see if there is anything else that could help, but I would take a look at the LEFs to see why they are so large.\r\n\r\nWhich LEFs are you interested in?\r\n\r\nThey are from this project https://github.com/The-OpenROAD-Project/megaboom"}, {"assistant": "@oharboe `BoomNonBlockingDCache` the LEF is 300MB for example, it looks like it is exposing the entire power grid and probably doesn't have power pins in the actual subblock."}, {"user": "> @oharboe `BoomNonBlockingDCache` the LEF is 300MB for example, it looks like it is exposing the entire power grid and probably doesn't have power pins in the actual subblock.\r\n\r\nI had to create the abstract just after the floorplan as I was working on the floorplan higher up.\r\n\r\nCould that explain the unusual characteristics or would you expect the same after place, cts and route?"}, {"assistant": "@oharboe no, either the power grid specification didn't note the layer to add pins to or the LEF writing is doing something wrong. The later stages should not matter I think for the LEF generation."}, {"assistant": "@oharboe this seems to have stalled out on the size of your LEF macros. Is there something here to pursue as this doesn't seem to be a pdn issue."}, {"user": "> @oharboe this seems to have stalled out on the size of your LEF macros. Is there something here to pursue as this doesn't seem to be a pdn issue.\r\n\r\nI think this stopped being a problem for me after I started mocking memories."}]} +{"num": 4457, "messages": [{"user": "### Describe the bug\r\n\r\nI want to create a slack histogram using a previously placed and routed design. I have a script that read the liberty files for the PDK used in the design, extract RC parasitics and write a spef file, and report timing with `report_checks`, `report_wns`, etc. A warning `[WARNING GRT-0026] Missing route to pin ` is raised, and when trying the `report_checks`command is used a _No paths found_ message is displayed. Obviously trying to create an histogram based off of endpoints result in a crash, but I know this is worked on\r\n\r\n(Note that my IO pins are placed on the top metal layer, if that is of any help)\r\n\r\n### Expected Behavior\r\n\r\nDesign loaded in, RC parasitic extracted and path found for timing analysis => creating a slack histogram\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: 31d7e3dc5ce306b899dd98172022fca8aebc024f\r\nkernel: Linux 6.2.0-39-generic\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[TestCase.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13786918/TestCase.tar.gz)\r\n\r\nExtract this file in _OpenROAD/test/_, enter the TestCase directory created, use `openroad -gui` and in the TCL command box, enter `source \"histogram_script.tcl\"`\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "You'll need to do read_spef as well to load the file. Make sure you do \" set_propagated_clock [all_clocks]\" as well."}, {"user": "I already use `read_spef` in the script that is provided in the test case. I did add `set_propagated_clock [all_clock]` to it, with no success. The \"No path found\" warning is still there, I did enter those two command manually to make sure that the file were loaded in, but still it resulted in a crash (but I am waiting to update with the new PR about it)"}, {"assistant": "You haven't loaded any sdc so there are no timing constraints. Also you have an odd character after 'else' that makes it invalid and so estimate_parasitics is running. \r\n\r\nIs there some reason you need to use your own script instead of ORFS? Debugging your script is not a productive use of time."}, {"user": "I am currently running OpenROAD on a server without any gui, yet I am interested in the histogram slack proposed by OpenROAD for timing analysis. This script was for displaying the timing histogram of a circuit based off of its .odb file acquired on the server. I am also currently still learning about EDAs and ASIC flow so I was more interested in tweaking a custom script rather than using a black box. This is also why I use a custom script to pilot OpenROAD as I can modify it to my needs, and allow to centralise my work into one big directory without affecting the rest\r\n\r\nThank you for your time, and sorry for the inconvenience "}]} +{"num": 4462, "messages": [{"user": "### Describe the bug\n\nThis is from https://github.com/The-OpenROAD-Project/megaboom/commit/f5dd1af7841cf1c811a51206bda6f36b446ff335\r\n\r\nuntar https://drive.google.com/file/d/1BVX_h7KegG6F6Qa48d2bkDXRW-nZoL5e/view?usp=sharing\r\n\r\n```\r\n$ ./run-me-DigitalTop-asap7-base.sh \r\nOpenROAD v2.0-11600-g1bb904876 \r\n[deleted]\r\n[INFO DRT-0077] Complete 2000 pins.\r\n[INFO DRT-0077] Complete 3000 pins.\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/frontend/bpd/clock.\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/core/FpPipeline/clock.\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/frontend/icache/auto_master_out_a_bits_address[0].\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/dcache/auto_out_a_bits_address[0].\r\n[ERROR DRT-0073] No access point for subsystem_l2_wrapper/l2/auto_ctrls_ctrl_in_a_bits_address[0].\r\nError: detail_route.tcl, 69 DRT-0073\r\nopenroad> \r\n```\r\n\n\n### Expected Behavior\n\nNo error\n\n### Environment\n\n```shell\nOpenROAD v2.0-11600-g1bb904876\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "The pin tile_prci_domain/tile_reset_domain/boom_tile/frontend/bpd/clock is completely covered by routing obstruction on M4 and M5. So there is no way to route to the pin.\r\n![Screenshot from 2023-12-31 17-03-45](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56893454/d3683923-c04c-4823-9125-e063042bcf7a)\r\n![Screenshot from 2023-12-31 17-03-52](https://github.com/The-OpenROAD-Project/OpenROAD/assets/56893454/bd75b085-25bc-4bc3-bb2f-a1cb1d76b909)\r\n\r\n"}, {"user": "@osamahammad21 I think this might be a red herring. I'll refile a github issue with more detail if it recoccurs."}]} +{"num": 4466, "messages": [{"user": "### Describe the bug\r\n\r\nThe detailed routing spends ca. 20 minutes to get through 0 and 1st iteration, then things proceed pretty quickly and it gets stuck(infinite loop?) in the 51st iteration.\r\n\r\nTo reproduce:\r\n\r\n1. untar https://drive.google.com/file/d/19y3gw2DL9BOteXvEMB07GBcrMngT5fvp/view?usp=sharing\r\n2. run below\r\n\r\n```\r\n$ NUM_CORES=16 ./run-me-FpPipeline-asap7-base.sh \r\nOpenROAD v2.0-11602-g18cbc8d2b \r\n[deleted]\r\n[INFO DRT-0195] Start 1st optimization iteration.\r\n Completing 10% with 72941 violations.\r\n elapsed time = 00:01:43, memory = 23246.29 (MB).\r\n Completing 20% with 56039 violations.\r\n elapsed time = 00:03:00, memory = 23356.55 (MB).\r\n Completing 30% with 49203 violations.\r\n elapsed time = 00:03:48, memory = 23667.76 (MB).\r\n Completing 40% with 37493 violations.\r\n elapsed time = 00:05:51, memory = 24035.68 (MB).\r\n Completing 50% with 32494 violations.\r\n elapsed time = 00:06:17, memory = 22973.07 (MB).\r\n Completing 60% with 32494 violations.\r\n elapsed time = 00:07:57, memory = 23971.48 (MB).\r\n Completing 70% with 19858 violations.\r\n elapsed time = 00:09:16, memory = 23713.82 (MB).\r\n Completing 80% with 15360 violations.\r\n elapsed time = 00:10:07, memory = 24022.12 (MB).\r\n Completing 90% with 6137 violations.\r\n elapsed time = 00:12:09, memory = 24350.66 (MB).\r\n Completing 100% with 3126 violations.\r\n elapsed time = 00:12:31, memory = 23257.75 (MB).\r\n[INFO DRT-0199] Number of violations = 3592.\r\nViol/Layer M1 M2 M3 V3 M4 V4 M5 V5\r\nCutSpcTbl 0 0 0 55 0 11 0 2\r\nEOL 0 388 8 0 2 0 0 0\r\nMetal Spacing 80 76 234 0 5 0 70 0\r\nRecheck 6 259 183 0 18 0 0 0\r\nShort 8 204 3 0 3 0 23 0\r\neolKeepOut 0 1902 38 0 11 0 3 0\r\n[INFO DRT-0267] cpu time = 03:08:15, elapsed time = 00:12:35, memory = 22662.84 (MB), peak = 24556.07 (MB)\r\nTotal wire length = 1041540 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 296351 um.\r\nTotal wire length on LAYER M3 = 401157 um.\r\nTotal wire length on LAYER M4 = 197543 um.\r\nTotal wire length on LAYER M5 = 101650 um.\r\nTotal wire length on LAYER M6 = 30231 um.\r\nTotal wire length on LAYER M7 = 12775 um.\r\nTotal wire length on LAYER M8 = 1829 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1450472.\r\nUp-via summary (total 1450472):.\r\n\r\n------------------\r\n Active 0\r\n M1 469522\r\n M2 841059\r\n M3 114855\r\n M4 20500\r\n M5 3458\r\n M6 941\r\n M7 137\r\n M8 0\r\n M9 0\r\n------------------\r\n 1450472\r\n\r\n\r\n[INFO DRT-0195] Start 2nd optimization iteration.\r\n Completing 10% with 3592 violations.\r\n elapsed time = 00:00:33, memory = 23634.98 (MB).\r\n Completing 20% with 3178 violations.\r\n elapsed time = 00:00:49, memory = 23311.73 (MB).\r\n Completing 30% with 3072 violations.\r\n elapsed time = 00:01:04, memory = 23409.81 (MB).\r\n Completing 40% with 2731 violations.\r\n elapsed time = 00:01:41, memory = 23313.86 (MB).\r\n Completing 50% with 2667 violations.\r\n elapsed time = 00:01:44, memory = 22406.85 (MB).\r\n Completing 60% with 2667 violations.\r\n elapsed time = 00:02:20, memory = 23354.41 (MB).\r\n Completing 70% with 2296 violations.\r\n elapsed time = 00:02:35, memory = 23064.76 (MB).\r\n Completing 80% with 2244 violations.\r\n elapsed time = 00:02:50, memory = 23353.98 (MB).\r\n Completing 90% with 1896 violations.\r\n elapsed time = 00:03:25, memory = 23494.27 (MB).\r\n Completing 100% with 1829 violations.\r\n elapsed time = 00:03:29, memory = 22406.82 (MB).\r\n[INFO DRT-0199] Number of violations = 2055.\r\nViol/Layer M1 M2 V2 M3 V3 M4 V4 M5\r\nCutSpcTbl 0 0 0 0 11 0 4 0\r\nEOL 0 239 0 5 0 0 0 0\r\nMetal Spacing 45 46 0 111 0 0 0 70\r\nRecheck 4 147 0 101 0 9 0 0\r\nShort 1 106 1 3 0 1 0 23\r\neolKeepOut 0 1107 0 18 0 3 0 0\r\n[INFO DRT-0267] cpu time = 00:51:43, elapsed time = 00:03:32, memory = 22087.69 (MB), peak = 24556.07 (MB)\r\n[deleted]\r\n[INFO DRT-0195] Start 50th optimization iteration.\r\n Completing 10% with 75 violations.\r\n elapsed time = 00:00:00, memory = 21224.53 (MB).\r\n Completing 20% with 51 violations.\r\n elapsed time = 00:00:08, memory = 21224.53 (MB).\r\n Completing 30% with 37 violations.\r\n elapsed time = 00:00:15, memory = 21224.53 (MB).\r\n Completing 40% with 37 violations.\r\n elapsed time = 00:00:15, memory = 21224.53 (MB).\r\n Completing 50% with 37 violations.\r\n elapsed time = 00:00:15, memory = 21224.53 (MB).\r\n Completing 60% with 37 violations.\r\n elapsed time = 00:00:15, memory = 21224.53 (MB).\r\n Completing 70% with 34 violations.\r\n elapsed time = 00:00:21, memory = 21224.53 (MB).\r\n Completing 80% with 24 violations.\r\n elapsed time = 00:00:24, memory = 21224.53 (MB).\r\n Completing 90% with 24 violations.\r\n elapsed time = 00:00:24, memory = 21224.53 (MB).\r\n Completing 100% with 24 violations.\r\n elapsed time = 00:00:24, memory = 21224.53 (MB).\r\n[INFO DRT-0199] Number of violations = 24.\r\nViol/Layer M5\r\nMetal Spacing 19\r\nShort 5\r\n[INFO DRT-0267] cpu time = 00:00:40, elapsed time = 00:00:24, memory = 21224.53 (MB), peak = 24556.07 (MB)\r\n[deleted]\r\n[INFO DRT-0195] Start 51st optimization iteration.\r\n Completing 10% with 24 violations.\r\n elapsed time = 00:00:00, memory = 21224.53 (MB).\r\n[no further output]\r\n```\r\n\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d17f9e2d-17c4-47ff-b9bb-7dcb5421c41c)\r\n\r\n\r\nDRC snapshot above is for the .rpt file of the 50th iteration.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/f1445b1e-559d-4364-bdeb-39b16d1eee75)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/75d57de0-ab0c-4355-8546-7b25c8d09f7e)\r\n\r\n\r\n### Expected Behavior\r\n\r\nComplete in a reasonable amount of time\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11602-g18cbc8d2b\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Did you observe a similar slow down in iter 44? We periodically try a more aggressive rip up strategy."}, {"assistant": "Do you have a power rail too close to the pins?"}, {"assistant": "There is no way this is routable:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/5942faa1-771a-41fc-bdd4-7f451f450298)\r\n"}, {"assistant": "Something is wrong as you have pdn stripes going outside the core area. Please investigate earlier in the flow what is going wrong."}, {"assistant": "@osamahammad21 if we have drc between a pin shape and a fixed shape we should fail early."}, {"assistant": "> @osamahammad21 if we have drc between a pin shape and a fixed shape we should fail early.\n\nDoes that mean we need to check_drc before routing and check for such drcs?"}, {"assistant": "Can we just check for such in iter0 in any markers generated?"}, {"assistant": "That's a bit challenging as we normally skip possible violations among fixed shapes. And when we do route, we merge the pin shape with the net segment connecting to it under one gcNet (to have correct max rectangles and polygon edges)."}, {"user": "> Something is wrong as you have pdn stripes going outside the core area. Please investigate earlier in the flow what is going wrong.\r\n\r\n@maliberty To reproduce the problem of power strips being generated outside of the die area:\r\n\r\n1. untar https://drive.google.com/file/d/1GKoMeZo_xHGD9DcGK5C1skHCowcvZgrc/view?usp=sharing\r\n2. Run `./run-me-FpPipeline-asap7-base.sh`\r\n3. Zoom in on top edge\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/33a1def4-32cf-4415-86df-00cbe705f057)\r\n\r\n\r\n\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/8dc256e0-bd2e-4022-bf40-12302b6e74ec)\r\n"}, {"assistant": "I would be nice to stop a doomed run early. I don't want to spend a lot of time looking for a rare case though. If you see an easy/fast way to detect it then please do so otherwise c'est la vie."}, {"user": "> I would be nice to stop a doomed run early. I don't want to spend a lot of time looking for a rare case though. If you see an easy/fast way to detect it then please do so otherwise c'est la vie.\r\n\r\nI can't recall detailed routing running into an infinite loop in this way, I'd be wary of adding conditional code for such a rare case... You'd definitely need automated test cases for such rare conditions or it will bit rot anyway."}, {"user": "@maliberty So the root cause is PDN, for which I created a reproduction case in https://github.com/The-OpenROAD-Project/OpenROAD/issues/4466#issuecomment-1873351593 ?"}, {"user": "> Did you observe a similar slow down in iter 44? We periodically try a more aggressive rip up strategy.\r\n\r\nYes, I suppose... See bottom.\r\n\r\nLots of warnings at the top...\r\n\r\n```\r\n$ ./run-me-FpPipeline-asap7-base.sh \r\nOpenROAD v2.0-11602-g18cbc8d2b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nError: detail_route.tcl, 11 can't read \"::env(NUM_CORES)\": no such variable\r\nopenroad> \r\noyvind@corona:~/megaboom/foo$ NUM_CORES=16 ./run-me-FpPipeline-asap7-base.sh \r\nOpenROAD v2.0-11602-g18cbc8d2b \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\n[INFO ORD-0030] Using 16 thread(s).\r\ndetailed_route -output_drc bazel-bin//reports/asap7/FpPipeline/base/5_route_drc.rpt -output_maze bazel-bin//results/asap7/FpPipeline/base/maze.log -bottom_routing_layer M2 -top_routing_layer M9 -save_guide_updates -verbose 1 -drc_report_iter_step 5\r\n[INFO DRT-0149] Reading tech and libs.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0145] New SPACINGTABLE PARALLELRUNLENGTH overrides old SPACING rule.\r\n[WARNING DRT-0323] Via(s) in pin VDD of regfile_128x65 will be ignored\r\n[WARNING DRT-0323] Via(s) in pin VSS of regfile_128x65 will be ignored\r\n\r\nUnits: 1000\r\nNumber of layers: 21\r\nNumber of macros: 213\r\nNumber of vias: 11\r\nNumber of viarulegen: 11\r\n\r\n[INFO DRT-0150] Reading design.\r\nError: duplicated via definition for via5_6_120_288_1_2_58_322\r\n\r\nDesign: FpPipeline\r\nDie area: ( 0 0 ) ( 349606 349606 )\r\nNumber of track patterns: 32\r\nNumber of DEF vias: 0\r\nNumber of components: 611538\r\nNumber of terminals: 1141\r\nNumber of snets: 2\r\nNumber of nets: 159000\r\n\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_mispredict_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_brupdate_b1_resolve_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_br_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_fu_code[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_fu_code[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_bits_fu_code[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_0_ready\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_br_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_fu_code[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_fu_code[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_fu_code[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_bits_uses_stq\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_1_ready\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_br_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_fu_code[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_fu_code[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_fu_code[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_pdst[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_bits_uses_stq\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_2_ready\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_br_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_fu_code[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_fu_code[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_fu_code[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_fu_code[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_bits_uses_stq\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_dis_uops_3_ready\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_flush_pipeline\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[20]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[21]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[22]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[23]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[24]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[25]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[26]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[27]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[28]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[29]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[30]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[31]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[32]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[33]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[34]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[35]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[36]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[37]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[38]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[39]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[40]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[41]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[42]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[43]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[44]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[45]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[46]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[47]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[48]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[49]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[50]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[51]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[52]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[53]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[54]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[55]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[56]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[57]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[58]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[59]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[60]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[61]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[62]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[63]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[64]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_data[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_flags[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_flags[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_flags[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_flags[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_flags[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_uop_rob_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_uop_rob_idx[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_bits_uop_rob_idx[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_fflags_valid\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_predicated\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_br_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_dst_rtype[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_dst_rtype[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_is_amo\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_pdst[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_pdst[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_pdst[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_pdst[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_pdst[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_rob_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_rob_idx[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_rob_idx[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_stq_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_stq_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_stq_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_uopc[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_uopc[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_uopc[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_uopc[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_bits_uop_uses_stq\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_ready\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_from_int_valid\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[20]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[21]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[22]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[23]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[24]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[25]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[26]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[27]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[28]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[29]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[30]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[31]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[32]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[33]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[34]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[35]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[36]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[37]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[38]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[39]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[40]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[41]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[42]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[43]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[44]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[45]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[46]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[47]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[48]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[49]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[50]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[51]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[52]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[53]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[54]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[55]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[56]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[57]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[58]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[59]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[60]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[61]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[62]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[63]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[64]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_data[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_br_mask[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_dst_rtype[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_dst_rtype[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_is_amo\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_mem_size[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_mem_size[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_pdst[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_pdst[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_pdst[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_pdst[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_pdst[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_rob_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_rob_idx[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_rob_idx[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_stq_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_stq_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_stq_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_stq_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_uopc[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_uopc[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_bits_uop_uopc[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_0_valid\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[17]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[18]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[19]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[20]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[21]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[22]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[23]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[24]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[25]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[26]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[27]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[28]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[29]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[30]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[31]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[32]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[33]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[34]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[35]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[36]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[37]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[38]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[39]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[40]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[41]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[42]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[43]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[44]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[45]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[46]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[47]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[48]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[49]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[50]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[51]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[52]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[53]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[54]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[55]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[56]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[57]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[58]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[59]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[60]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[61]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[62]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[63]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[7]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[8]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_data[9]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_mem_size[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_mem_size[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_rob_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_rob_idx[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ll_wports_1_bits_uop_rob_idx[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[27]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[34]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[35]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[36]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[38]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[40]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[44]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[47]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[48]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[51]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[54]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[57]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[58]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[59]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[60]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_data[63]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_dst_rtype[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_pdst[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_pdst[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_pdst[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_int_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[27]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[31]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[34]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[35]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[36]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[38]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[40]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[44]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[48]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[51]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[54]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[57]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[58]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[59]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[60]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_data[63]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[15]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[16]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_br_mask[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_to_sdq_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_flags[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_flags[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_flags[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_flags[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_flags[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_uop_rob_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_uop_rob_idx[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_bits_uop_rob_idx[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_fflags_valid\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_predicated\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_dst_rtype[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_dst_rtype[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_rob_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_rob_idx[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_0_bits_uop_rob_idx[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_rob_idx[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_rob_idx[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_rob_idx[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_rob_idx[5]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_1_bits_uop_rob_idx[6]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_fflags_bits_flags[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_fflags_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_fflags_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_uop_dst_rtype[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_uop_dst_rtype[1]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_uop_fp_val\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_uop_rob_idx[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_2_bits_uop_rob_idx[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_3_bits_fflags_bits_flags[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_3_bits_fflags_bits_flags[2]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_3_bits_fflags_bits_flags[3]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_3_bits_fflags_bits_flags[4]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_wakeups_3_bits_fflags_valid\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term reset\r\n[WARNING DRT-0240] CUT layer V3 does not have square single-cut via, cut layer width may be set incorrectly.\r\n[WARNING DRT-0240] CUT layer V5 does not have square single-cut via, cut layer width may be set incorrectly.\r\n[INFO DRT-0167] List of default vias:\r\n Layer V2\r\n default via: VIA23\r\n Layer V3\r\n default via: VIA34\r\n Layer V4\r\n default via: VIA45\r\n Layer V5\r\n default via: VIA56\r\n Layer V6\r\n default via: VIA67\r\n Layer V7\r\n default via: VIA78\r\n Layer V8\r\n default via: VIA89\r\n Layer V9\r\n default via: VIA9Pad\r\n[INFO DRT-0162] Library cell analysis.\r\n[INFO DRT-0163] Instance analysis.\r\n Complete 10000 instances.\r\n Complete 20000 instances.\r\n Complete 30000 instances.\r\n Complete 40000 instances.\r\n Complete 50000 instances.\r\n Complete 60000 instances.\r\n Complete 70000 instances.\r\n Complete 80000 instances.\r\n Complete 90000 instances.\r\n Complete 100000 instances.\r\n Complete 200000 instances.\r\n Complete 300000 instances.\r\n Complete 400000 instances.\r\n Complete 500000 instances.\r\n Complete 600000 instances.\r\n[INFO DRT-0164] Number of unique instances = 412.\r\n[INFO DRT-0168] Init region query.\r\n[INFO DRT-0018] Complete 10000 insts.\r\n[INFO DRT-0018] Complete 20000 insts.\r\n[INFO DRT-0018] Complete 30000 insts.\r\n[INFO DRT-0018] Complete 40000 insts.\r\n[INFO DRT-0018] Complete 50000 insts.\r\n[INFO DRT-0018] Complete 60000 insts.\r\n[INFO DRT-0018] Complete 70000 insts.\r\n[INFO DRT-0018] Complete 80000 insts.\r\n[INFO DRT-0018] Complete 90000 insts.\r\n[INFO DRT-0019] Complete 100000 insts.\r\n[INFO DRT-0019] Complete 200000 insts.\r\n[INFO DRT-0019] Complete 300000 insts.\r\n[INFO DRT-0019] Complete 400000 insts.\r\n[INFO DRT-0019] Complete 500000 insts.\r\n[INFO DRT-0019] Complete 600000 insts.\r\n[INFO DRT-0024] Complete Active.\r\n[INFO DRT-0024] Complete V0.\r\n[INFO DRT-0024] Complete M1.\r\n[INFO DRT-0024] Complete V1.\r\n[INFO DRT-0024] Complete M2.\r\n[INFO DRT-0024] Complete V2.\r\n[INFO DRT-0024] Complete M3.\r\n[INFO DRT-0024] Complete V3.\r\n[INFO DRT-0024] Complete M4.\r\n[INFO DRT-0024] Complete V4.\r\n[INFO DRT-0024] Complete M5.\r\n[INFO DRT-0024] Complete V5.\r\n[INFO DRT-0024] Complete M6.\r\n[INFO DRT-0024] Complete V6.\r\n[INFO DRT-0024] Complete M7.\r\n[INFO DRT-0024] Complete V7.\r\n[INFO DRT-0024] Complete M8.\r\n[INFO DRT-0024] Complete V8.\r\n[INFO DRT-0024] Complete M9.\r\n[INFO DRT-0024] Complete V9.\r\n[INFO DRT-0024] Complete Pad.\r\n[INFO DRT-0033] Active shape region query size = 0.\r\n[INFO DRT-0033] V0 shape region query size = 0.\r\n[INFO DRT-0033] M1 shape region query size = 4794285.\r\n[INFO DRT-0033] V1 shape region query size = 10580574.\r\n[INFO DRT-0033] M2 shape region query size = 600844.\r\n[INFO DRT-0033] V2 shape region query size = 518649.\r\n[INFO DRT-0033] M3 shape region query size = 1046935.\r\n[INFO DRT-0033] V3 shape region query size = 345766.\r\n[INFO DRT-0033] M4 shape region query size = 875396.\r\n[INFO DRT-0033] V4 shape region query size = 345766.\r\n[INFO DRT-0033] M5 shape region query size = 370874.\r\n[INFO DRT-0033] V5 shape region query size = 50448.\r\n[INFO DRT-0033] M6 shape region query size = 24374.\r\n[INFO DRT-0033] V6 shape region query size = 0.\r\n[INFO DRT-0033] M7 shape region query size = 0.\r\n[INFO DRT-0033] V7 shape region query size = 0.\r\n[INFO DRT-0033] M8 shape region query size = 0.\r\n[INFO DRT-0033] V8 shape region query size = 0.\r\n[INFO DRT-0033] M9 shape region query size = 0.\r\n[INFO DRT-0033] V9 shape region query size = 0.\r\n[INFO DRT-0033] Pad shape region query size = 0.\r\n[INFO DRT-0165] Start pin access.\r\n[INFO DRT-0076] Complete 100 pins.\r\n[INFO DRT-0076] Complete 200 pins.\r\n[INFO DRT-0076] Complete 300 pins.\r\n[INFO DRT-0076] Complete 400 pins.\r\n[INFO DRT-0076] Complete 500 pins.\r\n[INFO DRT-0076] Complete 600 pins.\r\n[INFO DRT-0076] Complete 700 pins.\r\n[INFO DRT-0076] Complete 800 pins.\r\n[INFO DRT-0076] Complete 900 pins.\r\n[INFO DRT-0077] Complete 1000 pins.\r\n[INFO DRT-0077] Complete 2000 pins.\r\n[INFO DRT-0078] Complete 2114 pins.\r\n[INFO DRT-0079] Complete 100 unique inst patterns.\r\n[INFO DRT-0079] Complete 200 unique inst patterns.\r\n[INFO DRT-0079] Complete 300 unique inst patterns.\r\n[INFO DRT-0081] Complete 379 unique inst patterns.\r\n[INFO DRT-0082] Complete 1000 groups.\r\n[INFO DRT-0082] Complete 2000 groups.\r\n[INFO DRT-0082] Complete 3000 groups.\r\n[INFO DRT-0082] Complete 4000 groups.\r\n[INFO DRT-0082] Complete 5000 groups.\r\n[INFO DRT-0082] Complete 6000 groups.\r\n[INFO DRT-0082] Complete 7000 groups.\r\n[INFO DRT-0082] Complete 8000 groups.\r\n[INFO DRT-0082] Complete 9000 groups.\r\n[INFO DRT-0083] Complete 10000 groups.\r\n[INFO DRT-0083] Complete 20000 groups.\r\n[INFO DRT-0083] Complete 30000 groups.\r\n[INFO DRT-0083] Complete 40000 groups.\r\n[INFO DRT-0083] Complete 50000 groups.\r\n[INFO DRT-0083] Complete 60000 groups.\r\n[INFO DRT-0083] Complete 70000 groups.\r\n[INFO DRT-0083] Complete 80000 groups.\r\n[INFO DRT-0083] Complete 90000 groups.\r\n[INFO DRT-0083] Complete 100000 groups.\r\n[INFO DRT-0083] Complete 110000 groups.\r\n[INFO DRT-0083] Complete 120000 groups.\r\n[INFO DRT-0083] Complete 130000 groups.\r\n[INFO DRT-0083] Complete 140000 groups.\r\n[INFO DRT-0084] Complete 145514 groups.\r\n#scanned instances = 611538\r\n#unique instances = 398\r\n#stdCellGenAp = 14390\r\n#stdCellValidPlanarAp = 120\r\n#stdCellValidViaAp = 11959\r\n#stdCellPinNoAp = 0\r\n#stdCellPinCnt = 513962\r\n#instTermValidViaApCnt = 0\r\n#macroGenAp = 2960\r\n#macroValidPlanarAp = 2960\r\n#macroValidViaAp = 0\r\n#macroNoAp = 0\r\n[INFO DRT-0166] Complete pin access.\r\n[INFO DRT-0267] cpu time = 00:01:07, elapsed time = 00:00:05, memory = 2302.05 (MB), peak = 2591.99 (MB)\r\n[INFO DRT-0156] guideIn read 100000 guides.\r\n[INFO DRT-0156] guideIn read 200000 guides.\r\n[INFO DRT-0156] guideIn read 300000 guides.\r\n[INFO DRT-0156] guideIn read 400000 guides.\r\n[INFO DRT-0156] guideIn read 500000 guides.\r\n[INFO DRT-0156] guideIn read 600000 guides.\r\n[INFO DRT-0156] guideIn read 700000 guides.\r\n[INFO DRT-0156] guideIn read 800000 guides.\r\n[INFO DRT-0156] guideIn read 900000 guides.\r\n[INFO DRT-0157] guideIn read 1000000 guides.\r\n\r\nNumber of guides: 1462546\r\n\r\n[INFO DRT-0169] Post process guides.\r\n[INFO DRT-0176] GCELLGRID X 0 DO 647 STEP 540 ;\r\n[INFO DRT-0177] GCELLGRID Y 0 DO 647 STEP 540 ;\r\n[INFO DRT-0026] Complete 10000 origin guides.\r\n[INFO DRT-0026] Complete 20000 origin guides.\r\n[INFO DRT-0026] Complete 30000 origin guides.\r\n[INFO DRT-0026] Complete 40000 origin guides.\r\n[INFO DRT-0026] Complete 50000 origin guides.\r\n[INFO DRT-0026] Complete 60000 origin guides.\r\n[INFO DRT-0026] Complete 70000 origin guides.\r\n[INFO DRT-0026] Complete 80000 origin guides.\r\n[INFO DRT-0026] Complete 90000 origin guides.\r\n[INFO DRT-0027] Complete 100000 origin guides.\r\n[INFO DRT-0027] Complete 200000 origin guides.\r\n[INFO DRT-0027] Complete 300000 origin guides.\r\n[INFO DRT-0027] Complete 400000 origin guides.\r\n[INFO DRT-0027] Complete 500000 origin guides.\r\n[INFO DRT-0027] Complete 600000 origin guides.\r\n[INFO DRT-0027] Complete 700000 origin guides.\r\n[INFO DRT-0027] Complete 800000 origin guides.\r\n[INFO DRT-0027] Complete 900000 origin guides.\r\n[INFO DRT-0027] Complete 1000000 origin guides.\r\n[INFO DRT-0027] Complete 1100000 origin guides.\r\n[INFO DRT-0027] Complete 1200000 origin guides.\r\n[INFO DRT-0027] Complete 1300000 origin guides.\r\n[INFO DRT-0027] Complete 1400000 origin guides.\r\n[INFO DRT-0028] Complete Active.\r\n[INFO DRT-0028] Complete V0.\r\n[INFO DRT-0028] Complete M1.\r\n[INFO DRT-0028] Complete V1.\r\n[INFO DRT-0028] Complete M2.\r\n[INFO DRT-0028] Complete V2.\r\n[INFO DRT-0028] Complete M3.\r\n[INFO DRT-0028] Complete V3.\r\n[INFO DRT-0028] Complete M4.\r\n[INFO DRT-0028] Complete V4.\r\n[INFO DRT-0028] Complete M5.\r\n[INFO DRT-0028] Complete V5.\r\n[INFO DRT-0028] Complete M6.\r\n[INFO DRT-0028] Complete V6.\r\n[INFO DRT-0028] Complete M7.\r\n[INFO DRT-0028] Complete V7.\r\n[INFO DRT-0028] Complete M8.\r\n[INFO DRT-0028] Complete V8.\r\n[INFO DRT-0028] Complete M9.\r\n[INFO DRT-0028] Complete V9.\r\n[INFO DRT-0028] Complete Pad.\r\n complete 10000 nets.\r\n complete 20000 nets.\r\n complete 30000 nets.\r\n complete 40000 nets.\r\n complete 50000 nets.\r\n complete 60000 nets.\r\n complete 70000 nets.\r\n complete 80000 nets.\r\n complete 90000 nets.\r\n complete 100000 nets.\r\n[INFO DRT-0178] Init guide query.\r\n[INFO DRT-0029] Complete 10000 nets (guide).\r\n[INFO DRT-0029] Complete 20000 nets (guide).\r\n[INFO DRT-0029] Complete 30000 nets (guide).\r\n[INFO DRT-0029] Complete 40000 nets (guide).\r\n[INFO DRT-0029] Complete 50000 nets (guide).\r\n[INFO DRT-0029] Complete 60000 nets (guide).\r\n[INFO DRT-0029] Complete 70000 nets (guide).\r\n[INFO DRT-0029] Complete 80000 nets (guide).\r\n[INFO DRT-0029] Complete 90000 nets (guide).\r\n[INFO DRT-0030] Complete 100000 nets (guide).\r\n[INFO DRT-0035] Complete Active (guide).\r\n[INFO DRT-0035] Complete V0 (guide).\r\n[INFO DRT-0035] Complete M1 (guide).\r\n[INFO DRT-0035] Complete V1 (guide).\r\n[INFO DRT-0035] Complete M2 (guide).\r\n[INFO DRT-0035] Complete V2 (guide).\r\n[INFO DRT-0035] Complete M3 (guide).\r\n[INFO DRT-0035] Complete V3 (guide).\r\n[INFO DRT-0035] Complete M4 (guide).\r\n[INFO DRT-0035] Complete V4 (guide).\r\n[INFO DRT-0035] Complete M5 (guide).\r\n[INFO DRT-0035] Complete V5 (guide).\r\n[INFO DRT-0035] Complete M6 (guide).\r\n[INFO DRT-0035] Complete V6 (guide).\r\n[INFO DRT-0035] Complete M7 (guide).\r\n[INFO DRT-0035] Complete V7 (guide).\r\n[INFO DRT-0035] Complete M8 (guide).\r\n[INFO DRT-0035] Complete V8 (guide).\r\n[INFO DRT-0035] Complete M9 (guide).\r\n[INFO DRT-0035] Complete V9 (guide).\r\n[INFO DRT-0035] Complete Pad (guide).\r\n[INFO DRT-0036] Active guide region query size = 0.\r\n[INFO DRT-0036] V0 guide region query size = 0.\r\n[INFO DRT-0036] M1 guide region query size = 437566.\r\n[INFO DRT-0036] V1 guide region query size = 0.\r\n[INFO DRT-0036] M2 guide region query size = 426144.\r\n[INFO DRT-0036] V2 guide region query size = 0.\r\n[INFO DRT-0036] M3 guide region query size = 259245.\r\n[INFO DRT-0036] V3 guide region query size = 0.\r\n[INFO DRT-0036] M4 guide region query size = 42556.\r\n[INFO DRT-0036] V4 guide region query size = 0.\r\n[INFO DRT-0036] M5 guide region query size = 10581.\r\n[INFO DRT-0036] V5 guide region query size = 0.\r\n[INFO DRT-0036] M6 guide region query size = 2197.\r\n[INFO DRT-0036] V6 guide region query size = 0.\r\n[INFO DRT-0036] M7 guide region query size = 534.\r\n[INFO DRT-0036] V7 guide region query size = 0.\r\n[INFO DRT-0036] M8 guide region query size = 58.\r\n[INFO DRT-0036] V8 guide region query size = 0.\r\n[INFO DRT-0036] M9 guide region query size = 0.\r\n[INFO DRT-0036] V9 guide region query size = 0.\r\n[INFO DRT-0036] Pad guide region query size = 0.\r\n[INFO DRT-0179] Init gr pin query.\r\n[INFO DRT-0185] Post process initialize RPin region query.\r\n[INFO DRT-0181] Start track assignment.\r\n[INFO DRT-0184] Done with 707926 vertical wires in 13 frboxes and 470955 horizontal wires in 13 frboxes.\r\n[INFO DRT-0186] Done with 74871 vertical wires in 13 frboxes and 96533 horizontal wires in 13 frboxes.\r\n[INFO DRT-0182] Complete track assignment.\r\n[INFO DRT-0267] cpu time = 00:01:47, elapsed time = 00:00:20, memory = 6276.28 (MB), peak = 6385.58 (MB)\r\n[INFO DRT-0187] Start routing data preparation.\r\n[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 6338.03 (MB), peak = 6385.58 (MB)\r\n[INFO DRT-0194] Start detail routing.\r\n[INFO DRT-0195] Start 0th optimization iteration.\r\n Completing 10% with 0 violations.\r\n elapsed time = 00:01:05, memory = 16720.29 (MB).\r\n Completing 20% with 4820 violations.\r\n elapsed time = 00:01:53, memory = 19094.59 (MB).\r\n Completing 30% with 6805 violations.\r\n elapsed time = 00:02:30, memory = 18924.81 (MB).\r\n Completing 40% with 11390 violations.\r\n elapsed time = 00:04:05, memory = 20819.16 (MB).\r\n Completing 50% with 13149 violations.\r\n elapsed time = 00:04:25, memory = 20084.97 (MB).\r\n Completing 60% with 13149 violations.\r\n elapsed time = 00:05:58, memory = 20995.05 (MB).\r\n Completing 70% with 17584 violations.\r\n elapsed time = 00:07:10, memory = 22517.23 (MB).\r\n Completing 80% with 19206 violations.\r\n elapsed time = 00:08:05, memory = 22682.21 (MB).\r\n Completing 90% with 23518 violations.\r\n elapsed time = 00:10:15, memory = 23993.36 (MB).\r\n Completing 100% with 24930 violations.\r\n elapsed time = 00:10:38, memory = 22928.15 (MB).\r\n[INFO DRT-0199] Number of violations = 72941.\r\nViol/Layer M1 V1 M2 V2 M3 V3 M4 V4 M5 V5 M6 V6 M7 V7 M8\r\nCut Spacing 0 0 0 0 0 0 0 0 0 0 0 0 0 8 0\r\nCutSpcTbl 0 0 0 0 0 159 0 38 0 21 0 8 0 0 0\r\nEOL 0 0 2240 0 62 0 26 0 0 0 0 0 0 0 0\r\nMetal Spacing 3858 0 366 0 1705 0 24 0 70 0 3 0 0 0 10\r\nMin Step 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11\r\nNS Metal 142 0 0 0 0 0 0 0 0 0 0 0 0 0 0\r\nRecheck 26 0 29457 0 16073 0 2210 0 186 0 44 0 15 0 0\r\nRect Only 0 0 45 0 0 0 0 0 0 0 0 0 14 0 0\r\nShort 831 12 1202 20 148 3 14 4 28 7 68 8 0 4 0\r\neolKeepOut 0 0 13440 0 208 0 123 0 0 0 0 0 0 0 0\r\n[INFO DRT-0267] cpu time = 02:37:21, elapsed time = 00:10:41, memory = 21656.30 (MB), peak = 24241.76 (MB)\r\nTotal wire length = 1046029 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 298085 um.\r\nTotal wire length on LAYER M3 = 404114 um.\r\nTotal wire length on LAYER M4 = 197301 um.\r\nTotal wire length on LAYER M5 = 101674 um.\r\nTotal wire length on LAYER M6 = 30224 um.\r\nTotal wire length on LAYER M7 = 12784 um.\r\nTotal wire length on LAYER M8 = 1845 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1485947.\r\nUp-via summary (total 1485947):.\r\n\r\n------------------\r\n Active 0\r\n M1 469543\r\n M2 864394\r\n M3 126467\r\n M4 20882\r\n M5 3506\r\n M6 983\r\n M7 172\r\n M8 0\r\n M9 0\r\n------------------\r\n 1485947\r\n\r\n\r\n[INFO DRT-0195] Start 1st optimization iteration.\r\n Completing 10% with 72941 violations.\r\n elapsed time = 00:01:42, memory = 22359.21 (MB).\r\n Completing 20% with 56039 violations.\r\n elapsed time = 00:03:00, memory = 23111.42 (MB).\r\n Completing 30% with 49203 violations.\r\n elapsed time = 00:03:48, memory = 23462.17 (MB).\r\n Completing 40% with 37493 violations.\r\n elapsed time = 00:05:51, memory = 23797.29 (MB).\r\n Completing 50% with 32494 violations.\r\n elapsed time = 00:06:17, memory = 22737.40 (MB).\r\n Completing 60% with 32494 violations.\r\n elapsed time = 00:07:57, memory = 23749.97 (MB).\r\n Completing 70% with 19858 violations.\r\n elapsed time = 00:09:16, memory = 23761.67 (MB).\r\n Completing 80% with 15360 violations.\r\n elapsed time = 00:10:07, memory = 23808.00 (MB).\r\n Completing 90% with 6137 violations.\r\n elapsed time = 00:12:09, memory = 24162.86 (MB).\r\n Completing 100% with 3126 violations.\r\n elapsed time = 00:12:31, memory = 23044.21 (MB).\r\n[INFO DRT-0199] Number of violations = 3592.\r\nViol/Layer M1 M2 M3 V3 M4 V4 M5 V5\r\nCutSpcTbl 0 0 0 55 0 11 0 2\r\nEOL 0 388 8 0 2 0 0 0\r\nMetal Spacing 80 76 234 0 5 0 70 0\r\nRecheck 6 259 183 0 18 0 0 0\r\nShort 8 204 3 0 3 0 23 0\r\neolKeepOut 0 1902 38 0 11 0 3 0\r\n[INFO DRT-0267] cpu time = 03:08:00, elapsed time = 00:12:35, memory = 22232.57 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1041540 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 296351 um.\r\nTotal wire length on LAYER M3 = 401157 um.\r\nTotal wire length on LAYER M4 = 197543 um.\r\nTotal wire length on LAYER M5 = 101650 um.\r\nTotal wire length on LAYER M6 = 30231 um.\r\nTotal wire length on LAYER M7 = 12775 um.\r\nTotal wire length on LAYER M8 = 1829 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1450472.\r\nUp-via summary (total 1450472):.\r\n\r\n------------------\r\n Active 0\r\n M1 469522\r\n M2 841059\r\n M3 114855\r\n M4 20500\r\n M5 3458\r\n M6 941\r\n M7 137\r\n M8 0\r\n M9 0\r\n------------------\r\n 1450472\r\n\r\n\r\n[INFO DRT-0195] Start 2nd optimization iteration.\r\n Completing 10% with 3592 violations.\r\n elapsed time = 00:00:33, memory = 23235.13 (MB).\r\n Completing 20% with 3178 violations.\r\n elapsed time = 00:00:49, memory = 23063.58 (MB).\r\n Completing 30% with 3072 violations.\r\n elapsed time = 00:01:04, memory = 23197.06 (MB).\r\n Completing 40% with 2731 violations.\r\n elapsed time = 00:01:40, memory = 23161.11 (MB).\r\n Completing 50% with 2667 violations.\r\n elapsed time = 00:01:43, memory = 22185.98 (MB).\r\n Completing 60% with 2667 violations.\r\n elapsed time = 00:02:20, memory = 22912.07 (MB).\r\n Completing 70% with 2296 violations.\r\n elapsed time = 00:02:35, memory = 22682.03 (MB).\r\n Completing 80% with 2244 violations.\r\n elapsed time = 00:02:50, memory = 22974.53 (MB).\r\n Completing 90% with 1896 violations.\r\n elapsed time = 00:03:25, memory = 22952.64 (MB).\r\n Completing 100% with 1829 violations.\r\n elapsed time = 00:03:29, memory = 22024.20 (MB).\r\n[INFO DRT-0199] Number of violations = 2055.\r\nViol/Layer M1 M2 V2 M3 V3 M4 V4 M5\r\nCutSpcTbl 0 0 0 0 11 0 4 0\r\nEOL 0 239 0 5 0 0 0 0\r\nMetal Spacing 45 46 0 111 0 0 0 70\r\nRecheck 4 147 0 101 0 9 0 0\r\nShort 1 106 1 3 0 1 0 23\r\neolKeepOut 0 1107 0 18 0 3 0 0\r\n[INFO DRT-0267] cpu time = 00:51:40, elapsed time = 00:03:32, memory = 21577.52 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040768 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 296300 um.\r\nTotal wire length on LAYER M3 = 400651 um.\r\nTotal wire length on LAYER M4 = 197368 um.\r\nTotal wire length on LAYER M5 = 101610 um.\r\nTotal wire length on LAYER M6 = 30242 um.\r\nTotal wire length on LAYER M7 = 12770 um.\r\nTotal wire length on LAYER M8 = 1824 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444203.\r\nUp-via summary (total 1444203):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 838531\r\n M3 111237\r\n M4 20428\r\n M5 3420\r\n M6 945\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444203\r\n\r\n\r\n[INFO DRT-0195] Start 3rd optimization iteration.\r\n Completing 10% with 2055 violations.\r\n elapsed time = 00:00:14, memory = 22490.17 (MB).\r\n Completing 20% with 1585 violations.\r\n elapsed time = 00:00:22, memory = 22285.48 (MB).\r\n Completing 30% with 1502 violations.\r\n elapsed time = 00:00:27, memory = 22296.56 (MB).\r\n Completing 40% with 1102 violations.\r\n elapsed time = 00:00:42, memory = 22455.45 (MB).\r\n Completing 50% with 1031 violations.\r\n elapsed time = 00:00:44, memory = 21385.38 (MB).\r\n Completing 60% with 1031 violations.\r\n elapsed time = 00:00:57, memory = 22372.10 (MB).\r\n Completing 70% with 616 violations.\r\n elapsed time = 00:01:07, memory = 22065.98 (MB).\r\n Completing 80% with 569 violations.\r\n elapsed time = 00:01:13, memory = 22434.68 (MB).\r\n Completing 90% with 228 violations.\r\n elapsed time = 00:01:24, memory = 21470.96 (MB).\r\n Completing 100% with 179 violations.\r\n elapsed time = 00:01:28, memory = 21385.42 (MB).\r\n[INFO DRT-0199] Number of violations = 179.\r\nViol/Layer M2 M3 V4 M5\r\nCutSpcTbl 0 0 1 0\r\nEOL 7 0 0 0\r\nMetal Spacing 6 3 0 70\r\nShort 10 0 0 22\r\neolKeepOut 60 0 0 0\r\n[INFO DRT-0267] cpu time = 00:18:35, elapsed time = 00:01:28, memory = 21128.46 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040814 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295468 um.\r\nTotal wire length on LAYER M3 = 400700 um.\r\nTotal wire length on LAYER M4 = 198245 um.\r\nTotal wire length on LAYER M5 = 101580 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444731.\r\nUp-via summary (total 1444731):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837684\r\n M3 112621\r\n M4 20416\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444731\r\n\r\n\r\n[INFO DRT-0195] Start 4th optimization iteration.\r\n Completing 10% with 179 violations.\r\n elapsed time = 00:00:01, memory = 22022.34 (MB).\r\n Completing 20% with 156 violations.\r\n elapsed time = 00:00:03, memory = 21128.48 (MB).\r\n Completing 30% with 156 violations.\r\n elapsed time = 00:00:06, memory = 21128.48 (MB).\r\n Completing 40% with 138 violations.\r\n elapsed time = 00:00:07, memory = 21128.49 (MB).\r\n Completing 50% with 138 violations.\r\n elapsed time = 00:00:07, memory = 21128.49 (MB).\r\n Completing 60% with 138 violations.\r\n elapsed time = 00:00:07, memory = 21128.49 (MB).\r\n Completing 70% with 110 violations.\r\n elapsed time = 00:00:11, memory = 21179.44 (MB).\r\n Completing 80% with 106 violations.\r\n elapsed time = 00:00:15, memory = 21128.45 (MB).\r\n Completing 90% with 99 violations.\r\n elapsed time = 00:00:17, memory = 21128.20 (MB).\r\n Completing 100% with 99 violations.\r\n elapsed time = 00:00:17, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 99.\r\nViol/Layer M2 V4 M5\r\nCutSpcTbl 0 1 0\r\nEOL 1 0 0\r\nMetal Spacing 0 0 70\r\nShort 2 0 22\r\neolKeepOut 3 0 0\r\n[INFO DRT-0267] cpu time = 00:01:51, elapsed time = 00:00:17, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040811 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295445 um.\r\nTotal wire length on LAYER M3 = 400702 um.\r\nTotal wire length on LAYER M4 = 198267 um.\r\nTotal wire length on LAYER M5 = 101576 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444688.\r\nUp-via summary (total 1444688):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837619\r\n M3 112651\r\n M4 20408\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444688\r\n\r\n\r\n[INFO DRT-0195] Start 5th optimization iteration.\r\n Completing 10% with 99 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 99 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 99 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 40% with 99 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 50% with 99 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 60% with 99 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 70% with 95 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 94 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:12, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:12, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:48, elapsed time = 00:00:12, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040809 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295441 um.\r\nTotal wire length on LAYER M3 = 400713 um.\r\nTotal wire length on LAYER M4 = 198269 um.\r\nTotal wire length on LAYER M5 = 101565 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444662.\r\nUp-via summary (total 1444662):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837606\r\n M3 112650\r\n M4 20396\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444662\r\n\r\n\r\n[INFO DRT-0195] Start 6th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:41, elapsed time = 00:00:13, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040808 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295438 um.\r\nTotal wire length on LAYER M3 = 400719 um.\r\nTotal wire length on LAYER M4 = 198270 um.\r\nTotal wire length on LAYER M5 = 101559 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444649.\r\nUp-via summary (total 1444649):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837606\r\n M3 112639\r\n M4 20394\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444649\r\n\r\n\r\n[INFO DRT-0195] Start 7th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:14, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:14, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:14, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:39, elapsed time = 00:00:14, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040809 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295438 um.\r\nTotal wire length on LAYER M3 = 400725 um.\r\nTotal wire length on LAYER M4 = 198271 um.\r\nTotal wire length on LAYER M5 = 101554 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444657.\r\nUp-via summary (total 1444657):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837614\r\n M3 112641\r\n M4 20392\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444657\r\n\r\n\r\n[INFO DRT-0195] Start 8th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:16, elapsed time = 00:00:05, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040810 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295441 um.\r\nTotal wire length on LAYER M3 = 400725 um.\r\nTotal wire length on LAYER M4 = 198269 um.\r\nTotal wire length on LAYER M5 = 101553 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444745.\r\nUp-via summary (total 1444745):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837678\r\n M3 112659\r\n M4 20398\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444745\r\n\r\n\r\n[INFO DRT-0195] Start 9th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:22, elapsed time = 00:00:06, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040809 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295443 um.\r\nTotal wire length on LAYER M3 = 400725 um.\r\nTotal wire length on LAYER M4 = 198266 um.\r\nTotal wire length on LAYER M5 = 101553 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444721.\r\nUp-via summary (total 1444721):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837666\r\n M3 112653\r\n M4 20392\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444721\r\n\r\n\r\n[INFO DRT-0195] Start 10th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:40, elapsed time = 00:00:09, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040807 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295440 um.\r\nTotal wire length on LAYER M3 = 400727 um.\r\nTotal wire length on LAYER M4 = 198268 um.\r\nTotal wire length on LAYER M5 = 101551 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444643.\r\nUp-via summary (total 1444643):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837612\r\n M3 112637\r\n M4 20384\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444643\r\n\r\n\r\n[INFO DRT-0195] Start 11th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:43, elapsed time = 00:00:09, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040807 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295439 um.\r\nTotal wire length on LAYER M3 = 400727 um.\r\nTotal wire length on LAYER M4 = 198268 um.\r\nTotal wire length on LAYER M5 = 101551 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444637.\r\nUp-via summary (total 1444637):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837610\r\n M3 112635\r\n M4 20382\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444637\r\n\r\n\r\n[INFO DRT-0195] Start 12th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:42, elapsed time = 00:00:10, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040807 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295438 um.\r\nTotal wire length on LAYER M3 = 400725 um.\r\nTotal wire length on LAYER M4 = 198269 um.\r\nTotal wire length on LAYER M5 = 101553 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444637.\r\nUp-via summary (total 1444637):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837598\r\n M3 112641\r\n M4 20388\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444637\r\n\r\n\r\n[INFO DRT-0195] Start 13th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:40, elapsed time = 00:00:13, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040808 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295436 um.\r\nTotal wire length on LAYER M3 = 400725 um.\r\nTotal wire length on LAYER M4 = 198271 um.\r\nTotal wire length on LAYER M5 = 101554 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444639.\r\nUp-via summary (total 1444639):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837602\r\n M3 112639\r\n M4 20388\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444639\r\n\r\n\r\n[INFO DRT-0195] Start 14th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:15, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:15, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:15, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:40, elapsed time = 00:00:15, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040806 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295435 um.\r\nTotal wire length on LAYER M3 = 400723 um.\r\nTotal wire length on LAYER M4 = 198271 um.\r\nTotal wire length on LAYER M5 = 101556 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444645.\r\nUp-via summary (total 1444645):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837606\r\n M3 112639\r\n M4 20390\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444645\r\n\r\n\r\n[INFO DRT-0195] Start 15th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:17, elapsed time = 00:00:05, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040806 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295435 um.\r\nTotal wire length on LAYER M3 = 400722 um.\r\nTotal wire length on LAYER M4 = 198271 um.\r\nTotal wire length on LAYER M5 = 101556 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444657.\r\nUp-via summary (total 1444657):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837614\r\n M3 112643\r\n M4 20390\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444657\r\n\r\n\r\n[INFO DRT-0195] Start 16th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:22, elapsed time = 00:00:05, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040805 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295437 um.\r\nTotal wire length on LAYER M3 = 400722 um.\r\nTotal wire length on LAYER M4 = 198268 um.\r\nTotal wire length on LAYER M5 = 101556 um.\r\nTotal wire length on LAYER M6 = 30239 um.\r\nTotal wire length on LAYER M7 = 12757 um.\r\nTotal wire length on LAYER M8 = 1823 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444659.\r\nUp-via summary (total 1444659):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837626\r\n M3 112635\r\n M4 20388\r\n M5 3428\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444659\r\n\r\n\r\n[INFO DRT-0195] Start 17th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 96 violations.\r\n elapsed time = 00:00:12, memory = 21128.20 (MB).\r\n Completing 90% with 96 violations.\r\n elapsed time = 00:00:12, memory = 21128.20 (MB).\r\n Completing 100% with 96 violations.\r\n elapsed time = 00:00:12, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 96.\r\nViol/Layer M4 M5\r\nEOL 1 0\r\nMetal Spacing 0 70\r\nShort 0 22\r\neolKeepOut 3 0\r\n[INFO DRT-0267] cpu time = 00:00:46, elapsed time = 00:00:12, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040777 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295306 um.\r\nTotal wire length on LAYER M3 = 400871 um.\r\nTotal wire length on LAYER M4 = 198393 um.\r\nTotal wire length on LAYER M5 = 101394 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444622.\r\nUp-via summary (total 1444622):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837500\r\n M3 112789\r\n M4 20318\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444622\r\n\r\n\r\n[INFO DRT-0195] Start 18th optimization iteration.\r\n Completing 10% with 96 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 96 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 96 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 96 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 96 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 96 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 96 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:39, elapsed time = 00:00:08, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040777 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295306 um.\r\nTotal wire length on LAYER M3 = 400869 um.\r\nTotal wire length on LAYER M4 = 198393 um.\r\nTotal wire length on LAYER M5 = 101396 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444630.\r\nUp-via summary (total 1444630):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837510\r\n M3 112783\r\n M4 20322\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444630\r\n\r\n\r\n[INFO DRT-0195] Start 19th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 92 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 92 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 92 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 80% with 92 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n Completing 90% with 92 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n Completing 100% with 92 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 92.\r\nViol/Layer M5\r\nMetal Spacing 70\r\nShort 22\r\n[INFO DRT-0267] cpu time = 00:00:42, elapsed time = 00:00:09, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040777 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295306 um.\r\nTotal wire length on LAYER M3 = 400869 um.\r\nTotal wire length on LAYER M4 = 198393 um.\r\nTotal wire length on LAYER M5 = 101396 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444626.\r\nUp-via summary (total 1444626):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837506\r\n M3 112783\r\n M4 20322\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444626\r\n\r\n\r\n[INFO DRT-0195] Start 20th optimization iteration.\r\n Completing 10% with 92 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 87 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 83 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 83 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 83 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 83 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 82 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 81 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 90% with 81 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 100% with 81 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 81.\r\nViol/Layer M5\r\nMetal Spacing 62\r\nShort 19\r\n[INFO DRT-0267] cpu time = 00:00:40, elapsed time = 00:00:10, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040783 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295306 um.\r\nTotal wire length on LAYER M3 = 400871 um.\r\nTotal wire length on LAYER M4 = 198399 um.\r\nTotal wire length on LAYER M5 = 101394 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444630.\r\nUp-via summary (total 1444630):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837508\r\n M3 112785\r\n M4 20322\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444630\r\n\r\n\r\n[INFO DRT-0195] Start 21st optimization iteration.\r\n Completing 10% with 81 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 81 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 77 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 77 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 77 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 77 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 77 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 74 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 90% with 74 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 100% with 74 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 74.\r\nViol/Layer M5\r\nMetal Spacing 55\r\nShort 19\r\n[INFO DRT-0267] cpu time = 00:00:36, elapsed time = 00:00:10, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040778 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295306 um.\r\nTotal wire length on LAYER M3 = 400867 um.\r\nTotal wire length on LAYER M4 = 198395 um.\r\nTotal wire length on LAYER M5 = 101398 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444628.\r\nUp-via summary (total 1444628):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837504\r\n M3 112783\r\n M4 20326\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444628\r\n\r\n\r\n[INFO DRT-0195] Start 22nd optimization iteration.\r\n Completing 10% with 74 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 73 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 73 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 40% with 73 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 50% with 73 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 60% with 73 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 70% with 73 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 72 violations.\r\n elapsed time = 00:00:11, memory = 21128.20 (MB).\r\n Completing 90% with 72 violations.\r\n elapsed time = 00:00:11, memory = 21128.20 (MB).\r\n Completing 100% with 72 violations.\r\n elapsed time = 00:00:11, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 72.\r\nViol/Layer M5\r\nMetal Spacing 53\r\nShort 19\r\n[INFO DRT-0267] cpu time = 00:00:30, elapsed time = 00:00:11, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040778 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295307 um.\r\nTotal wire length on LAYER M3 = 400868 um.\r\nTotal wire length on LAYER M4 = 198393 um.\r\nTotal wire length on LAYER M5 = 101397 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444642.\r\nUp-via summary (total 1444642):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837516\r\n M3 112785\r\n M4 20326\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444642\r\n\r\n\r\n[INFO DRT-0195] Start 23rd optimization iteration.\r\n Completing 10% with 72 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 72 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 72 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 72 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 50% with 72 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 60% with 72 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 70% with 72 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 80% with 72 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 90% with 72 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 100% with 72 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 72.\r\nViol/Layer M5\r\nMetal Spacing 53\r\nShort 19\r\n[INFO DRT-0267] cpu time = 00:00:12, elapsed time = 00:00:04, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040779 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295309 um.\r\nTotal wire length on LAYER M3 = 400868 um.\r\nTotal wire length on LAYER M4 = 198392 um.\r\nTotal wire length on LAYER M5 = 101397 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444664.\r\nUp-via summary (total 1444664):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837532\r\n M3 112787\r\n M4 20330\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444664\r\n\r\n\r\n[INFO DRT-0195] Start 24th optimization iteration.\r\n Completing 10% with 72 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 72 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 72 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 72 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 50% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 60% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 70% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 80% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 90% with 70 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 100% with 70 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 70.\r\nViol/Layer M5\r\nMetal Spacing 52\r\nShort 18\r\n[INFO DRT-0267] cpu time = 00:00:18, elapsed time = 00:00:04, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040779 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295310 um.\r\nTotal wire length on LAYER M3 = 400870 um.\r\nTotal wire length on LAYER M4 = 198391 um.\r\nTotal wire length on LAYER M5 = 101395 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12755 um.\r\nTotal wire length on LAYER M8 = 1820 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444664.\r\nUp-via summary (total 1444664):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837546\r\n M3 112781\r\n M4 20322\r\n M5 3433\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444664\r\n\r\n\r\n[INFO DRT-0195] Start 25th optimization iteration.\r\n Completing 10% with 70 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 70 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 70 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 40% with 71 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 50% with 75 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 75 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 80 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 80% with 80 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 90% with 85 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 100% with 87 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 87.\r\nViol/Layer M4 M5\r\nMetal Spacing 0 61\r\nShort 1 22\r\neolKeepOut 3 0\r\n[INFO DRT-0267] cpu time = 00:00:28, elapsed time = 00:00:09, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040775 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295289 um.\r\nTotal wire length on LAYER M3 = 400881 um.\r\nTotal wire length on LAYER M4 = 198412 um.\r\nTotal wire length on LAYER M5 = 101382 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444673.\r\nUp-via summary (total 1444673):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837523\r\n M3 112798\r\n M4 20333\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444673\r\n\r\n\r\n[INFO DRT-0195] Start 26th optimization iteration.\r\n Completing 10% with 87 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 80 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 75 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 40% with 75 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 50% with 75 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 60% with 75 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 70% with 54 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 80% with 49 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 90% with 49 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 100% with 49 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 49.\r\nViol/Layer M5\r\nMetal Spacing 38\r\nShort 11\r\n[INFO DRT-0267] cpu time = 00:00:23, elapsed time = 00:00:06, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040775 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295287 um.\r\nTotal wire length on LAYER M3 = 400881 um.\r\nTotal wire length on LAYER M4 = 198413 um.\r\nTotal wire length on LAYER M5 = 101382 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444669.\r\nUp-via summary (total 1444669):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837521\r\n M3 112798\r\n M4 20331\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444669\r\n\r\n\r\n[INFO DRT-0195] Start 27th optimization iteration.\r\n Completing 10% with 49 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 47 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 46 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 40% with 46 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 50% with 46 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 60% with 46 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 70% with 44 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 80% with 43 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 90% with 43 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 100% with 43 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 43.\r\nViol/Layer M5\r\nMetal Spacing 35\r\nShort 8\r\n[INFO DRT-0267] cpu time = 00:00:20, elapsed time = 00:00:06, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040776 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295288 um.\r\nTotal wire length on LAYER M3 = 400882 um.\r\nTotal wire length on LAYER M4 = 198413 um.\r\nTotal wire length on LAYER M5 = 101382 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444667.\r\nUp-via summary (total 1444667):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837519\r\n M3 112798\r\n M4 20331\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444667\r\n\r\n\r\n[INFO DRT-0195] Start 28th optimization iteration.\r\n Completing 10% with 43 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 43 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 42 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 40% with 42 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 50% with 42 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 60% with 42 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 70% with 40 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 80% with 40 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 90% with 40 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 100% with 40 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 40.\r\nViol/Layer M5\r\nMetal Spacing 32\r\nShort 8\r\n[INFO DRT-0267] cpu time = 00:00:17, elapsed time = 00:00:05, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040776 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295288 um.\r\nTotal wire length on LAYER M3 = 400882 um.\r\nTotal wire length on LAYER M4 = 198413 um.\r\nTotal wire length on LAYER M5 = 101381 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444667.\r\nUp-via summary (total 1444667):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837519\r\n M3 112796\r\n M4 20333\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444667\r\n\r\n\r\n[INFO DRT-0195] Start 29th optimization iteration.\r\n Completing 10% with 40 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 40 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 40 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 40% with 40 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 50% with 40 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 60% with 40 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 70% with 40 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 80% with 40 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 90% with 40 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 100% with 40 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 40.\r\nViol/Layer M5\r\nMetal Spacing 32\r\nShort 8\r\n[INFO DRT-0267] cpu time = 00:00:18, elapsed time = 00:00:08, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040777 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295288 um.\r\nTotal wire length on LAYER M3 = 400881 um.\r\nTotal wire length on LAYER M4 = 198414 um.\r\nTotal wire length on LAYER M5 = 101382 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444675.\r\nUp-via summary (total 1444675):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837523\r\n M3 112796\r\n M4 20337\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444675\r\n\r\n\r\n[INFO DRT-0195] Start 30th optimization iteration.\r\n Completing 10% with 40 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 38 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 38 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 40% with 38 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 50% with 38 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 60% with 38 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 70% with 38 violations.\r\n elapsed time = 00:00:08, memory = 21128.20 (MB).\r\n Completing 80% with 36 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 90% with 36 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 100% with 36 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 36.\r\nViol/Layer M5\r\nMetal Spacing 29\r\nShort 7\r\n[INFO DRT-0267] cpu time = 00:00:26, elapsed time = 00:00:13, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040778 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295289 um.\r\nTotal wire length on LAYER M3 = 400882 um.\r\nTotal wire length on LAYER M4 = 198414 um.\r\nTotal wire length on LAYER M5 = 101382 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444677.\r\nUp-via summary (total 1444677):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837525\r\n M3 112796\r\n M4 20337\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444677\r\n\r\n\r\n[INFO DRT-0195] Start 31st optimization iteration.\r\n Completing 10% with 36 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 36 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 36 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 36 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 50% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 60% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 70% with 35 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 80% with 35 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 90% with 35 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 100% with 35 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 35.\r\nViol/Layer M5\r\nMetal Spacing 28\r\nShort 7\r\n[INFO DRT-0267] cpu time = 00:00:07, elapsed time = 00:00:03, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040779 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295292 um.\r\nTotal wire length on LAYER M3 = 400882 um.\r\nTotal wire length on LAYER M4 = 198412 um.\r\nTotal wire length on LAYER M5 = 101382 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444683.\r\nUp-via summary (total 1444683):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837533\r\n M3 112794\r\n M4 20337\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444683\r\n\r\n\r\n[INFO DRT-0195] Start 32nd optimization iteration.\r\n Completing 10% with 35 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 35 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 35 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 35 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 50% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 60% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 70% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 80% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 90% with 35 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 100% with 35 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 35.\r\nViol/Layer M5\r\nMetal Spacing 28\r\nShort 7\r\n[INFO DRT-0267] cpu time = 00:00:10, elapsed time = 00:00:05, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040779 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295292 um.\r\nTotal wire length on LAYER M3 = 400882 um.\r\nTotal wire length on LAYER M4 = 198412 um.\r\nTotal wire length on LAYER M5 = 101382 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444683.\r\nUp-via summary (total 1444683):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837533\r\n M3 112794\r\n M4 20337\r\n M5 3437\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444683\r\n\r\n\r\n[INFO DRT-0195] Start 33rd optimization iteration.\r\n Completing 10% with 35 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 36 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 36 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 43 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 50% with 43 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 60% with 43 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 70% with 44 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 80% with 44 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 90% with 63 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 100% with 63 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 63.\r\nViol/Layer V3 M4 M5\r\nCutSpcTbl 3 0 0\r\nEOL 0 5 0\r\nMetal Spacing 0 1 25\r\nShort 0 3 2\r\neolKeepOut 0 24 0\r\n[INFO DRT-0267] cpu time = 00:00:15, elapsed time = 00:00:04, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040778 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295290 um.\r\nTotal wire length on LAYER M3 = 400897 um.\r\nTotal wire length on LAYER M4 = 198413 um.\r\nTotal wire length on LAYER M5 = 101367 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444739.\r\nUp-via summary (total 1444739):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837549\r\n M3 112829\r\n M4 20340\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444739\r\n\r\n\r\n[INFO DRT-0195] Start 34th optimization iteration.\r\n Completing 10% with 63 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 56 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 43 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 40% with 43 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 50% with 43 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 60% with 43 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 70% with 39 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 80% with 29 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 90% with 29 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 100% with 29 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 29.\r\nViol/Layer V3 M4 M5\r\nCutSpcTbl 1 0 0\r\nEOL 0 1 0\r\nMetal Spacing 0 0 20\r\nShort 0 0 4\r\neolKeepOut 0 3 0\r\n[INFO DRT-0267] cpu time = 00:00:14, elapsed time = 00:00:06, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040782 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295289 um.\r\nTotal wire length on LAYER M3 = 400898 um.\r\nTotal wire length on LAYER M4 = 198418 um.\r\nTotal wire length on LAYER M5 = 101365 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444723.\r\nUp-via summary (total 1444723):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837535\r\n M3 112829\r\n M4 20338\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444723\r\n\r\n\r\n[INFO DRT-0195] Start 35th optimization iteration.\r\n Completing 10% with 29 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 25 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 25 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 40% with 25 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 50% with 25 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 60% with 25 violations.\r\n elapsed time = 00:00:03, memory = 21128.20 (MB).\r\n Completing 70% with 25 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 80% with 24 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 90% with 24 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 100% with 24 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 24.\r\nViol/Layer M5\r\nMetal Spacing 20\r\nShort 4\r\n[INFO DRT-0267] cpu time = 00:00:15, elapsed time = 00:00:07, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040780 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295290 um.\r\nTotal wire length on LAYER M3 = 400898 um.\r\nTotal wire length on LAYER M4 = 198415 um.\r\nTotal wire length on LAYER M5 = 101365 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444717.\r\nUp-via summary (total 1444717):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837537\r\n M3 112819\r\n M4 20340\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444717\r\n\r\n\r\n[INFO DRT-0195] Start 36th optimization iteration.\r\n Completing 10% with 24 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 24 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 30% with 24 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 40% with 24 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 50% with 24 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 60% with 24 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 70% with 24 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 80% with 23 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 90% with 23 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n Completing 100% with 23 violations.\r\n elapsed time = 00:00:10, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 23.\r\nViol/Layer M5\r\nMetal Spacing 19\r\nShort 4\r\n[INFO DRT-0267] cpu time = 00:00:18, elapsed time = 00:00:10, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040781 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295290 um.\r\nTotal wire length on LAYER M3 = 400898 um.\r\nTotal wire length on LAYER M4 = 198415 um.\r\nTotal wire length on LAYER M5 = 101365 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444715.\r\nUp-via summary (total 1444715):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837535\r\n M3 112821\r\n M4 20338\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444715\r\n\r\n\r\n[INFO DRT-0195] Start 37th optimization iteration.\r\n Completing 10% with 23 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 23 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 23 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 40% with 23 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 50% with 23 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 60% with 23 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 70% with 23 violations.\r\n elapsed time = 00:00:07, memory = 21128.20 (MB).\r\n Completing 80% with 23 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 90% with 23 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n Completing 100% with 23 violations.\r\n elapsed time = 00:00:13, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 23.\r\nViol/Layer M4 M5\r\nMetal Spacing 0 18\r\nShort 2 3\r\n[INFO DRT-0267] cpu time = 00:00:25, elapsed time = 00:00:13, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040782 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295291 um.\r\nTotal wire length on LAYER M3 = 400898 um.\r\nTotal wire length on LAYER M4 = 198415 um.\r\nTotal wire length on LAYER M5 = 101366 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444725.\r\nUp-via summary (total 1444725):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837545\r\n M3 112819\r\n M4 20340\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444725\r\n\r\n\r\n[INFO DRT-0195] Start 38th optimization iteration.\r\n Completing 10% with 23 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 22 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 40% with 22 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 50% with 22 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 60% with 22 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n Completing 70% with 22 violations.\r\n elapsed time = 00:00:05, memory = 21128.20 (MB).\r\n Completing 80% with 22 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n Completing 90% with 22 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n Completing 100% with 22 violations.\r\n elapsed time = 00:00:09, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 22.\r\nViol/Layer M5\r\nMetal Spacing 18\r\nShort 4\r\n[INFO DRT-0267] cpu time = 00:00:19, elapsed time = 00:00:09, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040781 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295291 um.\r\nTotal wire length on LAYER M3 = 400898 um.\r\nTotal wire length on LAYER M4 = 198415 um.\r\nTotal wire length on LAYER M5 = 101365 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444719.\r\nUp-via summary (total 1444719):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837539\r\n M3 112821\r\n M4 20338\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444719\r\n\r\n\r\n[INFO DRT-0195] Start 39th optimization iteration.\r\n Completing 10% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 50% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 60% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 70% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 80% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 90% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 100% with 22 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 22.\r\nViol/Layer M5\r\nMetal Spacing 18\r\nShort 4\r\n[INFO DRT-0267] cpu time = 00:00:06, elapsed time = 00:00:02, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040782 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295292 um.\r\nTotal wire length on LAYER M3 = 400898 um.\r\nTotal wire length on LAYER M4 = 198414 um.\r\nTotal wire length on LAYER M5 = 101365 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444725.\r\nUp-via summary (total 1444725):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837545\r\n M3 112819\r\n M4 20340\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444725\r\n\r\n\r\n[INFO DRT-0195] Start 40th optimization iteration.\r\n Completing 10% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 30% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 40% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 50% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 60% with 22 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 70% with 22 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 80% with 22 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 90% with 22 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 100% with 22 violations.\r\n elapsed time = 00:00:04, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 22.\r\nViol/Layer M5\r\nMetal Spacing 18\r\nShort 4\r\n[INFO DRT-0267] cpu time = 00:00:09, elapsed time = 00:00:04, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040781 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295292 um.\r\nTotal wire length on LAYER M3 = 400899 um.\r\nTotal wire length on LAYER M4 = 198413 um.\r\nTotal wire length on LAYER M5 = 101364 um.\r\nTotal wire length on LAYER M6 = 30235 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444721.\r\nUp-via summary (total 1444721):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837539\r\n M3 112825\r\n M4 20336\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444721\r\n\r\n\r\n[INFO DRT-0195] Start 41st optimization iteration.\r\n Completing 10% with 22 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 30% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 40% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 50% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 60% with 35 violations.\r\n elapsed time = 00:00:01, memory = 21128.20 (MB).\r\n Completing 70% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 80% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 90% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n Completing 100% with 70 violations.\r\n elapsed time = 00:00:02, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 70.\r\nViol/Layer M3 V3 M4 M5\r\nCutSpcTbl 0 1 0 0\r\nEOL 0 0 7 0\r\nMetal Spacing 0 0 3 9\r\nShort 1 1 9 0\r\neolKeepOut 0 0 39 0\r\n[INFO DRT-0267] cpu time = 00:00:10, elapsed time = 00:00:02, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040783 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295296 um.\r\nTotal wire length on LAYER M3 = 400904 um.\r\nTotal wire length on LAYER M4 = 198412 um.\r\nTotal wire length on LAYER M5 = 101359 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444757.\r\nUp-via summary (total 1444757):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837565\r\n M3 112833\r\n M4 20338\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444757\r\n\r\n\r\n[INFO DRT-0195] Start 42nd optimization iteration.\r\n Completing 10% with 70 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 66 violations.\r\n elapsed time = 00:01:23, memory = 21128.20 (MB).\r\n Completing 30% with 53 violations.\r\n elapsed time = 00:02:13, memory = 21128.20 (MB).\r\n Completing 40% with 53 violations.\r\n elapsed time = 00:02:13, memory = 21128.20 (MB).\r\n Completing 50% with 53 violations.\r\n elapsed time = 00:02:13, memory = 21128.20 (MB).\r\n Completing 60% with 53 violations.\r\n elapsed time = 00:02:13, memory = 21128.20 (MB).\r\n Completing 70% with 48 violations.\r\n elapsed time = 00:02:15, memory = 21128.20 (MB).\r\n Completing 80% with 39 violations.\r\n elapsed time = 00:02:18, memory = 21128.20 (MB).\r\n Completing 90% with 39 violations.\r\n elapsed time = 00:02:18, memory = 21128.20 (MB).\r\n Completing 100% with 39 violations.\r\n elapsed time = 00:02:18, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 39.\r\nViol/Layer V3 M4 M5\r\nCutSpcTbl 1 0 0\r\nEOL 0 3 0\r\nMetal Spacing 0 2 16\r\nShort 0 2 3\r\neolKeepOut 0 12 0\r\n[INFO DRT-0267] cpu time = 00:03:00, elapsed time = 00:02:18, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040781 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295292 um.\r\nTotal wire length on LAYER M3 = 400904 um.\r\nTotal wire length on LAYER M4 = 198414 um.\r\nTotal wire length on LAYER M5 = 101359 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444741.\r\nUp-via summary (total 1444741):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837559\r\n M3 112827\r\n M4 20334\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444741\r\n\r\n\r\n[INFO DRT-0195] Start 43rd optimization iteration.\r\n Completing 10% with 39 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 28 violations.\r\n elapsed time = 00:00:06, memory = 21128.20 (MB).\r\n Completing 30% with 28 violations.\r\n elapsed time = 00:00:20, memory = 21128.20 (MB).\r\n Completing 40% with 28 violations.\r\n elapsed time = 00:00:20, memory = 21128.20 (MB).\r\n Completing 50% with 28 violations.\r\n elapsed time = 00:00:20, memory = 21128.20 (MB).\r\n Completing 60% with 28 violations.\r\n elapsed time = 00:00:20, memory = 21128.20 (MB).\r\n Completing 70% with 28 violations.\r\n elapsed time = 00:00:24, memory = 21128.20 (MB).\r\n Completing 80% with 28 violations.\r\n elapsed time = 00:00:28, memory = 21128.20 (MB).\r\n Completing 90% with 28 violations.\r\n elapsed time = 00:00:28, memory = 21128.20 (MB).\r\n Completing 100% with 28 violations.\r\n elapsed time = 00:00:28, memory = 21128.20 (MB).\r\n[INFO DRT-0199] Number of violations = 28.\r\nViol/Layer M4 M5\r\nEOL 1 0\r\nMetal Spacing 1 18\r\nShort 1 4\r\neolKeepOut 3 0\r\n[INFO DRT-0267] cpu time = 00:00:42, elapsed time = 00:00:28, memory = 21128.20 (MB), peak = 24295.54 (MB)\r\nTotal wire length = 1040782 um.\r\nTotal wire length on LAYER M1 = 0 um.\r\nTotal wire length on LAYER M2 = 295293 um.\r\nTotal wire length on LAYER M3 = 400903 um.\r\nTotal wire length on LAYER M4 = 198414 um.\r\nTotal wire length on LAYER M5 = 101361 um.\r\nTotal wire length on LAYER M6 = 30234 um.\r\nTotal wire length on LAYER M7 = 12756 um.\r\nTotal wire length on LAYER M8 = 1819 um.\r\nTotal wire length on LAYER M9 = 0 um.\r\nTotal wire length on LAYER Pad = 0 um.\r\nTotal number of vias = 1444731.\r\nUp-via summary (total 1444731):.\r\n\r\n------------------\r\n Active 0\r\n M1 469508\r\n M2 837555\r\n M3 112819\r\n M4 20336\r\n M5 3439\r\n M6 940\r\n M7 134\r\n M8 0\r\n M9 0\r\n------------------\r\n 1444731\r\n\r\n\r\n[INFO DRT-0195] Start 44th optimization iteration.\r\n Completing 10% with 28 violations.\r\n elapsed time = 00:00:00, memory = 21128.20 (MB).\r\n Completing 20% with 28 violations.\r\n elapsed time = 00:05:30, memory = 21128.20 (MB).\r\n Completing 30% with 28 violations.\r\n elapsed time = 00:07:16, memory = 21128.20 (MB).\r\n Completing 40% with 28 violations.\r\n elapsed time = 00:07:16, memory = 21128.20 (MB).\r\n Completing 50% with 28 violations.\r\n elapsed time = 00:07:16, memory = 21128.20 (MB).\r\n Completing 60% with 28 violations.\r\n elapsed time = 00:07:16, memory = 21128.20 (MB).\r\n Completing 70% with 28 violations.\r\n elapsed time = 00:07:17, memory = 21128.20 (MB).\r\n[did not observe the run any further]\r\n\r\n```"}, {"assistant": "```\r\nadd_pdn_ring -grid {top} -layers {M5 M6} -widths {0.504 0.544} -spacings {0.096} -core_offset {0.504}\r\n```\r\n\r\nleads to rings outside the core area are there isn't enough room to put the rings between the core and die areas:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/3c0b2bf5-6967-484c-acdc-50fdca41368b)\r\n\r\n\r\nYou'll either need to adjust the rings or the floorplan (or both)."}, {"user": "> You'll either need to adjust the rings or the floorplan (or both).\r\n\r\nThanks!\r\n\r\nIs there a reason there cant be an actionable error message here?"}, {"user": "@maliberty With `CORE_UTILIZATION=30`, there is no way to adjust the amount of space between the core area and the die area, this is done automatically.\r\n\r\nShouldn't the spacing be adjusted to a legal amount automatically?"}, {"assistant": "You set it through CORE_MARGIN"}, {"user": "> You set it through CORE_MARGIN\r\n\r\nThanks!\r\n\r\nFeature request: an actionable error message. \"Error: No space for power ring, increase CORE_MARGIN\"\r\n\r\n(or the openroad speak equivalent from where the user should be able to figure out CORE_MARGIN)"}, {"user": "@maliberty Tested some more.\r\n\r\nLong story short: This is issue is now a feature request for adding an actionable error message when the PDN ring doesn't fit."}, {"assistant": "How come the pins were placed overlapping the power straps? That seems to be the actual issue here based on my read of this."}, {"user": "> How come the pins were placed overlapping the power straps? That seems to be the actual issue here based on my read of this.\r\n\r\nBecause CORE_MARGIN, CORE/DIE_AREA doesn't leave enough room for the power ring. The error message will tell you at the floorplanning stage so as to avoid having to wait all the way to routing before discovering this."}, {"assistant": "@oharboe that doesn't explain why PPL put in the pins on top of the straps"}, {"user": "> @oharboe that doesn't explain why PPL put in the pins on top of the straps\r\n\r\nWhat is PPL?\r\n\r\nPins are added before the PDN in ORFS."}, {"assistant": "If the pins are marked FIXED then PDN will avoid them, if they are just PLACED then PDN is expecting a second call to the pin placer tool (PPL) to place them properly."}, {"user": "> If the pins are marked FIXED then PDN will avoid them, if they are just PLACED then PDN is expecting a second call to the pin placer tool (PPL) to place them properly.\r\n\r\nSo... more things to investigate...\r\n\r\nStill seems like a good idea to have an error message if the PDN ring can't be placed inside the die area..."}, {"assistant": "@oharboe sure, I don't disagree (I just think the implementation in the PR is not quite consistent with the rest of the codebase), I generally don't like that PDN places shaped outside the DIE area, but OpenLANE relies on this behavior.\r\n"}, {"assistant": "I'm guessing that ppl doesn't look for obstructions on the die edge as there generally aren't any (@eder-matheus is that right?). Even if it did put the pins off the straps, they would not be on the edge of the block anymore which wouldn't be good. You would have to access them from above which doesn't make sense.\r\n\r\nI don't know about what OL is doing with such stripes. Would you say more."}, {"assistant": "@maliberty PPL actually looks for obstructions, but I believe only placement obstructions. We iterate through them using this for loop:\r\n`for (odb::dbObstruction* obstruction : getBlock()->getObstructions()) {`\r\n\r\nI could extend this function to iterate through PDN shapes and ensure that PPL will not use tracks that overlap with these nets."}, {"assistant": "@eder-matheus those are routing obstructions rather than placement blockages. I'm not sure this usage makes sense. Let's see how the discussion concludes before doing any work."}, {"assistant": "> @eder-matheus those are routing obstructions rather than placement blockages. I'm not sure this usage makes sense. Let's see how the discussion concludes before doing any work.\r\n\r\nYes, you're right. The code I've mentioned is not used, but we do consider macros to avoid using tracks that would be blocked by them."}]} +{"num": 4467, "messages": [{"user": "### Describe the bug\r\n\r\n1. untar https://drive.google.com/file/d/15JwTHiqy_1cYP4XbChVFH_2uasrmWdcR/view?usp=sharing\r\n2. Run same as below.\r\n\r\n\r\n```\r\n$ NUM_CORES=16 ./run-me-DigitalTop-asap7-base.sh \r\nOpenROAD v2.0-11602-g18cbc8d2b \r\n[deleted]\r\n[INFO DRT-0076] Complete 900 pins.\r\n[INFO DRT-0077] Complete 1000 pins.\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/frontend/bpd/clock.\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/core/FpPipeline/clock.\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/frontend/icache/auto_master_out_a_bits_address[0].\r\n[ERROR DRT-0073] No access point for subsystem_l2_wrapper/l2/auto_ctrls_ctrl_in_a_bits_address[0].\r\n[ERROR DRT-0073] No access point for tile_prci_domain/tile_reset_domain/boom_tile/dcache/auto_out_a_bits_address[0].\r\n[INFO DRT-0077] Complete 2000 pins.\r\n[INFO DRT-0077] Complete 3000 pins.\r\nError: detail_route.tcl, 69 DRT-0073\r\nopenroad> \r\n\r\n```\r\n\r\n### Expected Behavior\r\n\r\nNo error\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11602-g18cbc8d2b\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "Isn't this issue a duplicate of that issue: https://github.com/The-OpenROAD-Project/OpenROAD/issues/4462 ?"}, {"user": "> Isn't this issue a duplicate of that issue: #4462 ?\r\n\r\nPossibly, I would have to trust your judgment...."}, {"user": "@osamahammad21 I think this might be a red herring. I'll refile a github issue with more detail if it recoccurs."}]} +{"num": 4468, "messages": [{"user": "### Describe the bug\n\nBuild fails when performing boost checksum:\r\n```\r\n#11 107.4 --2023-12-31 17:51:20-- https://boostorg.jfrog.io/artifactory/main/release/1.80.0/source/boost_1_80_0.tar.gz\r\n#11 107.4 Resolving boostorg.jfrog.io (boostorg.jfrog.io)... 18.232.172.199, 3.95.117.170, 18.214.194.113\r\n#11 107.4 Connecting to boostorg.jfrog.io (boostorg.jfrog.io)|18.232.172.199|:443... connected.\r\n#11 107.5 HTTP request sent, awaiting response... 302 Moved Temporarily\r\n#11 107.5 Location: https://landing.jfrog.com/reactivate-server/boostorg [following]\r\n#11 107.5 --2023-12-31 17:51:20-- https://landing.jfrog.com/reactivate-server/boostorg\r\n#11 107.5 Resolving landing.jfrog.com (landing.jfrog.com)... 18.232.172.199, 3.95.117.170, 18.214.194.113\r\n#11 107.5 Connecting to landing.jfrog.com (landing.jfrog.com)|18.232.172.199|:443... connected.\r\n#11 107.6 HTTP request sent, awaiting response... 200 OK\r\n#11 107.6 Length: 11534 (11K) [text/html]\r\n#11 107.6 Saving to: 'boost_1_80_0.tar.gz'\r\n#11 107.6 \r\n#11 107.6 0K .......... . 100% 1.80M=0.006s\r\n#11 107.6 \r\n#11 107.6 2023-12-31 17:51:20 (1.80 MB/s) - 'boost_1_80_0.tar.gz' saved [11534/11534]\r\n#11 107.6 \r\n107.6 2023-12-31 17:51:20 (1.80 MB/s) - 'boost_1_80_0.tar.gz' saved [11534/11534]\r\n107.6 \r\n107.6 md5sum: WARNING: 1 computed checksum did NOT match\r\n107.6 boost_1_80_0.tar.gz: FAILED\r\n```\n\n### Expected Behavior\n\nchecksum matches\n\n### Environment\n\n```shell\nN/A\n```\n\n\n### To Reproduce\n\n./etc/DependencyInstaller.sh\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "I believe this is fixed by https://github.com/The-OpenROAD-Project/OpenROAD/pull/4469"}]} +{"num": 4470, "messages": [{"user": "### Description\n\nThis output doesn't really tell me anything about how far along the process is, what is going on and I can't use the information for anything, so probably should be debug output:\r\n\r\n```\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n[INFO MPL-0023] Calling Partitioner.\r\n[INFO PAR-0016] Partitioning using multilevel methodology.\r\n```\n\n### Suggested Solution\n\nConvert to debug output\n\n### Additional Context\n\n_No response_"}, {"assistant": "@oharboe This will be addressed in #4452."}]} +{"num": 4471, "messages": [{"user": "### Describe the bug\n\nCrash during running `repair_antennas`.\n\n### Expected Behavior\n\nThe command passing or a meaningful exit. \n\n### Environment\n\n```shell\nGit commit: 861b9b3822bd9f3d329a5f21e1795a1cf8ce7ce5\r\nkernel: Linux 6.2.0-39-generic\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.25.1\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11613-g861b9b382\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format -CMake Warning at src/CMakeLists.txt:241 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 5b374dd36ad345c9fcd5224e9fc20484393568ab\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/karim/work/OpenROAD/src/sta/app/sta\r\n-- Found re2: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found SCIP: /home/karim/Downloads/or-tools_x86_64_Ubuntu-22.10_cpp_v9.5.2237/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Eigen3: /usr/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found suitable version \"1.81.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.Et8pGUvhM6\n```\n\n\n### To Reproduce\n\n\r\n[reproducible_antenna_bug.aa.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13811208/reproducible_antenna_bug.aa.tar.gz)\r\n[reproducible_antenna_bug.ab.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13811209/reproducible_antenna_bug.ab.tar.gz)\r\n[reproducible_antenna_bug.ac.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13811210/reproducible_antenna_bug.ac.tar.gz)\r\n[reproducible_antenna_bug.ad.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/13811213/reproducible_antenna_bug.ad.tar.gz)\r\n\r\n```\r\ncat reproducible_antenna_bug* > issue.tar.gz\r\ntar xvf issue.tar.gz\r\ncd reproducible_antenna_bug\r\nbash run.sh\r\n```\r\nThis is created from OpenLane2 since there is no template for OpenLane2 at the moment. OpenROAD .tcl scripts are very similar to one's used in OpenLane.\n\n### Relevant log output\n\n```shell\n[INFO GRT-0018] Total wirelength: 3425387 um\r\n[INFO GRT-0014] Routed nets: 38969\r\n[INFO GRT-0006] Repairing antennas, iteration 1.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[WARNING ANT-0009] Net __dut__.__uuf__.n12568 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net696 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net711 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net725 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net815 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net824 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net826 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net829 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net834 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net852 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net1464 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net1999 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net2005 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net2007 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net2009 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net2036 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net2053 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net2055 requires more than 10 diodes per gate to repair violations.\r\n[WARNING ANT-0009] Net net2213 requires more than 10 diodes per gate to repair violations.\r\n[INFO GRT-0012] Found 286 antenna violations.\r\n[INFO GRT-0015] Inserted 2078 diodes.\r\n[INFO GRT-0054] Using detailed placer to place 82 diodes.\r\n[INFO GRT-0009] rerouting 30474 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 51\r\n[INFO GRT-0006] Repairing antennas, iteration 2.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\n[INFO GRT-0012] Found 64 antenna violations.\r\n[INFO GRT-0015] Inserted 353 diodes.\r\n[INFO GRT-0054] Using detailed placer to place 1 diodes.\r\n[INFO GRT-0009] rerouting 942 nets.\r\n[INFO GRT-0001] Minimum degree: 2\r\n[INFO GRT-0002] Maximum degree: 43\r\n[INFO GRT-0006] Repairing antennas, iteration 3.\r\n[INFO GRT-0043] No OR_DEFAULT vias defined.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x00005638C5AA2BFF in openroad\r\n 1# 0x00007FBBBEC55A70 in /nix/store/qn3ggz5sf3hkjs2c797xf7nan3amdxmp-glibc-2.38-27/lib/libc.so.6\r\n 2# odb::dbBox::getBox() in openroad\r\n 3# odb::dbWirePathItr::getNextShape(odb::dbWirePathShape&) in openroad\r\n 4# odb::tmg_conn::loadWire(odb::dbWire*) in openroad\r\n 5# odb::tmg_conn::analyzeNet(odb::dbNet*) in openroad\r\n 6# odb::orderWires(utl::Logger*, odb::dbNet*) in openroad\r\n 7# grt::RepairAntennas::makeNetWire(odb::dbNet*, std::vector >&, std::map, std::allocator > >&) in openroad\r\n 8# grt::RepairAntennas::makeNetWires(std::map >, grt::cmpById, std::allocator > > > >&, int) in openroad\r\n 9# grt::RepairAntennas::checkAntennaViolations(std::map >, grt::cmpById, std::allocator > > > >&, int, odb::dbMTerm*, float) in openroad\r\n10# grt::GlobalRouter::repairAntennas(odb::dbMTerm*, int, float) in openroad\r\n11# 0x00005638C608F1D0 in openroad\r\n12# TclNRRunCallbacks in /nix/store/2wmwl9g0204202qpj7p53w56rmi76m92-tcl-8.6.13/lib/libtcl.so\r\n13# 0x00007FBBC2CCD228 in /nix/store/2wmwl9g0204202qpj7p53w56rmi76m92-tcl-8.6.13/lib/libtcl.so\r\n14# Tcl_EvalEx in /nix/store/2wmwl9g0204202qpj7p53w56rmi76m92-tcl-8.6.13/lib/libtcl.so\r\n15# Tcl_Eval in /nix/store/2wmwl9g0204202qpj7p53w56rmi76m92-tcl-8.6.13/lib/libtcl.so\r\n16# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n17# ord::tclAppInit(Tcl_Interp*) in openroad\r\n18# Tcl_MainEx in /nix/store/2wmwl9g0204202qpj7p53w56rmi76m92-tcl-8.6.13/lib/libtcl.so\r\n19# main in openroad\r\n20# 0x00007FBBBEC3FFCE in /nix/store/qn3ggz5sf3hkjs2c797xf7nan3amdxmp-glibc-2.38-27/lib/libc.so.6\r\n21# __libc_start_main in /nix/store/qn3ggz5sf3hkjs2c797xf7nan3amdxmp-glibc-2.38-27/lib/libc.so.6\r\n22# _start in openroad\r\nrun.sh: line 97: 2647081 Segmentation fault (core dumped) openroad -exit -no_splash -metrics ./or_metrics_out.json ./scripts/openroad/antenna_repair.tcl\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@kareefardi I've tried it with the latest master branch, and the error is not happening anymore. Today we have merged a fix in GRT for pins not being properly covered, and my guess is that this crash was happening because of it.\r\n\r\nCould you try with the latest master branch and see if it is fixed for you too?"}, {"user": "@eder-matheus I am still facing a crash using commit `0497bd0dac40e847ae0c846d3f1b7a098b95d918`. \r\n[out.log](https://github.com/The-OpenROAD-Project/OpenROAD/files/13820873/out.log)\r\nI attached the full log output in case its helpful. "}, {"assistant": "@kareefardi I've tried it again, running with gdb, and I still can't reproduce the crash. I'm trying now with valgrind."}, {"user": "@eder-matheus there seems to be difference in reproducibility related to underlying architecture. I will let you know what I find. "}, {"assistant": "@kareefardi any progress or should we close this?"}, {"user": "@maliberty Sorry for the late update. We were able to reproduce this on two `amd64` machines and *not* reproduce it on `aarch64` machine. I also tried a debug build on the `amd64` machine but I still got a crash. I am not sure yet if this is OS specific or not. @eder-matheus what kind of machine were you using when you test the crash?"}, {"user": "However, let me test the latest version and check if it is still the case. "}, {"assistant": "> @eder-matheus what kind of machine were you using when you test the crash?\r\n\r\n@kareefardi I've tested it in a MacOS with Intel and a CentOS with Intel. Unfortunately, I don't have access to an `amd64` machine."}, {"assistant": "Try running it under ASAN and UBSAN"}, {"assistant": "or plain old valgrind"}, {"assistant": "@kareefardi Could you try this branch: https://github.com/The-OpenROAD-Project/OpenROAD/pull/4635? I recently fixed a crash in one of our designs. Perhaps this fix works for your case as well."}, {"user": "@eder-matheus Ok I will give it a try."}, {"user": "@eder-matheus sorry for the delay. I can no longer reproduce the crash using the recent updates."}]} +{"num": 4478, "messages": [{"user": "### Describe the bug\r\n\r\nToggling routing guides on/off, we can see that the routing guide is too tight. The net is forced too close to the macro.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/9acc7e51-22d9-4cce-b930-97f5f78c1539)\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/5d74f5d0-c2cc-4df8-a086-23084c7114cd)\r\n\r\nLater, a metal spacing DRC error can't be fixed:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/a52f7411-6f3a-44f6-ab11-7485672511a1)\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nBetter routing guides so that detailed routing can work.\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11638-g1a366db1e\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n1. untar https://drive.google.com/file/d/1sUksInXz7DYJ0fBVSh6JhqbaB5p4p-2x/view?usp=sharing\r\n2. Run `./run-me-BoomNonBlockingDCache-asap7-base.sh`\r\n\r\n\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@maliberty Reproducible case where the routing guide is too close to the macro making it impossible in detailed routing to get away from the macro."}, {"assistant": "As a workaround you might try \"set_macro_extension 2\""}, {"user": "> As a workaround you might try \"set_macro_extension 2\"\r\n\r\nThanks! \r\n\r\nI am not held up by this, I just provided this test case because, as I recall @eder-matheus was interested in a reproducible case"}]} +{"num": 4486, "messages": [{"user": "### Describe the bug\n\nIt is 0 in \"Closest match\" and 53583.789 in the GUI\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/17b8d778-5443-4e91-9224-f319f1cea1a7)\r\n\n\n### Expected Behavior\n\nSame clock network delay\n\n### Environment\n\n```shell\nOpenROAD v2.0-11638-g1a366db1e\n```\n\n\n### To Reproduce\n\n1. untar https://drive.google.com/file/d/1qUp6BY_e_7MCgUG6zWe9CcxAZFDDChlc/view?usp=sharing\r\n2. `./run-me-DigitalTop-asap7-base.sh`\r\n3. Update timing\r\n4. Select \"Closest match\" for line in snapshot above, run it\r\n5. Observe difference in timing.\n\n### Relevant log output\n\n```shell\nOpenROAD v2.0-11638-g1a366db1e \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nEstimating parasitics\r\n>>> report_checks -rise_from tile_prci_domain/tile_reset_domain/boom_tile/dcache/io_lsu_ordered -rise_through wire56244/A -rise_through wire56244/Y -rise_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211621_/D -rise_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211621_/Y -rise_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211629_/A -rise_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211629_/Y -rise_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211630_/D -rise_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211630_/Y -rise_through wire33713/A -rise_through wire33713/Y -rise_through wire33712/A -rise_through wire33712/Y -rise_through tile_prci_domain/tile_reset_domain/boom_tile/core/_09441_/A -fall_through tile_prci_domain/tile_reset_domain/boom_tile/core/_09441_/Y -fall_through tile_prci_domain/tile_reset_domain/boom_tile/core/_09452_/B -fall_through tile_prci_domain/tile_reset_domain/boom_tile/core/_09452_/Y -fall...\r\nStartpoint: tile_prci_domain/tile_reset_domain/boom_tile/dcache\r\n (rising edge-triggered flip-flop clocked by auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock)\r\nEndpoint: tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_10523_\r\n (rising edge-triggered flip-flop clocked by auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock)\r\nPath Group: auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 0.00 clock auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (rise edge)\r\n 0.00 0.00 clock network delay (ideal)\r\n 0.00 0.00 0.00 ^ tile_prci_domain/tile_reset_domain/boom_tile/dcache/clock (BoomNonBlockingDCache)\r\n 1 13.45 46.55 1111.24 1111.24 ^ tile_prci_domain/tile_reset_domain/boom_tile/dcache/io_lsu_ordered (BoomNonBlockingDCache)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_dcache_io_lsu_ordered (net)\r\n 62.15 14.29 1125.53 ^ wire56244/A (BUFx16f_ASAP7_75t_R)\r\n 3 29.26 11.61 26.25 1151.78 ^ wire56244/Y (BUFx16f_ASAP7_75t_R)\r\n net56244 (net)\r\n 178.37 56.23 1208.01 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211621_/D (AND4x1_ASAP7_75t_R)\r\n 1 1.44 19.37 41.90 1249.91 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211621_/Y (AND4x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139048_ (net)\r\n 19.38 0.16 1250.07 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211629_/A (AND4x1_ASAP7_75t_R)\r\n 1 1.64 18.46 29.84 1279.91 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211629_/Y (AND4x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139056_ (net)\r\n 18.47 0.22 1280.13 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211630_/D (AND4x2_ASAP7_75t_R)\r\n 1 8.64 45.25 45.64 1325.77 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211630_/Y (AND4x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_fencei_rdy (net)\r\n 48.21 6.14 1331.91 ^ wire33713/A (BUFx16f_ASAP7_75t_R)\r\n 1 22.36 11.14 24.34 1356.25 ^ wire33713/Y (BUFx16f_ASAP7_75t_R)\r\n net33713 (net)\r\n 106.45 33.43 1389.69 ^ wire33712/A (BUFx16f_ASAP7_75t_R)\r\n 1 21.67 12.94 30.84 1420.53 ^ wire33712/Y (BUFx16f_ASAP7_75t_R)\r\n net33712 (net)\r\n 101.12 31.67 1452.20 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09441_/A (NAND2x2_ASAP7_75t_R)\r\n 3 17.65 94.22 36.09 1488.29 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09441_/Y (NAND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04826_ (net)\r\n 121.12 25.70 1513.99 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09452_/B (OA21x2_ASAP7_75t_R)\r\n 3 2.26 11.96 33.99 1547.98 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09452_/Y (OA21x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04837_ (net)\r\n 11.96 0.09 1548.07 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09453_/A (INVx1_ASAP7_75t_R)\r\n 1 0.71 7.84 6.97 1555.04 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09453_/Y (INVx1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04838_ (net)\r\n 7.84 0.01 1555.06 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09455_/C (AND4x1_ASAP7_75t_R)\r\n 2 2.19 22.43 31.42 1586.48 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09455_/Y (AND4x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04840_ (net)\r\n 22.43 0.13 1586.61 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_10541_/C (AND3x4_ASAP7_75t_R)\r\n 5 17.73 46.52 37.15 1623.76 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_10541_/Y (AND3x4_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_05732_ (net)\r\n 48.27 4.94 1628.70 ^ wire20273/A (BUFx16f_ASAP7_75t_R)\r\n 2 25.36 9.53 23.43 1652.13 ^ wire20273/Y (BUFx16f_ASAP7_75t_R)\r\n net20273 (net)\r\n 129.77 41.07 1693.20 ^ wire20272/A (BUFx16f_ASAP7_75t_R)\r\n 13 37.92 13.50 32.75 1725.95 ^ wire20272/Y (BUFx16f_ASAP7_75t_R)\r\n net20272 (net)\r\n 242.36 76.34 1802.30 ^ wire20271/A (BUFx16f_ASAP7_75t_R)\r\n 4 31.59 16.36 39.59 1841.89 ^ wire20271/Y (BUFx16f_ASAP7_75t_R)\r\n net20271 (net)\r\n 184.56 57.95 1899.84 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139693_/B (AND2x2_ASAP7_75t_R)\r\n 2 4.55 23.81 46.45 1946.30 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139693_/Y (AND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_070625_ (net)\r\n 23.81 0.05 1946.35 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139694_/B (NAND2x2_ASAP7_75t_R)\r\n 9 11.78 52.37 21.79 1968.14 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139694_/Y (NAND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_070626_ (net)\r\n 53.38 4.00 1972.14 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139729_/A2 (AOI21x1_ASAP7_75t_R)\r\n 6 22.68 147.35 69.74 2041.89 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139729_/Y (AOI21x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_dis_stq_idx_1[1] (net)\r\n 153.97 16.80 2058.68 ^ max_length17315/A (BUFx10_ASAP7_75t_R)\r\n 2 15.22 16.50 39.02 2097.70 ^ max_length17315/Y (BUFx10_ASAP7_75t_R)\r\n net17315 (net)\r\n 16.67 0.51 2098.21 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267045_/A (HAxp5_ASAP7_75t_R)\r\n 8 16.46 183.07 84.02 2182.23 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267045_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_002487_ (net)\r\n 183.07 0.32 2182.55 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139732_/A (CKINVDCx14_ASAP7_75t_R)\r\n 7 23.05 44.03 29.96 2212.51 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139732_/Y (CKINVDCx14_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139143_ (net)\r\n 54.99 11.28 2223.79 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267043_/A (HAxp5_ASAP7_75t_R)\r\n 1 0.87 26.21 19.06 2242.85 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267043_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_015209_ (net)\r\n 26.21 0.03 2242.88 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267017_/A (INVx1_ASAP7_75t_R)\r\n 2 2.48 21.08 16.28 2259.16 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267017_/Y (INVx1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139140_ (net)\r\n 21.08 0.13 2259.28 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267041_/A (HAxp5_ASAP7_75t_R)\r\n 2 5.68 68.71 35.74 2295.02 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267041_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_002238_ (net)\r\n 2 2.48 43.62 29.94 2324.96 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267041_/SN (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_002497_ (net)\r\n 43.62 0.14 2325.09 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149214_/A (XNOR2x1_ASAP7_75t_R)\r\n 1 0.70 27.44 25.84 2350.94 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149214_/Y (XNOR2x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_079852_ (net)\r\n 27.44 0.02 2350.95 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149219_/A (AND5x1_ASAP7_75t_R)\r\n 1 2.33 24.89 39.50 2390.46 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149219_/Y (AND5x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_stq_full_1 (net)\r\n 24.92 0.48 2390.94 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09445_/B2 (AO221x2_ASAP7_75t_R)\r\n 1 15.35 56.30 39.48 2430.42 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09445_/Y (AO221x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04830_ (net)\r\n 79.78 18.63 2449.05 ^ wire13096/A (BUFx16f_ASAP7_75t_R)\r\n 1 22.21 12.17 28.58 2477.64 ^ wire13096/Y (BUFx16f_ASAP7_75t_R)\r\n net13096 (net)\r\n 105.34 33.04 2510.68 ^ wire13095/A (BUFx16f_ASAP7_75t_R)\r\n 1 20.28 12.95 30.76 2541.44 ^ wire13095/Y (BUFx16f_ASAP7_75t_R)\r\n net13095 (net)\r\n 89.59 28.01 2569.46 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09446_/E (OR5x1_ASAP7_75t_R)\r\n 2 1.60 16.32 39.40 2608.86 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09446_/Y (OR5x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04831_ (net)\r\n 16.32 0.07 2608.93 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09447_/A (INVx1_ASAP7_75t_R)\r\n 1 0.93 8.76 7.57 2616.50 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09447_/Y (INVx1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04832_ (net)\r\n 8.76 0.04 2616.54 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09449_/A2 (AO21x1_ASAP7_75t_R)\r\n 2 2.17 17.96 18.62 2635.16 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09449_/Y (AO21x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04834_ (net)\r\n 17.96 0.02 2635.18 v tile_prci_domain/tile_reset_domain/boom_tile/core/_10540_/B (AND3x4_ASAP7_75t_R)\r\n 4 21.36 40.19 36.96 2672.14 v tile_prci_domain/tile_reset_domain/boom_tile/core/_10540_/Y (AND3x4_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_core_io_lsu_dis_uops_1_valid (net)\r\n 41.18 3.43 2675.57 v wire12206/A (BUFx16f_ASAP7_75t_R)\r\n 4 33.85 10.02 25.33 2700.91 v wire12206/Y (BUFx16f_ASAP7_75t_R)\r\n net12206 (net)\r\n 210.80 66.54 2767.45 v wire12204/A (BUFx16f_ASAP7_75t_R)\r\n 16 39.11 15.40 46.98 2814.43 v wire12204/Y (BUFx16f_ASAP7_75t_R)\r\n net12204 (net)\r\n 238.10 75.03 2889.46 v wire12203/A (BUFx16f_ASAP7_75t_R)\r\n 4 35.27 16.00 49.41 2938.87 v wire12203/Y (BUFx16f_ASAP7_75t_R)\r\n net12203 (net)\r\n 185.82 58.07 2996.94 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139739_/B (AND2x2_ASAP7_75t_R)\r\n 2 4.45 21.53 55.30 3052.24 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139739_/Y (AND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_070654_ (net)\r\n 21.53 0.04 3052.28 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139747_/B (NAND2x2_ASAP7_75t_R)\r\n 12 17.47 90.21 37.42 3089.70 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139747_/Y (NAND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_070660_ (net)\r\n 90.23 0.69 3090.38 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139783_/B (OR2x2_ASAP7_75t_R)\r\n 1 0.70 9.41 31.14 3121.52 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139783_/Y (OR2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_070684_ (net)\r\n 9.41 0.01 3121.53 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139784_/B (OA21x2_ASAP7_75t_R)\r\n 4 12.38 43.15 32.12 3153.64 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139784_/Y (OA21x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139174_ (net)\r\n 43.36 1.73 3155.37 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211877_/A (INVx13_ASAP7_75t_R)\r\n 4 18.19 18.33 11.93 3167.30 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_211877_/Y (INVx13_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_dis_ldq_idx_2[1] (net)\r\n 18.85 1.46 3168.76 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267071_/B (HAxp5_ASAP7_75t_R)\r\n 7 10.33 118.67 58.63 3227.39 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267071_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_002508_ (net)\r\n 118.70 1.03 3228.42 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139785_/A (INVx4_ASAP7_75t_R)\r\n 8 9.10 34.00 22.99 3251.41 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139785_/Y (INVx4_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139172_ (net)\r\n 34.00 0.27 3251.69 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139791_/A (AND2x2_ASAP7_75t_R)\r\n 2 2.25 10.94 28.01 3279.70 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139791_/Y (AND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139160_ (net)\r\n 10.94 0.03 3279.73 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267056_/A (HAxp5_ASAP7_75t_R)\r\n 7 15.03 173.01 73.90 3353.63 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267056_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_005975_ (net)\r\n 2 2.42 53.27 41.61 3395.24 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267056_/SN (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_002509_ (net)\r\n 53.27 0.07 3395.31 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149194_/B (XNOR2x1_ASAP7_75t_R)\r\n 1 0.67 19.69 27.29 3422.60 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149194_/Y (XNOR2x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_079835_ (net)\r\n 19.69 0.02 3422.62 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149198_/B (AND5x1_ASAP7_75t_R)\r\n 1 0.72 7.64 21.93 3444.55 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149198_/Y (AND5x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_ldq_full_2 (net)\r\n 7.64 0.03 3444.58 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09462_/A2 (AO221x2_ASAP7_75t_R)\r\n 1 16.26 52.35 40.86 3485.44 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09462_/Y (AO221x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04847_ (net)\r\n 82.04 20.60 3506.04 v wire7959/A (BUFx16f_ASAP7_75t_R)\r\n 1 22.40 12.02 32.89 3538.93 v wire7959/Y (BUFx16f_ASAP7_75t_R)\r\n net7959 (net)\r\n 106.77 33.52 3572.45 v wire7958/A (BUFx16f_ASAP7_75t_R)\r\n 1 20.25 12.81 35.99 3608.45 v wire7958/Y (BUFx16f_ASAP7_75t_R)\r\n net7958 (net)\r\n 89.17 27.89 3636.33 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09468_/A (OR4x1_ASAP7_75t_R)\r\n 2 1.45 17.12 47.82 3684.16 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09468_/Y (OR4x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04853_ (net)\r\n 17.12 0.03 3684.19 v tile_prci_domain/tile_reset_domain/boom_tile/core/_13953_/A (INVx1_ASAP7_75t_R)\r\n 1 0.71 9.16 8.04 3692.23 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_13953_/Y (INVx1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_02617_ (net)\r\n 9.16 0.01 3692.24 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_13955_/C (AND4x2_ASAP7_75t_R)\r\n 5 22.56 93.80 66.25 3758.49 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_13955_/Y (AND4x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_02619_ (net)\r\n 94.99 5.96 3764.46 ^ wire7335/A (BUFx16f_ASAP7_75t_R)\r\n 3 35.00 12.78 30.03 3794.48 ^ wire7335/Y (BUFx16f_ASAP7_75t_R)\r\n net7335 (net)\r\n 245.12 77.33 3871.81 ^ wire7334/A (BUFx16f_ASAP7_75t_R)\r\n 3 22.51 16.42 39.71 3911.53 ^ wire7334/Y (BUFx16f_ASAP7_75t_R)\r\n net7334 (net)\r\n 108.99 34.03 3945.56 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139772_/B (AND2x2_ASAP7_75t_R)\r\n 2 4.54 22.45 40.07 3985.63 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139772_/Y (AND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_070675_ (net)\r\n 22.45 0.10 3985.72 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139773_/B (NAND2x2_ASAP7_75t_R)\r\n 13 21.69 84.40 30.74 4016.46 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139773_/Y (NAND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_070676_ (net)\r\n 85.61 5.77 4022.23 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_145727_/A2 (OA21x2_ASAP7_75t_R)\r\n 4 16.45 49.58 52.18 4074.41 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_145727_/Y (OA21x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_dis_stq_idx_3[1] (net)\r\n 49.60 0.67 4075.08 v wire5389/A (BUFx16f_ASAP7_75t_R)\r\n 7 35.43 9.99 26.58 4101.66 v wire5389/Y (BUFx16f_ASAP7_75t_R)\r\n net5389 (net)\r\n 108.05 33.02 4134.68 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267114_/A (HAxp5_ASAP7_75t_R)\r\n 3 4.71 79.46 63.93 4198.61 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267114_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_005935_ (net)\r\n 79.46 0.28 4198.89 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267013_/A (INVx1_ASAP7_75t_R)\r\n 2 2.26 27.62 20.70 4219.59 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267013_/Y (INVx1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139215_ (net)\r\n 27.62 0.04 4219.63 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267116_/A (HAxp5_ASAP7_75t_R)\r\n 1 0.94 43.60 19.75 4239.38 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267116_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_015235_ (net)\r\n 43.60 0.04 4239.42 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267018_/A (INVx1_ASAP7_75t_R)\r\n 2 2.37 21.82 17.07 4256.49 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267018_/Y (INVx1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139217_ (net)\r\n 21.82 0.06 4256.55 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267118_/A (HAxp5_ASAP7_75t_R)\r\n 2 11.84 142.84 63.27 4319.82 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267118_/CON (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_002480_ (net)\r\n 2 3.00 63.92 43.20 4363.02 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_267118_/SN (HAxp5_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_005987_ (net)\r\n 63.92 0.10 4363.12 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149228_/B (XNOR2x1_ASAP7_75t_R)\r\n 1 1.11 21.87 30.44 4393.56 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149228_/Y (XNOR2x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_079864_ (net)\r\n 21.87 0.02 4393.58 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149232_/B (AND5x2_ASAP7_75t_R)\r\n 1 8.14 29.95 32.58 4426.16 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_149232_/Y (AND5x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_stq_full_3 (net)\r\n 34.11 5.77 4431.93 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09474_/B2 (AO221x2_ASAP7_75t_R)\r\n 1 15.31 50.38 46.30 4478.23 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09474_/Y (AO221x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04859_ (net)\r\n 75.35 18.35 4496.58 v wire4338/A (BUFx16f_ASAP7_75t_R)\r\n 1 22.38 11.78 31.79 4528.37 v wire4338/Y (BUFx16f_ASAP7_75t_R)\r\n net4338 (net)\r\n 106.59 33.47 4561.84 v wire4337/A (BUFx16f_ASAP7_75t_R)\r\n 1 20.40 12.84 35.99 4597.84 v wire4337/Y (BUFx16f_ASAP7_75t_R)\r\n net4337 (net)\r\n 90.47 28.30 4626.14 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09475_/D (OR4x1_ASAP7_75t_R)\r\n 1 0.74 12.43 41.68 4667.82 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09475_/Y (OR4x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04860_ (net)\r\n 12.43 0.02 4667.83 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09476_/B1 (AO22x2_ASAP7_75t_R)\r\n 2 3.86 15.32 24.87 4692.71 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09476_/Y (AO22x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04861_ (net)\r\n 15.33 0.22 4692.93 v tile_prci_domain/tile_reset_domain/boom_tile/core/_09477_/A (INVx1_ASAP7_75t_R)\r\n 1 1.11 10.86 9.08 4702.01 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09477_/Y (INVx1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04862_ (net)\r\n 10.86 0.02 4702.03 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09480_/B (AND3x4_ASAP7_75t_R)\r\n 10 32.22 68.54 37.23 4739.25 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/_09480_/Y (AND3x4_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/_04864_ (net)\r\n 68.60 1.32 4740.57 ^ max_length4336/A (BUFx16f_ASAP7_75t_R)\r\n 21 37.73 24.12 28.10 4768.67 ^ max_length4336/Y (BUFx16f_ASAP7_75t_R)\r\n net4336 (net)\r\n 50.49 14.02 4782.69 ^ load_slew4322/A (BUFx16f_ASAP7_75t_R)\r\n 41 41.38 27.43 28.15 4810.84 ^ load_slew4322/Y (BUFx16f_ASAP7_75t_R)\r\n net4322 (net)\r\n 35.58 7.57 4818.41 ^ load_slew4321/A (BUFx16f_ASAP7_75t_R)\r\n 42 47.37 16.40 22.77 4841.18 ^ load_slew4321/Y (BUFx16f_ASAP7_75t_R)\r\n net4321 (net)\r\n 71.63 20.70 4861.88 ^ max_length4320/A (BUFx16f_ASAP7_75t_R)\r\n 32 43.32 29.07 31.02 4892.90 ^ max_length4320/Y (BUFx16f_ASAP7_75t_R)\r\n net4320 (net)\r\n 45.35 11.63 4904.53 ^ wire4307/A (BUFx16f_ASAP7_75t_R)\r\n 10 35.62 9.26 22.95 4927.48 ^ wire4307/Y (BUFx16f_ASAP7_75t_R)\r\n net4307 (net)\r\n 185.61 58.51 4985.99 ^ wire4306/A (BUFx16f_ASAP7_75t_R)\r\n 13 37.02 14.62 36.37 5022.35 ^ wire4306/Y (BUFx16f_ASAP7_75t_R)\r\n net4306 (net)\r\n 240.85 76.00 5098.35 ^ wire4305/A (BUFx12f_ASAP7_75t_R)\r\n 1 21.71 16.01 34.49 5132.84 ^ wire4305/Y (BUFx12f_ASAP7_75t_R)\r\n net4305 (net)\r\n 103.05 32.08 5164.92 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_05792_/B (NAND2x2_ASAP7_75t_R)\r\n 2 22.87 92.49 45.97 5210.89 v tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_05792_/Y (NAND2x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_02289_ (net)\r\n 127.10 29.51 5240.40 v wire4274/A (BUFx16f_ASAP7_75t_R)\r\n 14 39.51 12.65 37.91 5278.30 v wire4274/Y (BUFx16f_ASAP7_75t_R)\r\n net4274 (net)\r\n 213.52 67.35 5345.66 v wire4273/A (BUFx16f_ASAP7_75t_R)\r\n 9 40.31 15.07 46.78 5392.44 v wire4273/Y (BUFx16f_ASAP7_75t_R)\r\n net4273 (net)\r\n 175.25 54.57 5447.00 v max_length4272/A (BUFx16f_ASAP7_75t_R)\r\n 6 25.72 14.50 43.75 5490.76 v max_length4272/Y (BUFx16f_ASAP7_75t_R)\r\n net4272 (net)\r\n 115.34 36.11 5526.87 v max_length4271/A (BUFx16f_ASAP7_75t_R)\r\n 9 27.91 12.34 36.18 5563.04 v max_length4271/Y (BUFx16f_ASAP7_75t_R)\r\n net4271 (net)\r\n 77.52 23.84 5586.89 v max_length4270/A (BUFx12f_ASAP7_75t_R)\r\n 6 22.06 10.30 27.19 5614.07 v max_length4270/Y (BUFx12f_ASAP7_75t_R)\r\n net4270 (net)\r\n 78.22 24.34 5638.42 v wire4269/A (BUFx16f_ASAP7_75t_R)\r\n 12 30.44 18.06 32.48 5670.90 v wire4269/Y (BUFx16f_ASAP7_75t_R)\r\n net4269 (net)\r\n 59.36 18.16 5689.06 v max_length4268/A (BUFx16f_ASAP7_75t_R)\r\n 12 30.28 12.73 28.90 5717.96 v max_length4268/Y (BUFx16f_ASAP7_75t_R)\r\n net4268 (net)\r\n 37.17 9.83 5727.80 v wire4267/A (BUFx16f_ASAP7_75t_R)\r\n 28 38.89 9.17 23.82 5751.61 v wire4267/Y (BUFx16f_ASAP7_75t_R)\r\n net4267 (net)\r\n 134.32 42.05 5793.66 v load_slew4266/A (BUFx16f_ASAP7_75t_R)\r\n 36 39.73 25.42 40.44 5834.10 v load_slew4266/Y (BUFx16f_ASAP7_75t_R)\r\n net4266 (net)\r\n 61.22 17.61 5851.71 v load_slew4265/A (BUFx16f_ASAP7_75t_R)\r\n 39 36.98 24.14 31.03 5882.74 v load_slew4265/Y (BUFx16f_ASAP7_75t_R)\r\n net4265 (net)\r\n 38.04 9.70 5892.44 v tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_06217_/B (NAND2x1_ASAP7_75t_R)\r\n 1 0.54 14.54 14.16 5906.60 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_06217_/Y (NAND2x1_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_02587_ (net)\r\n 14.54 0.01 5906.61 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_06218_/B (OA211x2_ASAP7_75t_R)\r\n 1 0.72 8.38 20.53 5927.14 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_06218_/Y (OA211x2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_00003_ (net)\r\n 8.38 0.01 5927.15 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_10523_/D (DFFHQNx2_ASAP7_75t_R)\r\n 5927.15 data arrival time\r\n\r\n 0.00 8500.00 8500.00 clock auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (rise edge)\r\n 0.00 8500.00 clock network delay (ideal)\r\n -10.00 8490.00 clock uncertainty\r\n 0.00 8490.00 clock reconvergence pessimism\r\n 8490.00 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/_10523_/CLK (DFFHQNx2_ASAP7_75t_R)\r\n -12.67 8477.33 library setup time\r\n 8477.33 data required time\r\n-----------------------------------------------------------------------------\r\n 8477.33 data required time\r\n -5927.15 data arrival time\r\n-----------------------------------------------------------------------------\r\n 2550.18 slack (MET)\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "The final slack and path delay look correct but we are reporting clock delay despite being in ideal clock mode. "}]} +{"num": 4487, "messages": [{"user": "### Describe the bug\n\nSelect \"Closest match\" and run the command:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/3467e785-9d21-4580-a9c7-d1983fe3e034)\r\n\r\nCrash:\r\n\r\n```\r\n/usr/include/c++/13/bits/stl_vector.h:1125: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = std::unique_ptr; _Alloc = std::allocator >; reference = std::unique_ptr&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x000055AE7EC2FE73 in openroad\r\n 1# 0x00007FEC31C42910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007FEC320E219F in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# gui::TimingWidget::writePathReportCommand(QModelIndex const&, gui::TimingWidget::CommandType const&) in openroad\r\n 7# 0x000055AE7F47D01A in openroad\r\n 8# 0x00007FEC32F06312 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 9# QAction::triggered(bool) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n10# QAction::activate(QAction::ActionEvent) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n11# 0x00007FEC33CF0D22 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n12# 0x00007FEC33CF8BDB in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n13# QWidget::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n14# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n15# QApplication::notify(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n16# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n17# QApplicationPrivate::sendMouseEvent(QWidget*, QMouseEvent*, QWidget*, QWidget*, QWidget**, QPointer&, bool, bool) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n18# 0x00007FEC33BC886A in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n19# 0x00007FEC33BCB12F in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n20# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n21# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n22# QGuiApplicationPrivate::processMouseEvent(QWindowSystemInterfacePrivate::MouseEvent*) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n23# QWindowSystemInterface::sendWindowSystemEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Gui.so.5\r\n24# 0x00007FEC2E6F6F7E in /lib/x86_64-linux-gnu/libQt5XcbQpa.so.5\r\n25# 0x00007FEC31713B2C in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n26# 0x00007FEC3176F46F in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n27# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n28# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n29# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n30# QCoreApplication::exec() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n31# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n32# ord::tclAppInit(Tcl_Interp*) in openroad\r\n33# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n34# main in openroad\r\n35# 0x00007FEC31C280D0 in /lib/x86_64-linux-gnu/libc.so.6\r\n36# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n37# _start in openroad\r\n./run-me-DigitalTop-asap7-base.sh: line 7: 3438451 Aborted (core dumped) openroad -gui -no_init ${SCRIPTS_DIR}/gui.tcl\r\n```\r\n\n\n### Expected Behavior\n\nNo crash\n\n### Environment\n\n```shell\nOpenROAD v2.0-11638-g1a366db1e\n```\n\n\n### To Reproduce\n\n1. untar https://drive.google.com/file/d/1qUp6BY_e_7MCgUG6zWe9CcxAZFDDChlc/view?usp=sharing\r\n2. `./run-me-DigitalTop-asap7-base.sh`\r\n3. Update timing\r\n4. Select \"Closest match\" for line in snapshot above, run it\r\n5. crash\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "The path that generates the crash has only clock points. Would it make sense for us to be able to write a report command for this case?\r\n\r\nThe implementation was originally thought so that the start point will always be the first non-clock point in the path."}, {"assistant": "What is the path? Can you give the text version?"}, {"assistant": "We should be able to show any path that sta can report"}, {"assistant": "Printing the all nodes' names in the path I get:\r\n```\r\nauto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock\r\nprci_ctrl_domain/_1019_/A\r\nprci_ctrl_domain/_1019_/Y\r\nsubsystem_cbus/_3171_/A\r\nsubsystem_cbus/_3171_/Y\r\noutput131/A\r\noutput131/Y\r\nauto_subsystem_cbus_fixedClockNode_out_clock\r\n```"}, {"assistant": "sta reports:\r\n```\r\n>>> report_checks -through auto_subsystem_cbus_fixedClockNode_out_clock\r\nStartpoint: auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock\r\n (input port clocked by clock_vir)\r\nEndpoint: auto_subsystem_cbus_fixedClockNode_out_clock\r\n (output port clocked by clock_vir)\r\nPath Group: clock_vir\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock clock_vir (rise edge)\r\n3300.00 3300.00 clock network delay (ideal)\r\n1700.00 5000.00 ^ input external delay\r\n 0.00 5000.00 ^ auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (in)\r\n53583.79 58583.79 ^ prci_ctrl_domain/_1019_/Y (BUFx2_ASAP7_75t_R)\r\n5026.34 63610.13 ^ subsystem_cbus/_3171_/Y (BUFx2_ASAP7_75t_R)\r\n 110.73 63720.86 ^ output131/Y (BUFx2_ASAP7_75t_R)\r\n 0.00 63720.86 ^ auto_subsystem_cbus_fixedClockNode_out_clock (out)\r\n 63720.86 data arrival time\r\n\r\n8500.00 8500.00 clock clock_vir (rise edge)\r\n3300.00 11800.00 clock network delay (ideal)\r\n -10.00 11790.00 clock uncertainty\r\n 0.00 11790.00 clock reconvergence pessimism\r\n-1700.00 10090.00 output external delay\r\n 10090.00 data required time\r\n---------------------------------------------------------\r\n 10090.00 data required time\r\n -63720.86 data arrival time\r\n---------------------------------------------------------\r\n -53630.86 slack (VIOLATED)\r\n```\r\nSo both start & end are clocks. I think the simplest thing is to say that if the end point is a clock then allow the start point to be one too."}]} +{"num": 4489, "messages": [{"user": "### Describe the bug\r\n\r\nSelect \"Closest match\" and \"Start End\" and match them up with the GUI.\r\n\r\n\"Start End\" works, \"Closest match\" is a very different path than the one I selected.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d7d9e3f4-05fa-49af-8717-cd4ee615e72c)\r\n\r\n\r\n### Expected Behavior\r\n\r\nSame path displayed in \"Closest match\"\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11637-gbd1d4e1c2\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n1. untar https://drive.google.com/file/d/1n3qD9X8mX-3cAC7KjyqXa71INdnMRXhB/view?usp=sharing\r\n2. ` ./run-me-DigitalTop-asap7-base.sh`\r\n3. Follow instructions above\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nOpenROAD v2.0-11637-gbd1d4e1c2 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-0357] virtual clock clock_vir can not be propagated.\r\nEstimating parasitics\r\nStartpoint: tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_\r\n (rising edge-triggered flip-flop clocked by auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock)\r\nEndpoint: tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/maptable/_75733_\r\n (rising edge-triggered flip-flop clocked by auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock)\r\nPath Group: auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (rise edge)\r\n1714.53 1714.53 clock network delay (propagated)\r\n 0.00 1714.53 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/CLK (DFFHQNx2_ASAP7_75t_R)\r\n 71.82 1786.35 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/QN (DFFHQNx2_ASAP7_75t_R)\r\n 36.45 1822.80 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_200476_/Y (INVx13_ASAP7_75t_R)\r\n[deleted]\r\n\r\nStartpoint: tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_\r\n (rising edge-triggered flip-flop clocked by auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock)\r\nEndpoint: tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/maptable/_75733_\r\n (rising edge-triggered flip-flop clocked by auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock)\r\nPath Group: auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock\r\nPath Type: max\r\n\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (rise edge)\r\n 0.00 0.00 clock source latency\r\n 4 15.93 0.00 0.00 0.00 ^ auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (in)\r\n auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (net)\r\n 36.53 11.52 11.52 ^ prci_ctrl_domain/_1019_/A (BUFx2_ASAP7_75t_R)\r\n 16 18.90 63.93 42.03 53.56 ^ prci_ctrl_domain/_1019_/Y (BUFx2_ASAP7_75t_R)\r\n net129 (net)\r\n 63.94 0.25 53.81 ^ load_slew2334/A (BUFx16f_ASAP7_75t_R)\r\n 25 28.47 20.76 28.99 82.80 ^ load_slew2334/Y (BUFx16f_ASAP7_75t_R)\r\n net65571 (net)\r\n[deleted]\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n\"Start end\" matches:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/740f1d2a-a60e-4446-ae4e-87082558eb10)\r\n\r\n\"Closest match\" does not match:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/04db5310-8c55-41e6-a692-ce0eee8cf78c)\r\n\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@oharboe I just tested the following:\r\n\r\n```\r\nreport_checks -fall_from tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/QN\r\n -fall_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_200476_/A \r\n -rise_through tile_prci_domain/tile_reset_domain/boom_tile/lsu/_200476_/Y\r\n ...\r\n -rise_to tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/maptable/_75733_/D\r\n -path_delay max -fields {capacitance slew input_pins nets fanout} -format full_clock_expanded\r\n```\r\n\r\nWhich is the result of CLOSEST_MATCH and I got the corresponding path:\r\n\r\n```\r\nFanout Cap Slew Delay Time Description\r\n-----------------------------------------------------------------------------\r\n 0.00 0.00 clock auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (rise edge)\r\n 0.00 0.00 clock source latency\r\n\r\n [...clock nodes...]\r\n\r\n 4 21.19 78.29 71.82 1786.35 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/QN (DFFHQNx2_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/lsu/_139124_ (net)\r\n 95.18 18.72 1805.07 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_200476_/A (INVx13_ASAP7_75t_R)\r\n 2 17.20 29.39 17.73 1822.80 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_200476_/Y (INVx13_ASAP7_75t_R)\r\n tile_prci_domain/tile_reset_domain/boom_tile/_lsu_io_core_dis_stq_idx_0[1] (net)\r\n 35.41 5.99 1828.80 ^ max_length46681/A (BUFx12_ASAP7_75t_R)\r\n 3 17.50 11.48 25.13 1853.93 ^ max_length46681/Y (BUFx12_ASAP7_75t_R)\r\n net46681 (net)\r\n\r\n[...many more nodes...]\r\n\r\n 49.80 13.62 8905.44 ^ load_slew527/A (BUFx16f_ASAP7_75t_R)\r\n 47 39.83 23.90 25.18 8930.61 ^ load_slew527/Y (BUFx16f_ASAP7_75t_R)\r\n net64243 (net)\r\n 48.11 12.77 8943.38 ^ tile_prci_domain/tile_reset_domain/boom_tile/core/rename_stage/maptable/_75733_/CLK (DFFHQNx2_ASAP7_75t_R)\r\n -10.00 8933.38 clock uncertainty\r\n 0.00 8933.38 clock reconvergence pessimism\r\n -6.46 8926.92 library setup time\r\n 8926.92 data required time\r\n-----------------------------------------------------------------------------\r\n 8926.92 data required time\r\n -8189.77 data arrival time\r\n-----------------------------------------------------------------------------\r\n 737.15 slack (MET)\r\n```"}, {"assistant": "@oharboe It seems that Closest Match is not a very different path from the one you selected.\r\n\r\nIt looks like Closest Match and From Start to End are reporting the same path, with the difference that Closest Match is expanding the clock. If you follow the nodes in the Closest Match reporting result:\r\n```\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock auto_prci_ctrl_domain_reset_setter_clock_in_member_allClocks_uncore_clock (rise edge)\r\n1714.53 1714.53 clock network delay (propagated)\r\n 0.00 1714.53 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/CLK (DFFHQNx2_ASAP7_75t_R)\r\n 71.82 1786.35 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/QN (DFFHQNx2_ASAP7_75t_R)\r\n 36.45 1822.80 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_200476_/Y (INVx13_ASAP7_75t_R)\r\n [...]\r\n ```\r\n You'll eventually get to the nodes:\r\n```\r\n[...]\r\n 33.76 6.13 1714.53 ^ tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/CLK (DFFHQNx2_ASAP7_75t_R)\r\n 4 21.19 78.29 71.82 1786.35 v tile_prci_domain/tile_reset_domain/boom_tile/lsu/_278411_/QN (DFFHQNx2_ASAP7_75t_R)\r\n[...]\r\n```\r\n\r\nWhere the expanded clock ends and the rest should be the same.\r\n"}, {"user": "So close?"}]} +{"num": 4509, "messages": [{"user": "### Describe the bug\n\n```\r\nmake DESIGN_CONFIG=designs/asap7/mock-array/config.mk synth open_synth\r\n```\r\n\r\nworks: hit ctrl-d, you return to the shell and if you type some more, the text is visible.\r\n\r\ndoes not work: hit ctrl-c, when you return to the shell and you type, the text you type is not visible\r\n\r\n\r\n\n\n### Expected Behavior\n\nTyping text after hitting ctrl-c should show text in shell\n\n### Environment\n\n```shell\nOpenROAD v2.0-11723-gde78d0e07\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Hmm... not reproducible this way. Close for now."}]} +{"num": 4511, "messages": [{"user": "### Describe the bug\n\n```\r\n$ make DESIGN_CONFIG=designs/asap7/mock-array/config.mk floorplan\r\n[deleted]\r\n$ make DESIGN_CONFIG=designs/asap7/mock-array/config.mk open_floorplan\r\n[deleted]\r\nOpenROAD v2.0-11723-gde78d0e07 \r\n[deleted]\r\nopenroad> write_macro_placement test.tcl\r\nopenroad> \r\n$ cat test.tcl\r\n$ ls -l test.tcl\r\n$ wc -l test.tcl \r\n0 test.tcl\r\n```\r\n\r\n\n\n### Expected Behavior\n\nWrite out macro placement regardless of how the macros were placed: manually, classic mpl and mpl2.\n\n### Environment\n\n```shell\nOpenROAD v2.0-11723-gde78d0e07\n```\n\n\n### To Reproduce\n\nSee above.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@AcKoucher you could write this in terms of odb rather than mpl2 internals. That way it will work regardless."}, {"assistant": "@maliberty Should we have one function for the write_macro_placement flag of mpl2 flow and another one for the command? (the latter would write the macro placement for all macros, independently of the method used for placement)."}, {"assistant": "I think we can replace the flag with the command and have it cover all cases. The flag can issue a deprecation warning to use the command instead. The command itself can live in odb as it no longer depends on the placer."}]} +{"num": 4512, "messages": [{"user": "Global routing failed after ca. 7000 seconds, but upon closer inspection the problem appears to be that macros are mirrored during mpl2, placing pins exactly oposite of optimal.\r\n\r\n`make macro_place_issue` reproducible case for mpl2: \r\n\r\n1. untar https://drive.google.com/file/d/1ArnHkISZ-F0_qr0GlJM2dVr_XMszREYT/view?usp=sharing\r\n2. Run command below\r\n\r\n```\r\n$ NUM_CORES=16 ./run-me-ChipTop-asap7-base.sh \r\nOpenROAD v2.0-11723-gde78d0e07 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ORD-0030] Using 16 thread(s).\r\nHierRTLMP Flow enabled...\r\nrtl_macro_placer -halo_width 20 -report_directory bazel-bin/objects/asap7/ChipTop/base/rtlmp -write_macro_placement placement.tcl\r\nFloorplan Outline: (0.0, 0.0) (1000.0, 1000.0), Core Outline: (2.052, 2.16) (997.974, 997.92)\r\nTraversed logical hierarchy\r\n\tNumber of std cell instances: 1040149\r\n\tArea of std cell instances: 130485.91\r\n\tNumber of macros: 12\r\n\tArea of macros: 128316.23\r\n\tArea of macros with halos: 233264.55\r\n\tArea of std cell instances + Area of macros: 258802.16\r\n\tCore area: 991699.31\r\n\tDesign Utilization: 0.26\r\n\tCore Utilization: 0.15\r\n\tManufacturing Grid: 1\r\n\r\nopenroad> write_macro_placement xxx.tcl\r\nopenroad> \r\n$ cat placement.tcl \r\nplace_macro -macro_name system/bank.ram.mem_ext -location {22.052 960.048} -orientation MY\r\nplace_macro -macro_name system/bootROMDomainWrapper.bootrom -location {71.136 964.135} -orientation MX\r\nplace_macro -macro_name system/subsystem_l2_wrapper/l2 -location {118.482 946.27} -orientation R180\r\nplace_macro -macro_name system/tile_prci_domain/tile_reset_domain_boom_tile/core/FpPipeline -location {181.2 53.04} -orientation MY\r\nplace_macro -macro_name system/tile_prci_domain/tile_reset_domain_boom_tile/core/iregfile/regfile_ext -location {22.052 29.856} -orientation MY\r\nplace_macro -macro_name system/tile_prci_domain/tile_reset_domain_boom_tile/dcache -location {22.08 625.708} -orientation MX\r\nplace_macro -macro_name system/tile_prci_domain/tile_reset_domain_boom_tile/frontend/bpd -location {893.748 566.05} -orientation R180\r\nplace_macro -macro_name system/tile_prci_domain/tile_reset_domain_boom_tile/frontend/ftq/meta_ext -location {733.595 46.512} -orientation MX\r\nplace_macro -macro_name system/tile_prci_domain/tile_reset_domain_boom_tile/frontend/icache -location {719.172 782.836} -orientation R180\r\nplace_macro -macro_name system/tile_prci_domain/tile_reset_domain_boom_tile/ptw/l2_tlb_ram_0_ext -location {907.99 22.153} -orientation MX\r\nplace_macro -macro_name system/uartClockDomainWrapper/uart_0/rxq.ram_ext -location {956.968 936.277} -orientation MX\r\nplace_macro -macro_name system/uartClockDomainWrapper/uart_0/txq.ram_ext -location {878.578 936.277} -orientation MX\r\n$ cat xxx.tcl \r\n$\r\n```\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/520a354a-1e5e-42a3-b3c4-090050a08c42)\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/a4a48871-f7c9-4445-aff2-4621b73f551a)\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/199c6c47-e6ba-4471-8f98-1befb9677a22)\r\n\r\nIntentionally, BranchPredictor has all the pins on the left side. This is to break the rotational and mirroring symmetry such that mpl2 can try different directions to find one that is better.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/7272e784-c63e-4209-b0ac-3dd59f43b22d)\r\n\r\nThis is odd... Why is the macro rotated R180? That puts the pins on the right hand side... I would have thought, looking at the floorplan, that having the pins on the left side was better, given the placement...\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/c54e3016-9444-4b17-805f-9a82a1d003d7)\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/4504#discussioncomment-8066586_"}, {"user": "If I swap the pins from one side to the other of the two macros manually [by editing the placement.tcl](https://github.com/The-OpenROAD-Project/megaboom/commit/2117e74130ba034ce7523b05841cea1ffb5e2ea0) above, congestion is gone from the macros.\r\n\r\nThe remaining congestion is unrelated and still there.\r\n\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/59a3ef9f-74ca-4d27-840f-125c6ee1f306)\r\n\r\n"}, {"assistant": "@oharboe I believe you said all the pins are on one side. That isn't true as clock is on the opposite side to the other pins (it is core facing in this placement)\r\n\r\n@AcKoucher I think the clock pin is overweighted in the flipping decision. The clock net has 121,095 iterms connected to it as we haven't done CTS yet. calculateRealMacroWirelength will generate a huge value for that one net. I think it would be better to use HPWL of the net rather than summing all the pin-pair distances. That would de-emphasize such a large net. "}, {"assistant": "Clock:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/4104292a-7422-42cf-940c-5a3a91103e4f)\r\n"}, {"assistant": "@AcKoucher I tried replacing that method with\r\n```\r\nfloat HierRTLMP::calculateRealMacroWirelength(odb::dbInst* macro)\r\n{\r\n float wirelength = 0.0;\r\n\r\n for (odb::dbITerm* iterm : macro->getITerms()) {\r\n if (iterm->getSigType() != odb::dbSigType::SIGNAL) {\r\n continue;\r\n }\r\n\r\n odb::dbNet* net = iterm->getNet();\r\n if (net != nullptr) {\r\n const odb::Rect bbox = net->getTermBBox();\r\n wirelength += bbox.dx() + bbox.dy();\r\n }\r\n }\r\n\r\n return wirelength;\r\n}\r\n```\r\nand running this block. I now see R0 instead of R180. Please take over from here."}]} +{"num": 4513, "messages": [{"user": "To reproduce:\r\n\r\nOpenROAD v2.0-11723-gde78d0e07\r\n\r\n1. untar https://drive.google.com/file/d/13eenpP2JgzXJD0uP3KIpX4QLArBVjzT2/view?usp=sharing\r\n2. Run `./run-me-ChipTop-asap7-base.sh`\r\n3. Inspect result\r\n\r\nNOTE! The result from the run command is included in the archive. The `./run-me-ChipTop-asap7-base.sh` command takes ca. 25000 seconds on my machine.\r\n\r\n\r\nYellow are hold cells, very reasonable... Clock tree looks odd. Those leaf nodes on the right side are flip flops. It would be nice if there was some clear visual indication of macros vs. flip flop endpoints in the CTS view....\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/5837af84-df29-4b34-adbe-c8f9b1a48e14)\r\n\r\nZooming in on one of these leaves, they are flip flops, I see nothing special:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/dac86768-9d1b-47a6-8df3-60be3cdcd725)\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/9adca02a-d259-43cd-a9f3-1f533d139302)\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/21d2fa14-bb7e-402f-8dfc-55684feb95c4)\r\n\r\n\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/4504_"}, {"assistant": "Can you clarify what the request is? Is this about enhancing Clock Tree Viewer to show FF leaves differently from macro cell leaves?"}, {"user": "@precisionmoon I was curious as to why the clock tree looked normal, except for those leaves to the right.\r\n\r\nHowever, now that I have significantly modified and I understand better the MegaBoom code, I no longer see this effect, so close this for now and I'll reopen or file a new issue if I see something similar again.\r\n\r\nThe MegaBoom code used to create this clock tree had some deficiencies w.r.t. clocks because there was a PLL in it that should not be there, so this is a strange effect is for a pooly understood Verilog..."}]} +{"num": 4533, "messages": [{"user": "### Description\n\n1. untar https://drive.google.com/file/d/1OhTilBdF_m8QiEw4ujTOXB-seuToESH_/view?usp=sharing\r\n2. `./run-me-ChipTop-asap7-flat.sh`, which runs `report_metrics 5 \"global route`\r\n\r\nTakes a long time, longer than global routing.\n\n### Suggested Solution\n\nSpeed up the above\n\n### Additional Context\n\nThis is from MegaBoom."}, {"assistant": "@gadfort I'm guessing this will be related to the psm work you are planning to do."}, {"assistant": "@maliberty I don't think so. Looking at the report_metrics in ORFS there is no call to psm. Only calls to STA. Might be helpful if @oharboe is able to narrow down the offending calls inside that function. "}, {"assistant": "I was thinking reporting meant the final report step that includes psm. Narrowing would be helpful."}, {"user": "> I was thinking reporting meant the final report step that includes psm. Narrowing would be helpful.\r\n\r\nYes: all the time is spent in OpenSTA, so changing how OpenSTA is used or changing OpenSTA itself that could move the needle.\r\n\r\nThe test case is quick to run: fire it up in the debugger suspend/resume and you'll see after a few seconds and minutes where time is spent.\r\n\r\nSome of this may be fixable by modifying `Metrics.tcl`; a single pass over the data to collect all information instead of many passes to collect pieces of information:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/fcd96313df26a42bfd967a10b42c7baaa4033a07/src/Metrics.tcl#L128"}, {"assistant": "I expect most of the time will be in recomputing the timing & power not metric collection."}]} +{"num": 4540, "messages": [{"user": "### Description\n\nStarting the GUI takes a long time because there is a lot to load. It would be nice to be able to use the GUI while it is busy processing, queuing up more commands.\r\n\r\nUse case:\r\n\r\n1. Start GUI\r\n2. Load DRC report\r\n3. Update timing\r\n4. Update clock tree\r\n5. Type \"report_clock_skew\" in shell.\r\n\r\nNow wait for all the results without having sit and stare until the GUI is ready to accept the next button to be pressed.\n\n### Suggested Solution\n\nProcess in background, leave GUI responsive to write more commands on shell and/or click buttons to line up more work.\n\n### Additional Context\n\n_No response_"}, {"assistant": "In general it isn't safe for the GUI to be loading from odb while something else is modifying it. If we allow arbitrary actions it will lead to weird random problems. We would have to define a limited subset that would be read-only operations. Its hard to make it a general purpose solution."}, {"assistant": "See https://github.com/The-OpenROAD-Project/OpenROAD/issues/1957"}, {"assistant": "I'm inclined to think this is a duplicate. Please close if you agree or describe how it differs."}, {"user": "Duplicate of #1957 "}]} +{"num": 4548, "messages": [{"user": "### Describe the bug\r\n\r\nThis is from the MegaBoom design. Very slightly changed initial conditions and global place is now crashing.\r\n\r\n1. untar https://drive.google.com/file/d/1ZHu7RxqYa_1FMVhqgps9Uxr3LPzZJA0l/view?usp=sharing\r\n2. `./run-me-ChipTop-asap7-flat.sh`\r\n\r\n\r\n```\r\nOpenROAD v2.0-11865-g6471c0995 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nglobal_placement -density 0.25 -pad_left 2 -pad_right 2 -routability_driven -timing_driven\r\n[INFO GPL-0002] DBU: 1000\r\n[INFO GPL-0003] SiteSize: 54 270\r\n[INFO GPL-0004] CoreAreaLxLy: 2052 2160\r\n[INFO GPL-0005] CoreAreaUxUy: 1497960 1497960\r\n\r\n[deleted]\r\n[NesterovSolve] Iter: 400 overflow: 0.400787 HPWL: 24931330112\r\n[NesterovSolve] Iter: 410 overflow: 0.353168 HPWL: 24745674434\r\n[NesterovSolve] Iter: 420 overflow: 0.305717 HPWL: 24289745133\r\n[INFO GPL-0100] worst slack -3.76e-07\r\n[INFO GPL-0103] Weighted 174499 nets.\r\n[NesterovSolve] Iter: 430 overflow: 0.275646 HPWL: 23622015587\r\n[NesterovSolve] Iter: 440 overflow: 0.244673 HPWL: 22883279513\r\n[NesterovSolve] Iter: 450 overflow: 0.218247 HPWL: 22215706593\r\n[NesterovSolve] Iter: 460 overflow: 0.198184 HPWL: 21474367018\r\n[INFO GPL-0100] worst slack -3.76e-07\r\n[INFO GPL-0103] Weighted 174498 nets.\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n./run-me-ChipTop-asap7-flat.sh: line 7: 1596838 Segmentation fault (core dumped) openroad -no_init ${SCRIPTS_DIR}/global_place.tcl\r\n```\r\n\r\n### Expected Behavior\r\n\r\nNo crash\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11865-g6471c0995\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\nWill try to whittle down...\r\n\r\n```\r\nopenroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --start 16 --persistence 3 --use_stdout --error_string \"Segmentation fault\" --base_db_path bazel-bin/results/asap7/ChipTop/flat/3_2_place_iop.odb --step ./run-me-ChipTop-asap7-flat.sh\r\n```\r\n"}, {"assistant": "@eder-matheus It might be in routability, its hard to tell from the log. Please take a look"}, {"user": "@eder-matheus I have a deltaDebug.py running, it will hopefully reduce the reproduction time from hours to minutes... Stay tuned...\r\n\r\n```\r\n$ openroad -python ~/OpenROAD-flow-scripts/tools/OpenROAD/etc/deltaDebug.py --persistence 3 --use_stdout --error_string \"Segmentation fault\" --base_db_path bazel-bin/results/asap7/ChipTop/flat/3_2_place_iop.odb --step ./run-me-ChipTop-asap7-flat.sh\r\nOpenROAD v2.0-11865-g6471c0995\r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING ORD-0039] .openroad ignored with -python\r\nBacking up original base file.\r\nPerforming a step with the original input file to calculate timeout.\r\nError Code found: Segmentation fault\r\nStep 1, Insts level debugging, Insts 1907862, Nets 1750112, cut elements 953931, timeout 108 minutes\r\n[C#]\r\n...\r\n```\r\n"}, {"user": "I have it running in the debugger now as well as trying to whittle down the test case. Whittling down the test case has prove very, very tough(90 minutes for one iteration and it has been running for days...).\r\n\r\nIt hasn't crashed in the debugger yet, it is running for a long time, but I thought I would attach a screenshot with a stacktrace.\r\n\r\n```\r\n[NesterovSolve] Iter: 430 overflow: 0.275646 HPWL: 23622015587\r\n[NesterovSolve] Iter: 440 overflow: 0.244673 HPWL: 22883279513\r\n[NesterovSolve] Iter: 450 overflow: 0.218247 HPWL: 22215706593\r\n[NesterovSolve] Iter: 460 overflow: 0.198184 HPWL: 21474367018\r\n[INFO GPL-0100] worst slack -3.76e-07\r\n[INFO GPL-0103] Weighted 174498 nets.\r\n[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\r\n[no further output before the Segfault]\r\n```\r\n\r\nSuspending while it is running here, gives me this stack trace.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/eb251096-f174-4631-a035-6272c36cf6bd)\r\n"}, {"user": "@eder-matheus 9 hours later in debugger...\r\n\r\nWhat can I check here?\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/0b855256-4177-42e6-a8a2-6717cef6eb51)\r\n"}, {"assistant": "Something is off here. From what I see, the function mazeRouteMSMD is called, but it should not run inside routability-driven global placement, as we don't want to run the overflow iterations there. I will check the code and try running the test case you provided to see if my changes can fix it."}, {"user": "Wondering around the code... Is this right? Can't this overflow, in theory?\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/blob/c1fc5f730a59874a1b9735be82d267da8417c6e7/src/grt/src/fastroute/src/utility.cpp#L67"}, {"user": "@eder-matheus Thoery: the large clock tree in MegaBoom has enough pins that some static limit is overflowing. This would make it impossible to whittle down the example.\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/4c91d04d-c6fd-4bce-a6a9-90f5e4935d4d)\r\n"}, {"assistant": "@oharboe This makes sense. I'll try to get rid of these static limits. I thought I'd removed all of them in the past, but we have a few ones hanging in the code."}, {"user": "> @oharboe This makes sense. I'll try to get rid of these static limits. I thought I'd removed all of them in the past, but we have a few ones hanging in the code.\r\n\r\nVery good. I'm glad this issue is unstuck now at least ...\r\n\r\nThe whittling down is not going to work.\r\n\r\n#4571 is a \"success\", lots of failures in fast tests..."}, {"assistant": "This is a good start! Thanks for the help debugging it. I will start the work to remove the static limits today and hopefully will have the fix completed soon."}, {"assistant": "@oharboe With this PR https://github.com/The-OpenROAD-Project/OpenROAD/pull/4591, I could complete the placement stage in the test case you provided. I search the code for other large arrays and turn them all into vectors, so GRT should be safe now regarding out-of-stack issues."}, {"user": "@eder-matheus Please go ahead and merge. If there are further fixes needed, we can do those in later PRs."}]} +{"num": 4550, "messages": [{"user": "### Description\n\nIt would be nice to have a way to know whether or not we're running with an exclusive feature such as Charts, which is not supported in CentOS 7.\r\n\n\n### Suggested Solution\n\n```\r\nOpenROAD v2.0-11454-g34687e2a9\r\nFeatures included (+) or not (-):\r\n-charts -eqy +GPU +GUI +Python ...\r\n```\n\n### Additional Context\n\n_No response_"}, {"user": "Based on @rovinski 's suggestion."}, {"assistant": "FYI, eqy is an external dependency of ORFS not compiled into OR"}, {"assistant": "I can take this. We can parse the CMakeCache.txt file assuming it is compiled locally.\r\n\r\n```\r\nBUILD_PYTHON:BOOL=ON\r\nBUILD_GUI:BOOL=ON\r\nGPU:BOOL=OFF\r\n```\r\n\r\nBut for `Charts` the target seem to be private and unparseable from the file. Any ideas?"}, {"assistant": "I don't think parsing CMakeCache.txt is the right approach. For example charts is defined in ENABLE_CHARTS and you can just write conditional code on that define (`#ifdef ENABLE_CHARTS`). Likewise with the other options."}, {"assistant": "Couldn't this be done like the git describe thing and add a string to the version header file?"}, {"assistant": "How will that string be generated?"}, {"assistant": "@maliberty you could do something like yosys: https://github.com/YosysHQ/yosys/blob/91fbd58980e87ad5dc0a5d37c049ffaf5ab243dd/Makefile#L770\r\nHave cmake build the string and write that into a file like it does with the version.h header."}, {"assistant": "The variables are already defined in CMake as 1 or 0, right? Why not simply something like\r\n```\r\nlogger->report(\"Features included (+) or not (-):\\n\"\r\n \"{}Charts {}GUI {}GPU {}Python\",\r\n ENABLE_CHARTS ? '+' : '-',\r\n BUILD_GPU ? '+' : '-',\r\n BUILD_GUI ? '+' : '-',\r\n BUILD_PYTHON ? '+' : '-');\r\n```"}, {"assistant": "I would prefer if we don't rely on cmake generated files. It's not easy to replicate with bazel"}, {"assistant": "What do you suggest?"}, {"assistant": "Just the defines and a map of bool based on the defines?"}, {"assistant": "@QuantamHD does #4730 work for you? It seems to rely on defines in the c++ code."}]} +{"num": 4570, "messages": [{"user": "### Description\n\nThe fix for the error below is to run `rm -rf tools/yosys/abc/`, which is not at all obvious.\r\n\r\n\r\n```\r\n$ ./build_openroad.sh --local --latest --openroad-args -DCMAKE_BUILD_TYPE=DEBUG\r\n[INFO FLW-0027] Saving logs to build_openroad.log\r\n[INFO FLW-0028] ./build_openroad.sh --local --latest --openroad-args -DCMAKE_BUILD_TYPE=DEBUG\r\n[INFO FLW-0002] Updating git submodules.\r\nSubmodule path 'tools/OpenROAD': checked out '04d4677f63d150d30c4d346f20b2f861a77607e1'\r\nSubmodule path 'tools/OpenROAD/third-party/abc': checked out '95b3543e928640dfa25f9e882e72a090a8883a9c'\r\n[INFO FLW-0004] Updating OpenROAD app to the HEAD of origin/master.\r\nPrevious HEAD position was 04d4677f6 Merge pull request #4520 from eder-matheus/grt_restore_overlap_fix\r\nHEAD is now at c1fc5f730 Merge pull request #4568 from eder-matheus/grt_ant_fix\r\nFrom https://github.com/The-OpenROAD-Project/OpenROAD\r\n * branch master -> FETCH_HEAD\r\nAlready up to date.\r\n[INFO FLW-0001] Using local build method. This will create binaries at 'tools/install' unless overwritten.\r\n[INFO FLW-0017] Compiling Yosys.\r\nmake: Entering directory '/home/oyvind/OpenROAD-flow-scripts/tools/yosys'\r\n[ 0%] Building abc/abc-896e5e7\r\n[ 10%] Building share/include/kernel/cost.h\r\nERROR: ABC contains local modifications! Set ABCREV=default in Yosys Makefile!\r\nmake: *** [Makefile:802: abc/abc-896e5e7] Error 1\r\nmake: *** Waiting for unfinished jobs....\r\nmake: Leaving directory '/home/oyvind/OpenROAD-flow-scripts/tools/yosys'\r\n```\r\n\n\n### Suggested Solution\n\nAdd automation to handle this circumstance in `build_openroad.sh`\n\n### Additional Context\n\n_No response_"}, {"assistant": "How did you get local modifications to abc? Its not clear what the 'handling' here is. There is no way to know what the user's intention is (locally modified abc, or some mistake requiring rm)."}, {"user": "> How did you get local modifications to abc? Its not clear what the 'handling' here is. There is no way to know what the user's intention is (locally modified abc, or some mistake requiring rm).\r\n\r\nI don't know. I have have been building with `./build_openroad --local --latest` and this morning it didn't work.\r\n\r\nWas yosys recently updated?\r\n\r\nIf so, then my local build folders might be out of date after the upgrade and you should expect others to run into the same issue."}, {"assistant": "The yosys submodule was not updated in ORFS so I don't know how it would have happened. We did update the yosys repo but that should have no effect. Let's see if others report the same."}, {"user": "Minimally I wanted to get the workaround written down..."}, {"assistant": "@oharboe could you try using `--clean`?\r\nI remember we had a similar issue a while back, and the solution was adding this to the `--clean`:\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/0ea230930b57a6fb517521d8c34cb3591815b596/build_openroad.sh#L362-L366\r\n"}, {"user": "@vvbandeira I recall now.... Thanks!"}]} +{"num": 4577, "messages": [{"user": "### Description\n\nI have run the setup.sh and dependency installer but when I try to run build I get the following error. Any Idea how I can solve this issue ? \r\n\"Screenshot\r\n\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Hi, for ORFS local installation may I check if you followed these instructions? \r\nhttps://openroad-flow-scripts.readthedocs.io/en/latest/user/BuildLocally.html\r\n\r\n```\r\ngit clone --recursive https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts\r\ncd OpenROAD-flow-scripts\r\nsudo ./setup.sh\r\n./build_openroad.sh --local\r\n```"}, {"assistant": "@Revenantx86 You probably have a corrupted /usr/local folder that you need to clean out.\r\n\r\nWhen you follow https://openroad-flow-scripts.readthedocs.io/en/latest/user/BuildLocally.html, the files are not installed in /usr/local, but in `OpenROAD-flow-scripts/dependencies`:\r\n\r\n```\r\n$ find . -regex .*spdlog/logger\\.h\r\n./dependencies/include/spdlog/logger.h\r\n```"}, {"user": "Thank you very much I have fixed the problem by installing the dependencies properly."}]} +{"num": 4582, "messages": [{"user": "### Describe the bug\n\nThere are some PDKs that still use the older range still spacing rules, eg:\r\n\r\n```\r\nLAYER layerName\r\nTYPE ROUTING ;\r\n SPACING minSpacing\r\n RANGE minWidth maxWidth\r\n```\r\nWe don't need to support the sub-options but this basic syntax is needed. Currently this triggers:\r\n\r\n```\r\n if (rule->hasRange()) {\r\n logger_->warn(DRT, 140, \"SpacingRange unsupported.\");\r\n```\r\n\r\nand leads to incorrect results.\n\n### Expected Behavior\n\nSupport this rule.\n\n### Environment\n\n```shell\nHead of OR\n```\n\n\n### To Reproduce\n\nPrivate PDK so a manual test will have to be generated.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "`gf180` produces similar warning message.\r\n```\r\ndetailed_route -output_drc ./reports/gf180/spm/base/5_route_drc.rpt -output_maze ./results/gf180/spm/base/maze.log -bottom_routing_layer Metal2 -top_routing_layer Metal5 -disable_via_gen -save_guide_updates -verbose 1 -drc_report_iter_step 5\r\n[INFO DRT-0149] Reading tech and libs.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n[WARNING DRT-0140] SpacingRange unsupported.\r\n```"}, {"user": "What commit id did you use when you got the gf180 result?"}, {"assistant": "With latest OR commit, warning not exist..."}]} +{"num": 4583, "messages": [{"user": "### Describe the bug\r\n\r\nMacOS M1 homebrew:\r\n I've successfully been building openroad including mpl2/par with the patch + workaround described in https://github.com/The-OpenROAD-Project/OpenROAD/pull/3643\r\n\r\nI made the mistake to run brew update and reran the OpenRoad/etc/DependencyInstaller.sh after running into issues. In order to get back to the final link command, I did the following:\r\n\r\na) downgrade protobuf from the default @25 to @21\r\n```\r\nbrew uninstall protobuf\r\nbrew install protobuf@21\r\n```\r\nb) comment out one line referencing SWIG_snprintf in src/gui/tclSwig.h\r\n\r\nprobably not the right way, but it's in an error path and get's the compile going again\r\n```\r\n1627 // SWIG_snprintf(temp, sizeof(temp), \"%d\", argno+1);\r\n```\r\n\r\nc) DependencyInstaller installs or-tools 9.5.2237\r\n\r\nI previously gave 9.8.xxxx a try, but that didn't fix the dylib issue, so 9.5.2237 should be fine, worked before.\r\n\r\nBut now I'm getting this absl link error and dont' know how to proceed, any hint welcome.\r\n\r\n```ld: Undefined symbols:\r\n absl::lts_20230802::container_internal::kEmptyGroup, referenced from:\r\n gpl::MBFF::RunILP(std::__1::vector> const&, std::__1::vector> const&, std::__1::vector, std::__1::allocator>>&, double, int) in gpl.a[17](mbff.cpp.o)\r\n gpl::MBFF::RunILP(std::__1::vector> const&, std::__1::vector> const&, std::__1::vector, std::__1::allocator>>&, double, int) in gpl.a[17](mbff.cpp.o)\r\nclang: error: linker command failed with exit code 1 (use -v to see invocation)\r\n```\r\n\r\n### Expected Behavior\r\n\r\nsuccessful linking openroad\r\n\r\n### Environment\r\n\r\n```shell\r\nGit commit: d1f4cec2596dbfab3dc68f7a6564ba555cb999cd\r\nkernel: Darwin 23.3.0\r\nos: macOS 14.3\r\ncmake version 3.28.1\r\nCMake Deprecation Warning at third-party/abc/CMakeLists.txt:1 (cmake_minimum_required):\r\n Compatibility with CMake < 3.5 will be removed from a future version of\r\n CMake.\r\n\r\n Update the VERSION argument value or use a ... suffix to tell\r\n CMake that the project does not need compatibility with older versions.\r\n\r\n\r\nCMake Warning at src/CMakeLists.txt:245 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\n-- The CXX compiler identification is AppleClang 15.0.0.15000100\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /Library/Developer/CommandLineTools/usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11992-gd1f4cec25\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 15.0.0.15000100\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is AppleClang 15.0.0.15000100\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /Library/Developer/CommandLineTools/usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /opt/homebrew/Frameworks/Python.framework/Versions/3.12/bin/python3.12 (found version \"3.12.1\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- Found SWIG: /opt/homebrew/bin/swig (found suitable version \"4.2.0\", minimum required is \"3.0\") \r\n-- Using SWIG >= 4.2.0 -flatstaticmethod flag for python\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") \r\n-- boost: 1.83.0\r\n-- Found Python3: /opt/homebrew/opt/python@3.12/Frameworks/Python.framework/Versions/3.12/include/python3.12 (found version \"3.12.1\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /opt/homebrew/opt/or-tools/lib/libz.a (found version \"1.2.11\") \r\n-- spdlog: 1.9.2\r\n-- Found BISON: /opt/homebrew/opt/bison/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 42b994d429aef6d553baae6aac8c23477b6e0654\r\n-- System name: Darwin\r\n-- Compiler: AppleClang 15.0.0.15000100\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /opt/homebrew/opt/flex/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- SSTA: 0\r\n-- STA executable: /Users/stefanthiede/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/homebrew/opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/homebrew/opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/homebrew/opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found SCIP: /opt/homebrew/opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- Found OpenMP_CXX: -Xclang -fopenmp (found version \"5.0\") \r\n-- Found OpenMP: TRUE (found version \"5.0\") \r\n-- GPU is not enabled\r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- Found Eigen3: /opt/homebrew/opt/or-tools/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is enabled\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found suitable version \"1.83.0\", minimum required is \"1.78\") \r\n-- TCL library: /opt/homebrew/opt/tcl-tk/lib/libtcl8.6.dylib\r\n-- TCL header: TCL_HEADER-NOTFOUND\r\n-- Found Boost: /opt/homebrew/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization system thread \r\n-- TCL readline disabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done (6.0s)\r\n-- Generating done (0.7s)\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\ncd OpenRoad/tools/build\r\nmake \r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "I believe absl is used from or_tools so perhaps it is the usual problem there on mac?"}, {"user": "yes, absl is part of the ortools.\r\n\r\nBut this is an issue I've not seen before, I've always been able to link openroad.\r\n\r\nThe \"avoid the runtime error\" workaround is to put the ortools..dylib at the end of the link command, but I don't get that far as of today."}, {"assistant": "gpl::MBFF::RunILP also calls into or-tools now. Perhaps your workaround needs a tweak. Though that change is not super recent. When did you last update successfully?"}, {"user": "I compiled yesterday\u2019s master before a \u201cbrew upgrade\u201d broke something and I ran the DependencyInstaller again."}, {"assistant": "Perhaps your version of absl is out of sync with what or-tools requires? Or perhaps you two versions of absl installed?\r\n\r\nHave you tried a clean build?"}, {"user": "I\u2019ve tried both formerly working or-tools version and also completely wiped out OpenRoad, cloned it again and ran the DependencyInstaller.sh. What worked yesterday doesn\u2019t work today. I\u2019ve also updated macOS, that might have changed the linker \u2026 "}, {"user": "nope, ld -v 'BUILD 13:21:42 Nov 10 2023' shows that it didn't change lately.\r\n\r\nI'm at a loss here. Any other arm64 MacOS OpenRoad users out there ?"}, {"assistant": "@eder-matheus are you on arm64?"}, {"assistant": "> @eder-matheus are you on arm64?\r\n\r\nNo, I have an intel cpu."}, {"user": "on macOS Sonoma the etc/DependencyInstaller.sh or-tools 9.5.2237 causes this issue.\r\n\r\nEither install or-tools 9.8 stand alone or patch the etc/DependencyInstaller.sh to be able to link the openroad executable.\r\n```\r\n208,209c208,209\r\n< orToolsVersionBig=9.8\r\n< orToolsVersionSmall=${orToolsVersionBig}.3296\r\n---\r\n> orToolsVersionBig=9.5\r\n> orToolsVersionSmall=${orToolsVersionBig}.2237\r\n712c712\r\n< _installOrTools \"macOS\" \"14.1\" $(uname -m)\r\n---\r\n> _installOrTools \"macOS\" \"13.0.1\" $(uname -m)\r\n```\r\nWith the dylib workaround I get an executable without runtime error. \r\n\r\nI used to do \"make install\", but that fails today with \r\n```\r\nInstall the project...\r\n-- Install configuration: \"RELEASE\"\r\n-- Installing: /usr/local/bin/sta\r\nCMake Error at src/sta/cmake_install.cmake:41 (file):\r\n file INSTALL cannot copy file\r\n \"/Users/user/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\"\r\n to \"/usr/local/bin/sta\": Permission denied.\r\nCall Stack (most recent call first):\r\n src/cmake_install.cmake:57 (include)\r\n cmake_install.cmake:47 (include)\r\n```"}, {"assistant": "@vvbandeira please look at updating or-tools as above"}]} +{"num": 4593, "messages": [{"user": "### Description\n\nI have a `set_max_delay -from $fifo_out_pins -to [match_pins .* output 0] 2000` statement in my .sdc file.\r\n\r\nIt would be nice if somewhere that number was listed below so that I can get confirmation that I'm doing the right thing.\r\n\r\n```\r\n$\u00a0report_checks -from fifo_out.fifomem.raddr\\[0\\]\\$_DFF_PN0_/QN -to rdata[5]\r\nStartpoint: fifo_out.fifomem.raddr[0]$_DFF_PN0_\r\n (rising edge-triggered flip-flop clocked by clk_uncore)\r\nEndpoint: rdata[5] (output port clocked by clk_uncore)\r\nPath Group: clk_uncore\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock clk_uncore (rise edge)\r\n 83.07 83.07 clock network delay (propagated)\r\n 0.00 83.07 ^ fifo_out.fifomem.raddr[0]$_DFF_PN0_/CLK (DFFASRHQNx1_ASAP7_75t_R)\r\n 48.37 131.44 ^ fifo_out.fifomem.raddr[0]$_DFF_PN0_/QN (DFFASRHQNx1_ASAP7_75t_R)\r\n 18.82 150.26 ^ rebuffer38/Y (BUFx3_ASAP7_75t_R)\r\n 16.08 166.34 ^ max_cap59/Y (BUFx16f_ASAP7_75t_R)\r\n 16.79 183.14 ^ max_cap58/Y (BUFx16f_ASAP7_75t_R)\r\n 10.18 193.31 v _40892_/Y (NAND2x1_ASAP7_75t_R)\r\n 25.52 218.84 v _40893_/Y (OA211x2_ASAP7_75t_R)\r\n 25.28 244.11 v _40894_/Y (OR3x1_ASAP7_75t_R)\r\n 14.83 258.95 v _40895_/Y (OA21x2_ASAP7_75t_R)\r\n 13.10 272.05 v output49/Y (BUFx2_ASAP7_75t_R)\r\n 0.01 272.06 v rdata[5] (out)\r\n 272.06 data arrival time\r\n\r\n1000.00 1000.00 clock clk_uncore (rise edge)\r\n 0.00 1000.00 clock network delay (propagated)\r\n -10.00 990.00 clock uncertainty\r\n 0.00 990.00 clock reconvergence pessimism\r\n-800.00 190.00 output external delay\r\n 190.00 data required time\r\n---------------------------------------------------------\r\n 190.00 data required time\r\n -272.06 data arrival time\r\n---------------------------------------------------------\r\n -82.06 slack (VIOLATED)\r\n```\r\n\n\n### Suggested Solution\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Or perhaps this is a more general problem: I want to know what I asked OpenSTA to do.... There is a big leap from constraints.sdc to 2_floorplan.sdc and 2_floorplan.sdc doesn't contain information about what OpenSTA concluded it should do based on the input constraints.sdc file.\r\n\r\nPerhaps write_sdc could add more comments?"}]} +{"num": 4594, "messages": [{"user": "### Describe the bug\n\n1. untar https://drive.google.com/file/d/19nK2MBVsMEgIc7n-mrFOWnPK6t5RBTnx/view?usp=sharing\r\n2. Run `./gui.sh`\r\n3. Enter `report_checks -from fifo_in.sync_w2r.wptr\\[0\\]\\$_DFF_PN0_/QN -to fifo_in.sync_w2r.rq1_wptr\\[0\\]\\$_DFF_PN0_/D`\r\n\r\nOutput:\r\n\r\n```\r\n>>> report_checks -from fifo_in.sync_w2r.wptr\\[0\\]\\$_DFF_PN0_/QN -to fifo_in.sync_w2r.rq1_wptr\\[0\\]\\$_DFF_PN0_/D\r\n[WARNING STA-0009] No common period was found between clocks clk and clk_uncore.\r\nStartpoint: fifo_in.sync_w2r.wptr[0]$_DFF_PN0_\r\n (rising edge-triggered flip-flop clocked by clk_uncore)\r\nEndpoint: fifo_in.sync_w2r.rq1_wptr[0]$_DFF_PN0_\r\n (rising edge-triggered flip-flop clocked by clk)\r\nPath Group: clk\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n332000.00 332000.00 clock clk_uncore (rise edge)\r\n 40.33 332040.31 clock network delay (propagated)\r\n 0.00 332040.31 ^ fifo_in.sync_w2r.wptr[0]$_DFF_PN0_/CLK (DFFASRHQNx1_ASAP7_75t_R)\r\n 38.00 332078.31 v fifo_in.sync_w2r.wptr[0]$_DFF_PN0_/QN (DFFASRHQNx1_ASAP7_75t_R)\r\n 7.33 332085.66 ^ _22035_/Y (INVx1_ASAP7_75t_R)\r\n 0.00 332085.66 ^ fifo_in.sync_w2r.rq1_wptr[0]$_DFF_PN0_/D (DFFASRHQNx1_ASAP7_75t_R)\r\n 332085.66 data arrival time\r\n\r\n332000.97 332000.97 clock clk (rise edge)\r\n 159.71 332160.69 clock network delay (propagated)\r\n -10.00 332150.69 clock uncertainty\r\n 0.00 332150.69 clock reconvergence pessimism\r\n 332150.72 ^ fifo_in.sync_w2r.rq1_wptr[0]$_DFF_PN0_/CLK (DFFASRHQNx1_ASAP7_75t_R)\r\n -11.71 332138.97 library setup time\r\n 332138.97 data required time\r\n---------------------------------------------------------\r\n 332138.97 data required time\r\n -332085.66 data arrival time\r\n---------------------------------------------------------\r\n 53.32 slack (MET)\r\n\r\n```\n\n### Expected Behavior\n\nLook at the very large delay for the clock, 332000. Where does this number come from? I would have expected something that was on the order of a clock period.\n\n### Environment\n\n```shell\nOpenROAD v2.0-11860-g04d4677f6\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Try adding -format full_clock_expanded to see more details. Have you run CTS?"}, {"user": "> Try adding -format full_clock_expanded to see more details. Have you run CTS?\r\n\r\nYes.\r\n\r\nJust a thought. The clocks are 333 and 1000ps, but they are not asynchronous, so 333*1000 is on the order of this surprisingly large number. Is this sognificant?"}, {"assistant": "> Is this sognificant?\r\n\r\nnot obvious to me"}, {"user": "I'm closing this for now, I forgot to include the place.odb file, so I can't re-run CTS on the example."}]} +{"num": 4595, "messages": [{"user": "### Describe the bug\r\n\r\n1. untar https://drive.google.com/file/d/19nK2MBVsMEgIc7n-mrFOWnPK6t5RBTnx/view?usp=sharing\r\n2. Run `./gui.sh`\r\n3. Click around in the GUI and update clock tree viewer many times. After 2-3 minutes of trying, I can usually get it to crash.\r\n\r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000555EF000B8A3 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FDD59442910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbNet::getITerms() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 3# gui::DbNetDescriptor::highlight(std::any, gui::Painter&) const in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 7# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 8# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 9# gui::ClockTreeRenderer::drawObjects(gui::Painter&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n10# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n11# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n12# gui::RenderThread::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n13# 0x00007FDD5A4DAEB1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n14# 0x00007FDD59497ADA in /lib/x86_64-linux-gnu/libc.so.6\r\n15# 0x00007FDD5952847C in /lib/x86_64-linux-gnu/libc.so.6\r\nmake: *** [Makefile:1075: gui_4_cts.odb] Segmentation fault (core dumped)\r\n```\r\n\r\nAnother\r\n\r\n```\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x00005589F6DA58A3 in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 1# 0x00007FB60D442910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# std::_Rb_tree_increment(std::_Rb_tree_node_base const*) in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 3# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 4# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 5# gui::RenderThread::run() in /home/oyvind/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad\r\n 6# 0x00007FB60E4DAEB1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n 7# 0x00007FB60D497ADA in /lib/x86_64-linux-gnu/libc.so.6\r\n 8# 0x00007FB60D52847C in /lib/x86_64-linux-gnu/libc.so.6\r\nmake: *** [Makefile:1075: gui_4_cts.odb] Segmentation fault (core dumped)\r\n```\r\n\r\n\r\nAnother:\r\n\r\n```\r\n[WARNING STA-0009] No common period was found between clocks clk and clk_uncore.\r\n[WARNING STA-0009] No common period was found between clocks clk and clk_uncore.\r\n[WARNING STA-0009] No common period was found between clocks clk and clk_uncore.\r\n[WARNING STA-0009] No common period was found between clocks clk and clk_uncore.\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x000055787DFDE8A3 in openroad\r\n 1# 0x00007F2FF3E42910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# odb::dbNet::getSigType() in openroad\r\n 3# gui::DbNetDescriptor::highlight(std::any, gui::Painter&) const in openroad\r\n 4# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in openroad\r\n 5# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in openroad\r\n 6# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in openroad\r\n 7# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in openroad\r\n 8# gui::ClockTreeRenderer::drawTree(gui::Painter&, gui::Descriptor const*, gui::ColorGenerator&, gui::ClockTree*, int) in openroad\r\n 9# gui::ClockTreeRenderer::drawObjects(gui::Painter&) in openroad\r\n10# gui::RenderThread::drawBlock(QPainter*, odb::dbBlock*, odb::Rect const&, int) in openroad\r\n11# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in openroad\r\n12# gui::RenderThread::run() in openroad\r\n13# 0x00007F2FF4EDAEB1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n14# 0x00007F2FF3E97ADA in /lib/x86_64-linux-gnu/libc.so.6\r\n15# 0x00007F2FF3F2847C in /lib/x86_64-linux-gnu/libc.so.6\r\n./gui.sh: line 7: 3315653 Segmentation fault (core dumped) ODB_FILE=results/asap7/mock-cpu/base/4_cts.odb openroad -gui -no_init ${SCRIPTS_DIR}/gui.tcl\r\n```\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo crash\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-11860-g04d4677f6\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "are you modifying the design while 'clicking around'?"}, {"user": "> are you modifying the design while 'clicking around'?\r\n\r\nI didn't realize I could \"modify\" the design from the GUI. How do I do that? I'm just viewing things. Jumping between timing report, maybe rescaling, etc. "}, {"assistant": "The inspector allows limited changes (eg trash can icon). The tcl prompt allows anything"}, {"user": "> The inspector allows limited changes (eg trash can icon). The tcl prompt allows anything\r\n\r\nIt crashes without that."}, {"user": "@maliberty This crashes every time(though I don't think this is the only problem). Fix this first?\r\n\r\n1. Select a square, yellow below\r\n2. Click trashcan icon, crash\r\n\r\n```\r\n/usr/include/c++/13/bits/stl_vector.h:1125: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = odb::dbId; _Alloc = std::allocator >; reference = odb::dbId&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000563E8C4EC143 in openroad\r\n 1# 0x00007F5DA5242910 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007F5DA56E219F in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# 0x0000563E8E93AC2B in openroad\r\n 7# gui::DbITermDescriptor::highlight(std::any, gui::Painter&) const in openroad\r\n 8# gui::Selected::highlight(gui::Painter&, gui::Painter::Color const&, int, gui::Painter::Color const&, gui::Painter::Brush const&) const in openroad\r\n 9# gui::RenderThread::drawSelected(gui::Painter&, std::set, std::allocator > const&) in openroad\r\n10# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in openroad\r\n11# gui::RenderThread::run() in openroad\r\n12# 0x00007F5DA62DAEB1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n13# 0x00007F5DA5297ADA in /lib/x86_64-linux-gnu/libc.so.6\r\n14# 0x00007F5DA532847C in /lib/x86_64-linux-gnu/libc.so.6\r\n./gui.sh: line 7: 597387 Aborted (core dumped) ODB_FILE=results/asap7/mock-cpu/base/4_cts.odb openroad -gui -no_init ${SCRIPTS_DIR}/gui.tcl\r\n```\r\n\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/bf50d2d0-8c4b-4178-bb2b-e2283afece69)\r\n"}, {"user": "@maliberty @AcKoucher Still happens:\r\n\r\n```\r\nOpenROAD v2.0-12456-g223f01d34 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13178, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13211, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13244, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13277, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13310, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13343, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13376, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14772, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14805, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/foo/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14838, timing group from output port.\r\nEstimating parasitics\r\n[WARNING GUI-0066] Heat map \"IR Drop\" has not been populated with data.\r\n/usr/include/c++/13/bits/stl_vector.h:1125: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = odb::dbId; _Alloc = std::allocator >; reference = odb::dbId&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x000055820D21B333 in openroad\r\n 1# 0x00007F4452E42990 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007F44532E219F in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# 0x000055820F67633B in openroad\r\n 7# gui::DbITermDescriptor::highlight(std::any, gui::Painter&) const in openroad\r\n 8# gui::Selected::highlight(gui::Painter&, gui::Painter::Color const&, int, gui::Painter::Color const&, gui::Painter::Brush const&) const in openroad\r\n 9# gui::RenderThread::drawSelected(gui::Painter&, std::set, std::allocator > const&) in openroad\r\n10# gui::RenderThread::draw(QImage&, QRect const&, std::set, std::allocator > const&, std::array, std::allocator >, 8ul> const&, std::vector >, std::allocator > > > const&, double, QColor const&) in openroad\r\n11# gui::RenderThread::run() in openroad\r\n12# 0x00007F4453EDAEB1 in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n13# 0x00007F4452E97B5A in /lib/x86_64-linux-gnu/libc.so.6\r\n14# 0x00007F4452F285FC in /lib/x86_64-linux-gnu/libc.so.6\r\n./gui.sh: line 7: 125556 Aborted (core dumped) ODB_FILE=results/asap7/mock-cpu/base/4_cts.odb openroad -gui -no_init ${SCRIPTS_DIR}/gui.tcl\r\n```\r\n"}, {"user": "@AcKoucher @maliberty Repeatable procedure:\r\n\r\n1. Select a rectangle\r\n2. Update clock tree\r\n3. Go to \"Inspector\", click trash can icon.\r\n\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/055c1475-88e5-4487-bf08-b238a01272cc)\r\n\r\n\r\n```\r\n[WARNING GUI-0066] Heat map \"IR Drop\" has not been populated with data.\r\n/usr/include/c++/13/bits/stl_vector.h:1125: std::vector<_Tp, _Alloc>::reference std::vector<_Tp, _Alloc>::operator[](size_type) [with _Tp = odb::dbId; _Alloc = std::allocator >; reference = odb::dbId&; size_type = long unsigned int]: Assertion '__n < this->size()' failed.\r\nSignal 6 received\r\nStack trace:\r\n 0# 0x0000560E7F754333 in openroad\r\n 1# 0x00007F8D02242990 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# pthread_kill in /lib/x86_64-linux-gnu/libc.so.6\r\n 3# raise in /lib/x86_64-linux-gnu/libc.so.6\r\n 4# abort in /lib/x86_64-linux-gnu/libc.so.6\r\n 5# 0x00007F8D026E219F in /lib/x86_64-linux-gnu/libstdc++.so.6\r\n 6# 0x0000560E81BAF33B in openroad\r\n 7# odb::dbITerm::getName[abi:cxx11](char) const in openroad\r\n 8# gui::DbITermDescriptor::getName[abi:cxx11](std::any) const in openroad\r\n 9# gui::SelectionModel::data(QModelIndex const&, int) const in openroad\r\n10# QSortFilterProxyModel::data(QModelIndex const&, int) const in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n11# QStyledItemDelegate::initStyleOption(QStyleOptionViewItem*, QModelIndex const&) const in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n12# QStyledItemDelegate::sizeHint(QStyleOptionViewItem const&, QModelIndex const&) const in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n13# 0x00007F8D0443E316 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n14# QTableView::sizeHintForRow(int) const in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n15# 0x00007F8D043F8F13 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n16# QHeaderView::visualIndexAt(int) const in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n17# QTableView::sizeHintForColumn(int) const in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n18# 0x00007F8D043F8F13 in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n19# QHeaderView::length() const in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n20# QTableView::updateGeometries() in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n21# QAbstractItemView::doItemsLayout() in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n22# QTableView::doItemsLayout() in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n23# QAbstractItemView::timerEvent(QTimerEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n24# QObject::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n25# QFrame::event(QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n26# QApplicationPrivate::notify_helper(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Widgets.so.5\r\n27# QCoreApplication::notifyInternal2(QObject*, QEvent*) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n28# QTimerInfoList::activateTimers() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n29# 0x00007F8D03527A2C in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n30# 0x00007F8D02513B2C in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n31# 0x00007F8D0256F46F in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n32# g_main_context_iteration in /lib/x86_64-linux-gnu/libglib-2.0.so.0\r\n33# QEventDispatcherGlib::processEvents(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n34# QEventLoop::exec(QFlags) in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n35# QCoreApplication::exec() in /lib/x86_64-linux-gnu/libQt5Core.so.5\r\n36# gui::startGui(int&, char**, Tcl_Interp*, std::__cxx11::basic_string, std::allocator > const&, bool) in openroad\r\n37# ord::tclAppInit(Tcl_Interp*) in openroad\r\n38# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n39# main in openroad\r\n40# 0x00007F8D02228150 in /lib/x86_64-linux-gnu/libc.so.6\r\n41# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n42# _start in openroad\r\n./gui.sh: line 7: 125869 Aborted (core dumped) ODB_FILE=results/asap7/mock-cpu/base/4_cts.odb openroad -gui -no_init ${SCRIPTS_DIR}/gui.tcl\r\n```\r\n\r\n"}]} +{"num": 4612, "messages": [{"user": "_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/4598_\r\n\r\nStandalone reproduction case based on [megaboom test branch](https://github.com/The-OpenROAD-Project/megaboom/commit/68e61e4430c75fd69cc81771e6f9763de7b961d5)\r\n\r\n1. untar https://drive.google.com/file/d/1fAHMqFNlZlq6iZY8Rl9GLjWJjz-WsiX6/view?usp=sharing\r\n2. Run `NUM_CORES=16 ./run-me-TilePRCIDomain-asap7-base.sh`\r\n3. Observe long pause in the 0th iteration after 100%:\r\n\r\n```\r\n[ca. 4 hours or so]\r\n Completing 100% with 79991 violations.\r\n elapsed time = 04:47:55, memory = 59083.30 (MB).\r\n[several hours - what is happening here?]\r\n[INFO DRT-0199] Number of violations = 87967.\r\n[continued output]\r\n```\r\n\r\nRunning times for this TilePRCIDomain, just for reference:\r\n\r\n```\r\nLog Elapsed seconds\r\n2_1_floorplan 393\r\n2_2_floorplan_io 12\r\nNo elapsed time found in bazel-bin/logs/asap7/TilePRCIDomain/base/2_3_floorplan_tdms.log\r\n2_4_floorplan_macro 1218\r\n2_5_floorplan_tapcell 582\r\n2_6_floorplan_pdn 451\r\n3_1_place_gp_skip_io 677\r\n3_2_place_iop 19\r\n3_3_place_gp 6877\r\n3_4_place_resized 1363\r\n3_5_place_dp 1511\r\n4_1_cts 5101\r\n5_1_grt 67447\r\n5_2_fillcell 70\r\n5_3_route 226679\r\nTotal 312400\r\n```\r\n\r\n\r\n"}, {"assistant": "I know the connectivity checker runs at that point but I'm not sure if that's the issue"}, {"user": "> I know the connectivity checker runs at that point but I'm not sure if that's the issue\r\n\r\nWould it make sense to add some idempotent progress output that is displayed if this takes much longer than normal?\r\n\r\nThat would allow deltaDebug to be used...."}, {"assistant": "How would you define 'much longer than normal' in an idempotent way?"}, {"user": "> How would you define 'much longer than normal' in an idempotent way?\r\n\r\nGood question. I don't even know what the code is doing at this point and it requires an understanding of what the code is doing and how to quantify progress in an idempotent way that is independent of number of cores running..."}, {"assistant": "As you asking for a progress message or a \"more than normal\" message?"}, {"user": "> As you asking for a progress message or a \"more than normal\" message?\r\n\r\nFor deltaDebug a \"more than normal\" would do as long as it reduces the test case to something practical... Still a tricky requirement."}, {"assistant": "I don't see any way to measure time in an idempotent way - it will vary too much. I also don't know what normal is. We could add a progress message."}, {"user": "> I don't see any way to measure time in an idempotent way - it will vary too much. I also don't know what normal is. We could add a progress message.\r\n\r\nMaybe initially add some debug logging?\r\n\r\nHow else to debug something that takes ca 4 hours to reach?"}, {"assistant": "Sure though that won't be idempotent"}, {"user": "> Sure though that won't be idempotent\r\n\r\nLess critical that it is not noisy, so easier to make ot idempotent?"}, {"assistant": "Debug prints won't tell you anything about \"more than normal' and are not so different from a progress message. Its not a matter of noise but of variable time that prevents idempotency."}, {"assistant": "+1 to preserving hermiticity/idempotency as a top priority. We should just have an option to turn on progress messages/debug output. "}, {"user": "> +1 to preserving hermiticity/idempotency as a top priority. We should just have an option to turn on progress messages/debug output.\r\n\r\nIndeed. \r\n\r\nOther than that, the concern is that something has to drive deltaDebug robustly."}]} +{"num": 4618, "messages": [{"user": "### Describe the bug\n\nopenroad needs 25GB to just read/display the smallest of the ispd24 test cases, ariane133_51.def with 120k std cells.\r\n\r\nThe placement density map looks nice, but 'I think I need a bigger boat' to be able to have a look at the other test cases.\r\n\r\nI just recently ran the ispd19_test6 detailed routing with 178K std cells without issues on my 16GB M1, so why does OR all the sudden need 25GB to display 120k placed cells ?\r\n\r\ncluster-001.def with 9.8M std cells uses 10GB after read def, but then it keeps allocating memory\n\n### Expected Behavior\n\na) use less then 25GB to display 120k placed std cells with placement density map\r\nb) give some feedback on how much memory the tool is allocating\n\n### Environment\n\n```shell\nOpenROAD v2.0-12068-ge92b2439a as of Feb 3rd 2024\r\n\r\nMacOS M1 16GB\n```\n\n\n### To Reproduce\n\nopenroad -gui ispd24.or\r\n```\r\nread_lef ../chips/ispd24/lef/Nangate.lef\r\nread_def ../chips/ispd24/def/ariane133_68.def.gz\r\n#read_def ../chips/ispd24/def/ariane133_51.def.gz\r\n#read_def ../chips/ispd24/def/mempool_tile.def.gz\r\n#read_def ../chips/ispd24/def/nvdla.def.gz\r\n#read_def ../chips/ispd24/def/bsg_chip.def.gz\r\n#read_def ../chips/ispd24/def/mempool_group.def.gz\r\n#read_def ../chips/ispd24/def/cluster-001.def.gz\r\n```\r\nhttps://liangrj2014.github.io/ISPD24_contest/\n\n### Relevant log output\n\n```shell\n[INFO ODB-0222] Reading LEF file: ../chips/ispd24/lef/Nangate.lef\r\n[INFO ODB-0223] Created 22 technology layers\r\n[INFO ODB-0224] Created 27 technology vias\r\n[INFO ODB-0225] Created 148 library cells\r\n[INFO ODB-0226] Finished LEF file: ../chips/ispd24/lef/Nangate.lef\r\n[INFO ODB-0127] Reading DEF file: ../chips/ispd24/def/ariane133_68.def.gz\r\n[INFO ODB-0128] Design: ariane\r\n[INFO ODB-0094] \t\tCreated 100000 Insts\r\n[INFO ODB-0097] \t\tCreated 100000 Nets\r\n[INFO ODB-0130] Created 435 pins.\r\n[INFO ODB-0131] Created 120202 components and 732255 component-terminals.\r\n[INFO ODB-0133] Created 127026 nets and 432355 connections.\r\n[INFO ODB-0134] Finished DEF file: ../chips/ispd24/def/ariane133_68.def.gz\n```\n\n\n### Screenshots\n\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/25827408/819f100f-38a4-4dca-91df-f4e33e58da66)\r\n\n\n### Additional Context\n\n_No response_"}, {"user": "Just to put things into perspective:\r\nAs recent as 1997 one could do P&R of 150k std cells using 4 layers of metal on a $12k 100MHz SparcStation 20.\r\nThe P&R tool at the time started swapping during routing with \"only\" 128MB.\r\nWe had to buy an additional 128MB of memory for $$$$."}, {"user": "Further testing reveals that displaying the placement density requires only 500MB, much more in line with expectations.\r\nSwitching on the \"Estimated Congestion (RUDY) Heat Map\" then makes the memory requirement balloon to 25.5GB\r\n\r\nThe 9.8M cluster-001.def requires 26.6GB to display the placement density map."}, {"assistant": "The rudy code is quite new and could well have an issue. "}, {"assistant": "@gudeh please take a look"}, {"user": "On a previous OR run, I had a look at the Rudy map.\r\nSince the heat map display settings are persistent, after starting a new run and loading lef/def, Rudy was run without me being aware of that.\r\nIt would be helpful if running Rudy would print something to the console and also report runtime and memory usage."}, {"assistant": "Isn't the heatmap itself a visual display?"}, {"assistant": "Also this visualizer is supposed to be fast. I don't think adding additional logging is strictly necessary just because in this case it's misbehaving "}, {"assistant": "@stefanottili Hi, thanks for the detailed description of the problem. Could you please explain how are you measuring the memory usage exactly?"}, {"assistant": "I tested with a few designs (ng45/gcd, ng45/tinyRocket, and 130hd/microwatt), with OR version of both before and after my recent changes to RUDY and I noticed no sudden spikes on memory usage. I will try to load the design you pointed out."}, {"user": "I\u2019m using a 16GB MacOS AirBook M1 (arm64) and its resource monitor, which shows that it starts swapping displaying RUDY on ariane133_51. The other ispd24 testcases run out of memory and OR gets killed.\r\n\r\nOnly displaying the placement map works for all testcases.\r\n\r\nLet me know where to sprinkle in some print\u2019s to see where memory gets allocated/freed."}, {"assistant": "I did some debugging, the issue is the RUDY code is incorrectly calculating the X and Y grids for the GUI.\r\nSo on my machine it's attempting to build a grid of 14288 x 19384, instead of the ~640 x 640 GCell grid."}, {"assistant": "Looking at the GCells: X is: 0 190 3990 7790 11590 15390 19190 22990 26790 30590 34390 38190 41990 (RUDY is assuming the first 2 are the \"normal\" size the GRT heatmap probably does the same). If the GCellGrid was 0 3800 ... it would be fine.\r\n"}, {"assistant": "@stefanottili I could not really load the exact design from LEF and DEF files in the link you provided. I assume it is due to the \"ispd24.or\" in your command, is this supposed to be run with docker?"}, {"assistant": "Is the gcell grid coming from another global router outside OR?"}, {"assistant": "@gudeh it was a little bit of a scavenger hunt but I found the files here:\r\nhttps://drive.google.com/drive/folders/1ocChoQupNxlLBH2hgqkPwR0-7D3ocTwm\r\n@maliberty outside of OR, directly from the DEF call\r\n\r\nFrom DEF:\r\n```\r\nGCELLGRID X 2713390 DO 2 STEP 1330 ;\r\nGCELLGRID X 190 DO 715 STEP 3800 ;\r\nGCELLGRID X 0 DO 2 STEP 190 ;\r\nGCELLGRID Y 2713340 DO 2 STEP 420 ;\r\nGCELLGRID Y 140 DO 970 STEP 2800 ;\r\nGCELLGRID Y 0 DO 2 STEP 140 ;\r\n```"}, {"assistant": "```\r\n[[ord::get_db_block] getGCellGrid] getGridX\r\n[[ord::get_db_block] getGCellGrid] getGridY\r\n```"}, {"assistant": "I recall drt has some code to figure out the gcell size by finding the most common value. I think that was to deal with contest guides as well."}, {"assistant": "@maliberty this works for me: https://github.com/The-OpenROAD-Project/OpenROAD/pull/4621\r\nBut does not address GRT (although it looks like it might create a new set?)"}, {"assistant": "GRTs code: https://github.com/The-OpenROAD-Project/OpenROAD/blob/80fd75d38f5f0c6a71d4c50d69bbcf2cb956db15/src/grt/src/heatMap.cpp#L158"}, {"assistant": "It seems GRT is also consuming too much memory."}, {"user": "RUDY run's very fast and I was able to run global route for the ispd24/def/mempool_group.def with 3M std cell using 40GB of memory."}]} +{"num": 4623, "messages": [{"user": "### Description\r\n\r\nAfter learning more about Chipyard+MegaBoom and how it is built with [commercial tools](https://carrv.github.io/2020/papers/CARRV2020_paper_15_Zhao.pdf).\r\n\r\nHere is the order to things to improve quality of results best as I know:\r\n\r\n1. Build BoomTile with [set_clock_latency](https://github.com/The-OpenROAD-Project/megaboom/blob/95711fcc65ae9fd92f76d53b797e5e0e896fd9f1/constraints-boomtile.sdc#L15) roughly equal to average clock insertion latency. Single clock.\r\n2. Set synchronous reset to [false path](https://github.com/The-OpenROAD-Project/megaboom/blob/95711fcc65ae9fd92f76d53b797e5e0e896fd9f1/constraints-boomtile.sdc#L24), for now.\r\n3. Flatten BoomTile and get macro placement to do [a decent job](https://github.com/The-OpenROAD-Project/OpenROAD/issues/4539) without hierarchical analysis, will certainly require macro placement constraints file. Syntax TBD.\r\n4. Build L2 cache(InclusiveCache) as a macro in the same way as BoomTile, with source latency.\r\n5. [Update](https://github.com/The-OpenROAD-Project/OpenROAD/issues/3759) clock tree synthesis to take macro insertion latency into account\r\n6. Build ChipTop flattened.\r\n7. Yosys / OpenROAD will need register retiming and cloning as the Chipyard MegaBoom design depends on it. Commercial tools get to 1000ps clock period on 28nm. As of writing, `clock period_min = 6579.57`\r\n\r\nOther:\r\n\r\n1. Improve [running times](https://github.com/The-OpenROAD-Project/OpenROAD/issues/4407) of global route. OpenSTA speedups or algorithmic speedups?\r\n2. There are some [DRC](https://github.com/The-OpenROAD-Project/OpenROAD/issues/4541) errors.\r\n3. [Improve running times of SYNTH_HIERARCHICAL](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1758). Switch metrics from area to something else that's good enough to determine which modules should be flattened or not, so as to get a single synthesis pass.\r\n\r\n\r\nThere is an asynchronous FIFO crossing between BoomTile and L2, which both connects to a system bus. With this asynchronous FIFO, the skew of the clock tree insertion latency of BoomTile and L2 won't matter w.r.t. the ChipTop pins. I tried and failed to find a macro that had this asynchronous FIFO inside of it. It turns out that TilePRCIDomain, if configured with an asynchronous FIFO crossing, only has one half of the FIFO; the ChipTop design really has to be flattened the way that RTL is structured.\r\n\r\nSome [screenshots](https://github.com/The-OpenROAD-Project/megaboom/commit/95711fcc65ae9fd92f76d53b797e5e0e896fd9f1) from `bazelisk build BoomTile_route BoomTile_route_orfs` and `bazel-bin/logs/asap7/BoomTile/base/route.sh gui_route`:\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/8799b003-005a-4b62-9a0e-32a57575321d)\r\n\r\n1500um x 1500um\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/56e5e468-9186-4e36-a45b-224e0dba6285)\r\n\r\n\r\n### Suggested Solution\r\n\r\nKeep improving OpenROAD\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"user": "@rovinski @tspyrou @maliberty @louiic @precisionmoon I thought it was useful to write up a feature request that gives some context to the individual improvements in the pipeline."}, {"assistant": "Other than the items that are already open I'm not clear what you are requesting. Retiming is a large task and would be a yosys task so you would have to get their interest in it."}, {"user": "> Other than the items that are already open I'm not clear what you are requesting. Retiming is a large task and would be a yosys task so you would have to get their interest in it.\r\n\r\nThere is no request here, but I thought it would be useful to have a public writeup that ties together why these individual improvements are requested."}]} +{"num": 4625, "messages": [{"user": "### Description\n\n`SKIP_INCREMENTAL_REPAIR=1` is needed today to deal with very long global routing times.\r\n\r\n\n\n### Suggested Solution\n\nMake it faster.\n\n### Additional Context\n\n_No response_"}, {"user": "Duplicate of #4407 "}]} +{"num": 4629, "messages": [{"user": "### Describe the bug\n\n\"openroad ispd24.or\" allocates 10GB to read ispd24 cluster-001.def with 9.8M placed std cells.\r\n\"openroad -gui ispd24.or\" requires 44GB ... 34GB for rTree's ?\n\n### Expected Behavior\n\nopenroad -gui shouldn't need that much memory to display placement\n\n### Environment\n\n```shell\nOpenROAD v2.0-12114-g0bd67a2b0 (Feb 6 2024) M1 macOS Sonoma\n```\n\n\n### To Reproduce\n\nopenroad -gui ispd24.or\r\n```\r\nread_lef lef/Nangate.lef\r\nread_def def/cluster-001.def.gz\r\n```\r\nhttps://drive.google.com/drive/folders/1ocChoQupNxlLBH2hgqkPwR0-7D3ocTwm\r\n\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "There is no def/cluster-001.def.gz in the gdrive link"}, {"assistant": "I suppose you meant def/cluster.def"}, {"user": "yes.\r\n\r\nFor the other test cases, even the 3M std cell mempool_group, the memory increase due to -gui is not as pronounced."}, {"assistant": "Its not the rtrees it the hierarchy browser. @gadfort thoughts? Lazy load? Don't include the leaf non-macro instances?"}, {"assistant": "@maliberty there are data classes in Qt that would support that nicely. I looked at it way back when, but wasn't able to get anything working. \n\nAs a temporary solution, they can turn off the browser and close openroad that will stop it from opening with it again."}, {"assistant": "It's also possible there is a buggy implementation..."}, {"user": "Displaying design hierarchy using Qt tree views work well with klayout."}, {"assistant": "Does it show a tree of 9M objects? We could optimize the interface to the db to avoid copying but I wonder how useful it is to have a huge list of all those instances. Does it serve a helpful purpose or would eliding them be simpler?"}, {"assistant": "![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/761514/4d60a3fb-0f89-4b8b-9356-9b21889a3237)\r\n"}, {"assistant": "or having a few summary lines like\r\n\r\nBuffers XXX\r\nSequential YYY\r\nCombinational ZZZ\r\n\r\nThe categories could be similar to the instance display controls"}, {"user": "lazy loading is the way to go, but scrolling thru 9.8M stdcells is certainly pointless.\r\nThe netlist info would have to be reduced to something useful.\r\n\r\nA) use the hierarchy delimiter into to make this a hierarchy browser\r\n\r\nB) display it grouped by sequential/combinatorial cells and \u201cmerge\u201d sequential names, e.g. 64 ff[0], ff[1], \u2026 ff[63] into a single entry ff[0:63]"}, {"assistant": "We don't try to reverse engineer the hierarchy from DEF names - we assume a hierarchical Verilog input if you want hierarchy. I think a summary makes more sense and then lazy loading is a non-issue.\r\n\r\nAnother workaround\r\n```\r\ndiff --git a/src/gui/src/browserWidget.cpp b/src/gui/src/browserWidget.cpp\r\nindex 807fb7fef..c179bbb56 100644\r\n--- a/src/gui/src/browserWidget.cpp\r\n+++ b/src/gui/src/browserWidget.cpp\r\n@@ -438,7 +438,7 @@ BrowserWidget::ModuleStats BrowserWidget::populateModule(odb::dbModule* module,\r\n for (auto* inst : module->getInsts()) {\r\n insts.push_back(inst);\r\n }\r\n- stats += addInstanceItems(insts, \"Leaf instances\", parent, false);\r\n+ stats += addInstanceItems(insts, \"Leaf instances\", parent, true);\r\n \r\n return stats;\r\n }\r\n```"}, {"user": "This workaround works in that OR doesn't allocate excessive amounts of memory.\r\nNow one can only see the first couple of hundred std cells in the hierarchy browser.\r\n\r\n@gadfort\r\n> As a temporary solution, they can turn off the browser and close openroad that will stop it from opening with it again.\r\nnope, this doesn't work, I didn't have the hierarchy browser visible, but OR still used all the memory."}, {"assistant": "Macros can be expanded to show individual elements as there aren't that many but all others just show a summary."}]} +{"num": 4630, "messages": [{"user": "### Describe the bug\n\nFor ispd24 cluster.def (9.8M std cell) it takes RUDY ~30 seconds to compute the map.\r\n\n\n### Expected Behavior\n\nPlease change the cursor from \"pointer\" to \"busy\" while computing RUDY to give the user feedback that OR is doing something.\n\n### Environment\n\n```shell\nFeb 6 OR M1 macOS Sonoma\n```\n\n\n### To Reproduce\n\nopenroad -gui \r\nread_lef lef/Nangate.lef\r\nread_def def/cluster.def.gz\r\n\r\nshow RUDY map\r\n\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gudeh "}, {"assistant": "References: \r\nhttps://liangrj2014.github.io/ISPD24_contest/\r\n#4618 "}, {"assistant": "I noticed that other heatmap calculations also do not indicate when they are busy, and these heatmaps take some time with larger designs as well. Therefore, I have made modifications to show a busy indicator for any heatmap calculation, not just for RUDY."}]} +{"num": 4634, "messages": [{"user": "Discovered while testing #4607, but happens on latest master.\r\n\r\nTo reproduce:\r\n\r\n1. untar [cts_L1MetadataArray_asap7_test_2024-02-07_08-32.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14190467/cts_L1MetadataArray_asap7_test_2024-02-07_08-32.tar.gz)\r\n2. `./run-me-L1MetadataArray-asap7-test.sh`\r\n\r\nOutput:\r\n\r\n```\r\nOpenROAD v2.0-12118-gaae607e89 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\nclock_tree_synthesis -sink_clustering_enable -balance_levels -distance_between_buffers 60\r\n[INFO CTS-0050] Root buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0051] Sink buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0052] The following clock buffers will be used for CTS:\r\n BUFx24_ASAP7_75t_R\r\n[INFO CTS-0049] Characterization buffer is BUFx24_ASAP7_75t_R.\r\n[INFO CTS-0007] Net \"clock\" found for clock \"clock\".\r\nSignal 11 received\r\nStack trace:\r\n 0# 0x0000562ECFC293F3 in openroad\r\n 1# 0x00007F0A69242990 in /lib/x86_64-linux-gnu/libc.so.6\r\n 2# sta::ConcreteCell::findPort(char const*) const in openroad\r\n 3# cts::TritonCTS::separateMacroRegSinks(odb::dbNet*&, cts::Clock&, std::unordered_set, std::equal_to, std::allocator > const&, std::vector, std::allocator > >&, std::vector, std::allocator > >&) in openroad\r\n 4# cts::TritonCTS::initClockTreeForMacrosAndRegs(odb::dbNet*&, std::unordered_set, std::equal_to, std::allocator > const&, cts::Clock&, cts::TreeBuilder*) in openroad\r\n 5# cts::TritonCTS::initClock(odb::dbNet*, std::__cxx11::basic_string, std::allocator > const&, cts::TreeBuilder*) in openroad\r\n 6# cts::TritonCTS::initOneClockTree(odb::dbNet*, std::__cxx11::basic_string, std::allocator > const&, cts::TreeBuilder*) in openroad\r\n 7# cts::TritonCTS::populateTritonCTS() in openroad\r\n 8# cts::TritonCTS::runTritonCts() in openroad\r\n 9# 0x0000562ED02D1F39 in openroad\r\n10# TclNRRunCallbacks in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n11# 0x00007F0A6DB08C43 in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n12# Tcl_EvalEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n13# Tcl_Eval in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n14# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in openroad\r\n15# ord::tclAppInit(Tcl_Interp*) in openroad\r\n16# Tcl_MainEx in /lib/x86_64-linux-gnu/libtcl8.6.so\r\n17# main in openroad\r\n18# 0x00007F0A69228150 in /lib/x86_64-linux-gnu/libc.so.6\r\n19# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n20# _start in openroad\r\n./run-me-L1MetadataArray-asap7-test.sh: line 7: 910602 Segmentation fault (core dumped) openroad -no_init ${SCRIPTS_DIR}/cts.tcl\r\n```\r\n\r\n"}, {"user": "@precisionmoon FYI, #4607 is not needed to reproduce this problem."}, {"assistant": "@oharboe, thanks much for bringing this to my attention. You are correct. The issue is not related to the new insertion delay enhancement. Some APIs need to be bullet proofed. The fix has been checked into https://github.com/The-OpenROAD-Project/OpenROAD/pull/4607."}, {"assistant": "I'm closing this since PR #4607 has been merged."}]} +{"num": 4644, "messages": [{"user": "### Describe the bug\r\n\r\n1. untar [gui.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14218067/gui.tar.gz)\r\n2. `./run-me-mock-array-asap7-base.sh`\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/dd582b67-5c57-474d-9c5d-8dbac02c146c)\r\n\r\n\r\n### Expected Behavior\r\n\r\nThe skew should take macro insertion latency into account, currently the skew is measured from the clock insertion point into the macro.\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-12142-g1b0a9b9c7\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@tspyrou for Cherry"}, {"assistant": "@oharboe virtual clocks do not have a clock tree and won't have a reported skew."}, {"assistant": "We set all clocks to be propagated here. The virtual ones will receive the warning. We could leave this to users to set but we have had cases of pilot error where users forget.\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/617eb260fd18b5b8260e3089580e3b4549ffdaba/flow/scripts/gui.tcl#L44\r\n"}, {"assistant": "@maliberty and @oharboe this is on the work list for Cherry. The above comments are about the warning above. "}, {"user": "@tspyrou I can, manually, figure/reason out the skew of the WNS path.\r\n\r\nMore sophisticated skew metrics would figure out how much performance is left on the table. As Austin said: zero skew is not ideal skew."}]} +{"num": 4647, "messages": [{"user": "### Describe the bug\n\nDuring the Resizer Timing step of the flow for my Tiny Tapeout design, the inputs of a `sky130_fd_sc_hd__and2b_1` cell were swapped.\r\n\r\nThe function of the cell is !A & B, so swapping the inputs changes behaviour of the design.\n\n### Expected Behavior\n\nThe resizer should not swap pins for cells where the behaviour of the design would be changed by the swap.\n\n### OpenROAD Environment\n\n```shell\nUsing the docker image: efabless/openlane-tools:openroad_app-75f2f325b7a42e56a92404f33af8e96530d9b202-centos-7-amd64\n```\n\n\n### OpenLane Environment\n\n```shell\nopen_pdks cd1748bb197f9b7af62a54507de6624e30363943\r\nKernel: Linux v5.15.133.1-microsoft-standard-WSL2\r\nDistribution: ubuntu 22.04\r\nPython: v3.10.12 (OK)\r\nContainer Engine: docker v20.10.25 (OK)\r\nOpenLane Git Version: 9dbd8b5ea2bd891bed4dcc97df5c7439083f0368\r\npython-venv: INSTALLED\r\n---\r\nPDK Version Verification Status: OK\r\n---\r\nGit Log (Last 3 Commits)\r\n\r\n9dbd8b5 2024-01-11T15:26:56+02:00 Fix `-synth_explore` crash (#2085) - Kareem Farid - (grafted, HEAD)\r\n---\r\nGit Remotes\r\n\r\norigin https://github.com/The-OpenROAD-Project/OpenLane.git (fetch)\r\norigin https://github.com/The-OpenROAD-Project/OpenLane.git (push)\n```\n\n\n### To Reproduce\n\nClone the `openroad-bug` branch of https://github.com/MichaelBell/tt06-tinyQV recursively\r\nFollow https://docs.google.com/document/d/1aUUZ1jthRpg4QURIIyzlOaPWlmQzr-jBn3wZipVUPt4/edit#heading=h.wwc5ldl01nl5 to set up the OpenLane flow for TinyTapeout, using the above project instead of the factory test project.\r\nRun:\r\n\r\n tt/tt_tool.py --create-user-config\r\n tt/tt_tool.py --harden\r\n\r\nInspect `runs/wokwi/results/placement/tt_um_MichaelBell_tinyQV.nl.v` and `runs/wokwi/results/routing/tt_um_MichaelBell_tinyQV.nl.v` and note that the wires for the input for instance `_2354_` have been swapped:\r\n\r\n```\r\n sky130_fd_sc_hd__and2b_1 _2354_ (.A_N(_0535_),\r\n .B(_0536_),\r\n .X(_0537_));\r\n```\r\nbecomes\r\n```\r\n sky130_fd_sc_hd__and2b_1 _2354_ (.A_N(_0536_),\r\n .B(_0535_),\r\n .X(_0537_));\r\n```\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@maliberty @tspyrou Please prioritize this issue! "}, {"assistant": "Thanks for the report & fix. I'll take a look and add a unit test."}]} +{"num": 4650, "messages": [{"user": "### Describe the bug\r\n\r\nA buffer is added immediately after an input buffer for a signal that goes through (not registered) the macro below. It is as if the buffer after input is added without regards to the existing buffer.\r\n\r\nTo reproduce, run `make DESIGN_CONFIG=designs/asap7/mock-array/Element/config.mk` with https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1794\r\n\r\nTo view the problem, run:\r\n\r\n1. untar [extra-buffer.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14228657/extra-buffer.tar.gz)\r\n2. Run `./run-me-mock-array_Element-asap7-base.sh`\r\n3. Examine the timing path for endpoint `io_lsbOuts_6`\r\n4. Observe the back-to-back buffers on the input\r\n\r\nThe buffer is inserted during synthesis. Commenting out `insbuf` removes the middle buffer, but also the input & output buffers.\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/b6f7d318f0eb186069acd9af85898e7dcfa64d3a/flow/scripts/synth.tcl#L78\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d96e50bd-0655-4763-af15-c3ec52fdc54c)\r\n\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nRemove the superflous buffer\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-12143-g4aabf0d3c\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "The buffer is kept from synthesis as it directly connects an input port to an output port (you can tell by the name _776_). Later we buffer the input port and the output port so we get the three buffer chain. I believe this has come up before. The location of the buffer is just whatever global placement happens to pick (from an hpwl perspective the X coordinate has no effect).\r\n\r\nWe could do something like don't buffer the input if it only drives buffer(s) currently. We would still buffer the output resulting in a two buffer net (prior to any repair). Is that acceptable?"}, {"user": "@maliberty That would be great, but it is a separate concern from being able to answer the question of what happens w zero buffers. I think both have value."}, {"assistant": "> zero\r\n\r\nI don't understand what you comment about zero buffers relates to above. Having zero buffers is a bad idea."}, {"user": "> > zero\r\n> \r\n> I don't understand what you comment about zero buffers relates to above. Having zero buffers is a bad idea.\r\n\r\nFor the mock array, there is a discussion to be had as to how many buffers are needed. Maybe not for every Element. Therefore, it is interesting to do a measurement of what zero buffers would yield as a limit. If with zero buffers one can not.reach acceptable numbers, then that is a useful negative result for architectural feedback."}]} +{"num": 4652, "messages": [{"user": "### Description\n\n1. untar [clock-explanation.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14239429/clock-explanation.tar.gz)\r\n2. Run `./run-me-mock-array-asap7-base.sh`\r\n3. Enter `report_checks -from {io_ins_left_1[57]} -to {ces_1_7/io_ins_left[57]} -format full_clock_expanded`\r\n\r\nHow does the static timing analysis arrive at the `380.00 380.00 clock network delay (ideal)` line?\r\n\r\n```\r\nOpenROAD v2.0-12148-g1f2f38624 \r\n[deleted]\r\n>>> report_checks -from {io_ins_left_1[57]} -to {ces_1_7/io_ins_left[57]} -format full_clock_expanded\r\nStartpoint: io_ins_left_1[57] (input port clocked by clock_vir)\r\nEndpoint: ces_1_7 (rising edge-triggered flip-flop clocked by clock)\r\nPath Group: clock\r\nPath Type: max\r\n\r\n Delay Time Description\r\n---------------------------------------------------------\r\n 0.00 0.00 clock clock_vir (rise edge)\r\n 380.00 380.00 clock network delay (ideal)\r\n 45.00 425.00 ^ input external delay\r\n 0.00 425.00 ^ io_ins_left_1[57] (in)\r\n 11.59 436.59 ^ input629/Y (BUFx2_ASAP7_75t_R)\r\n 0.17 436.76 ^ ces_1_7/io_ins_left[57] (Element)\r\n 436.76 data arrival time\r\n\r\n 180.00 180.00 clock clock (rise edge)\r\n 0.00 180.00 clock source latency\r\n 0.00 180.00 ^ clock (in)\r\n 14.73 194.73 ^ wire2/Y (BUFx16f_ASAP7_75t_R)\r\n 42.61 237.34 ^ wire1/Y (BUFx16f_ASAP7_75t_R)\r\n 57.53 294.87 ^ clkbuf_0_clock/Y (BUFx24_ASAP7_75t_R)\r\n 25.15 320.02 ^ clkbuf_1_0_0_clock/Y (BUFx24_ASAP7_75t_R)\r\n 26.12 346.14 ^ clkbuf_2_1_0_clock/Y (BUFx24_ASAP7_75t_R)\r\n 41.16 387.30 ^ clkbuf_3_2_0_clock/Y (BUFx24_ASAP7_75t_R)\r\n 21.22 408.52 ^ ces_1_7/clock (Element)\r\n -10.00 398.52 clock uncertainty\r\n 0.00 398.52 clock reconvergence pessimism\r\n 34.72 433.24 library setup time\r\n 433.24 data required time\r\n---------------------------------------------------------\r\n 433.24 data required time\r\n -436.76 data arrival time\r\n---------------------------------------------------------\r\n -3.52 slack (VIOLATED)\r\n\r\n```\r\n\r\n\r\n\n\n### Suggested Solution\n\nSplit the mystery line to multiple lines so that it is more obvious how this number is calculated.\n\n### Additional Context\n\n_No response_"}, {"assistant": "it comes from \r\n```\r\nset_clock_latency 380 [get_clocks ${clk_name}_vir]\r\n```"}, {"user": "@maliberty There is a big difference in clock tree insertion latency after CTS and after final. Which latency should I use in .sdc?\r\n\r\nIf I use the insertion latency from final, much shorter, I get a ton of hold cells."}, {"assistant": "A ton of hold cells where? On i/o paths? Latency on a reg2reg path should be the same for start and end points.\r\n\r\nDo you know why there is a large difference?"}, {"user": "> A ton of hold cells where? On i/o paths? Latency on a reg2reg path should be the same for start and end points.\r\n> \r\n> Do you know why there is a large difference?\r\n\r\nYes, on inputs. If I reduce clock latency, hold cells are added to compensate.\r\n\r\nIs the clock latency specified manually in commercial tools?\r\n\r\nAll I can do when adding it manually is to get it wrong..."}]} +{"num": 4655, "messages": [{"user": "### Description\n\nThe Element in the mock-array and mock-array itself has a clock insertion latency.\r\n\r\nThe idea is that this clock insertion latency will keep increasing as one goes up in the design levels until at the top level everything is connected to an asynchronous FIFO, probably connected to a bus, at which point the entire clock insertion latency is \"absorbed\" by this asynchronous FIFO.\r\n\r\nThis is what is happening in e.g. Chipyard MegaBoom.\r\n\n\n### Suggested Solution\n\nAdd support for automatically handle the clock insertion latency in mock-array and mock-array/Element.\n\n### Additional Context\n\n_No response_"}, {"user": "@rovinski A rough prototype... https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1801"}]} +{"num": 4656, "messages": [{"user": "### Description\n\nSometimes skew can help performance.\n\n### Suggested Solution\n\nTweak skew so that it improves performance.\n\n### Additional Context\n\n_No response_"}, {"assistant": "Hi, this is related to issue I was opening some time ago, so I am just tagging here:\r\n\r\nhttps://github.com/The-OpenROAD-Project/OpenROAD/issues/2667"}]} +{"num": 4666, "messages": [{"user": "To reproduce:\r\n\r\n1. untar [cts-funny.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14266854/cts-funny.tar.gz)\r\n2. `mkdir -p reports/asap7/mock-array/base/`\r\n3. `./run-me-mock-array-asap7-base.sh`\r\n\r\nWhich seems to have resulted in a strange CTS result, look at the macro leaf nodes:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/a1963a0c-9476-4e85-9389-ccb18c1da196)\r\n\r\nUnbalanced at the leaf buffer:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/fa8d2825-2850-4898-925c-14aa5caf6628)\r\n\r\n_Originally posted by @oharboe in https://github.com/The-OpenROAD-Project/OpenROAD/discussions/4660#discussioncomment-8453920_\r\n\r\n\r\n"}, {"user": "To view the result:\r\n\r\n```\r\n$\u00a0. vars-mock-array-asap7-base.sh\r\n$ ODB_FILE=results/asap7/mock-array/base/4_1_cts.odb openroad -gui scripts/gui.tcl\r\n```\r\n\r\nThe problem goes away if I revert https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1799:\r\n\r\n```\r\n$ . vars-mock-array-asap7-base.sh\r\n$ export CTS_ARGS=\"-insertion_delay -sink_clustering_enable -balance_levels -distance_between_buffers 60\"\r\n$\u00a0openroad -no_init ${SCRIPTS_DIR}/cts.tcl\r\n```\r\n\r\nResults in the expected CTS, 4 macros hanging off each buffer and not 4 macros + a buffer hanging off a buffer now seen on master:\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/166fa008-9e3c-4c31-b15e-77e65757d821)\r\n\r\n\r\n"}, {"user": "@maliberty FYI, this seems to be the reason we see some regressions after the cleanup in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1799"}, {"assistant": "I don't think there were any regression related to that PR. mock array is unchanged by that PR."}, {"user": "> I don't think there were any regression related to that PR. mock array is unchanged by that PR.\r\n\r\nYes, but that is only because mock-array overrides CTS_ARGS completely. I only picked up the `-distance_between_buffers 60` because asap7 had it by default. My *intention* was to use the default arguments and only add `-insertion_delay`.\r\n\r\nSo... I can complete the cleanup of https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1799 in https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/pull/1802 when the feature request in this issue is completed."}, {"assistant": "If you mean we would have seen some regression with a different PR than was actually merged then I agree."}, {"user": "> If you mean we would have seen some regression with a different PR than was actually merged then I agree.\r\n\r\nI think the sequencing of PRs and feature requests worked out well, even if it was not intended :-)\r\n\r\nOtherwise everything would have stalled pending this feature request having been completed."}]} +{"num": 4690, "messages": [{"user": "### Describe the bug\n\n\r\nWhen I try to synthesize my top module I get the following error. I tried to look for more detailed log but could not find anything. Any idea how I can fix it ?\r\n\r\nThank you very much for your time..\r\n\r\n```\r\n1. Executing Verilog-2005 frontend: ./designs/sky130hd/uart/src/uart_rx_top.v\r\n2. Executing Verilog-2005 frontend: ./designs/sky130hd/uart/src/uart_rx.v\r\n3. Executing Verilog-2005 frontend: ./designs/sky130hd/uart/src/fifo.v\r\n4. Executing Liberty frontend: ./objects/sky130hd/uart_rx_module_top/base/lib/sky130_fd_sc_hd__tt_025C_1v80.lib\r\n5. Executing Verilog-2005 frontend: /home/revenant/OpenROAD-flow-scripts/flow/platforms/sky130hd/cells_clkgate_hd.v\r\nUsing ABC speed script.\r\n[FLOW] Extracting clock period from SDC file: ./results/sky130hd/uart_rx_module_top/base/clock_period.txt\r\n[FLOW] Setting clock period to 5\r\n6. Executing SYNTH pass.\r\n6.1. Executing HIERARCHY pass (managing design hierarchy).\r\nERROR: TCL interpreter returned an error: Yosys command produced an error\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:00.12[h:]min:sec. CPU time: user 0.11 sys 0.01 (98%). Peak memory: 42752KB.\r\n``` \n\n### Expected Behavior\n\nNormal synth flow\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 6.5.0-17-generic\r\nos: Ubuntu 22.04.3 LTS (Jammy Jellyfish)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 11.4.0\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-11974-gefb1f59c3\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 11.4.0\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.10 (found version \"3.10.12\") found components: Interpreter \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so\r\n-- TCL readline header: /usr/include/x86_64-linux-gnu\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /home/revenant/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") \r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.10 (found version \"3.10.12\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- STA version: 2.4.0\r\n-- STA git sha: 42b994d429aef6d553baae6aac8c23477b6e0654\r\n-- System name: Linux\r\n-- Compiler: GNU 11.4.0\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- SSTA: 0\r\n-- STA executable: /home/revenant/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version \"9.0.0\") \r\n-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\") \r\n-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\") \r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\") \r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\") \r\n-- Found OpenMP: TRUE (found version \"4.5\") \r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Eigen3: /home/revenant/OpenROAD-flow-scripts/dependencies/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /home/revenant/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /home/revenant/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found Boost: /home/revenant/OpenROAD-flow-scripts/dependencies/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread \r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.1EWgEagLbk\n```\n\n\n### To Reproduce\n\nmy config file \r\n\r\n# Config file for hardening receiver macro\r\nexport TOP_NICKNAME = uart\r\nexport TOP_DIR = ./designs/$(PLATFORM)/$(TOP_NICKNAME)\r\n\r\nexport DESIGN_NAME = rx_module_top\r\nexport DESIGN_NICKNAME = ${TOP_NICKNAME}_${DESIGN_NAME}\r\nexport PLATFORM = sky130hd\r\nexport RTL_DIR = ${TOP_DIR}/src\r\n\r\n\r\n# Verilog source files #\r\nexport VERILOG_FILES = \\\r\n\t\t\t\t\t$(RTL_DIR)/uart_rx_top.v \\\r\n\t\t\t\t\t$(RTL_DIR)/uart_rx.v \\\r\n\t\t\t\t\t$(RTL_DIR)/fifo.v \r\n\r\n# Clock synth constraints #\r\nexport SDC_FILE = $(TOP_DIR)/synthesis/rx_module/constraint.sdc\r\n\r\n# Power #\r\nexport PDN_TCL = $(TOP_DIR)/script/macro_pdn.tcl\r\n\r\nexport ABC_DRIVER_CELL = sky130_fd_sc_hd__buf_1\r\nexport ABC_LOAD_IN_FF = 3\r\n\r\n# Size #\r\nexport CORE_UTILIZATION = 75\r\nexport CORE_ASPECT_RATIO = 2\r\nexport PLACE_DENSITY ?= 0.90\r\n\r\n# Routing\r\nexport MIN_ROUTING_LAYER = met1\r\nexport MAX_ROUTING_LAYER = met4 \r\n\r\n# Met 5 Reserved for global routing\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Since this appears to be a Yosys problem you might be better assisted by filing this bug in the Yosys project https://github.com/YosysHQ/yosys"}, {"assistant": "I suggest you refile as suggested above."}]} +{"num": 4691, "messages": [{"user": "### Describe the bug\n\nIn nangate45/gcd, the route guides for a two-pin net have guides in both metal1 and metal2 since we use metal2 as the min routing layer. The figure below is the route guides after the global route.\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/43450810/3f62f515-b93e-44ac-985d-e1a2e16abcf0)\r\n\r\nHowever, DRT modifies the route guides, removing the metal2 shapes and creating a single guide in metal1:\r\n![image (1)](https://github.com/The-OpenROAD-Project/OpenROAD/assets/43450810/1fd0e3b3-c92e-4822-b649-5f267d3950de)\r\n\r\nThis might be happening due to an inconsistency between GRT and DRT regarding pin access. DRT modifies the guide in order to have covered only the pin shapes that includes the pin access, while GRT created the guides considering other position for the pin access of the pin below.\r\n\n\n### Expected Behavior\n\nDRT should not remove the guides in the min routing layer. Also, GRT should give preference for using the DRT pin access to define the grid points.\n\n### Environment\n\n```shell\nkernel: Linux 3.10.0-1160.102.1.el7.x86_64\r\nos: CentOS Linux 7 (Core)\r\ncmake version 3.24.2\r\n-- The CXX compiler identification is GNU 8.3.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /opt/rh/devtoolset-8/root/usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-12242-g702be8f36\r\n-- System name: Linux\r\n-- Compiler: GNU 8.3.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 8.3.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /opt/rh/devtoolset-8/root/usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /opt/rh/rh-python38/root/usr/bin/python3.8 (found version \"3.8.13\") found components: Interpreter\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-std=c++17\r\n-- Performing Test C_COMPILER_SUPPORTS__-std=c++17 - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-std=c++17\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-std=c++17 - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- TCL readline library: /usr/lib64/libtclreadline.so\r\n-- TCL readline header: /usr/include\r\n-- Found SWIG: /usr/bin/swig (found suitable version \"4.1.0\", minimum required is \"3.0\")\r\n-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\")\r\n-- boost: 1.80.0\r\n-- Found Python3: /usr/include/python3.6m (found version \"3.6.8\") found components: Development Development.Module Development.Embed\r\n-- Found ZLIB: /opt/or-tools/lib64/libz.a (found version \"1.2.11\")\r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.0.4\")\r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.8.5\") found components: doxygen dot\r\n-- STA version: 2.4.0\r\n-- STA git sha: 42b994d429aef6d553baae6aac8c23477b6e0654\r\n-- System name: Linux\r\n-- Compiler: GNU 8.3.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/local/bin/flex (found version \"2.6.4\")\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- STA executable: /home/emrmonteiro/OpenROAD/src/sta/app/sta\r\n-- Found re2: /opt/or-tools/lib64/cmake/re2/re2Config.cmake (found version \"9.0.0\")\r\n-- Found Clp: /opt/or-tools/lib64/cmake/Clp/ClpConfig.cmake (found version \"1.17.7\")\r\n-- Found Cbc: /opt/or-tools/lib64/cmake/Cbc/CbcConfig.cmake (found version \"2.10.7\")\r\n-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version \"8.0.1\")\r\n-- Found OpenMP_CXX: -fopenmp (found version \"4.5\")\r\n-- Found OpenMP: TRUE (found version \"4.5\")\r\n-- GPU is not enabled\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Eigen3: /opt/or-tools/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\")\r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization\r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS)\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version \"1.80.0\", minimum required is \"1.78\")\r\n-- TCL library: /usr/lib64/libtcl.so\r\n-- TCL header: /usr/include/tcl.h\r\n-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version \"1.80.0\") found components: serialization system thread\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Configuring done\r\n-- Generating done\r\n-- Build files have been written to: /tmp/tmp.TxrcSFk6zu\n```\n\n\n### To Reproduce\n\n[final_report_gcd_nangate45_base_2024-02-20_07-20.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14345578/final_report_gcd_nangate45_base_2024-02-20_07-20.tar.gz)\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "drt prunes 'unnecessary' guide segments but I'm guessing it is too aggressive here. "}, {"user": "> drt prunes 'unnecessary' guide segments but I'm guessing it is too aggressive here.\r\n\r\nYeah, and in fact, we have an unnecessary guide here. My guess is that if we have GRT and DRT consistent regarding pin access, the prune would not be necessary. I'll try again to enable the use of pin access during GRT on the ORFS."}]} +{"num": 4692, "messages": [{"user": "### Describe the bug\r\n\r\nThere was an odd issue after updating OpenROAD: `odb` scripts for OpenLane 2 worked fine, while those for OpenLane 1 started crashing.\r\n\r\nThe cause appears to be that OL1 uses `read_db` instead of `design.readDb`.\r\n\r\n```python\r\nimport sys\r\n\r\nfrom openroad import Tech, Design\r\nimport odb\r\n\r\n# Works\r\nord_tech = Tech()\r\ndesign = Design(ord_tech)\r\ndesign.readDb(\"in.odb\")\r\nodb.write_db(ord_tech.getDB(), \"out1.odb\")\r\n\r\n# Segfaults + Invalid File Generated\r\ndb = odb.dbDatabase.create()\r\ndb = odb.read_db(db, \"in.odb\")\r\nsys.stdout.flush()\r\nodb.write_db(db, \"out2.odb\")\r\n```\r\n\r\nThe second `write_db` segfaults. That persists if you comment out the first part of the file.\r\n\r\n### Expected Behavior\r\n\r\nShould not segfault at the very least. \r\n\r\n### Environment\r\n\r\n(I added $cmakeFlags to `./etc/Env.sh`.)\r\n\r\n```shell\r\nGit commit: 762bba74f1f86b4626f0afa62c34a27c623eb2bb\r\nkernel: Darwin 23.1.0\r\nos: macOS 14.1.1\r\ncmake version 3.27.7\r\nCMake Warning:\r\n Manually-specified variables were not used by the project:\r\n\r\n VERBOSE\r\n\r\n\r\n-- The CXX compiler identification is Clang 16.0.6\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /nix/store/zh206nri10wwp68g7qf9ccya1irynx5g-clang-wrapper-16.0.6/bin/clang++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-12266-g762bba74f\r\n-- System name: Darwin\r\n-- Compiler: Clang 16.0.6\r\n-- Build type: RELEASE\r\n-- Install prefix: /var/empty/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- Found OpenSTA: /nix/store/8912fyg13k0frwd46aqwirzgzpwnl1bl-opensta/lib/libOpenSTA.a\r\n-- Using OPENSTA_INCLUDE_DIR=/usr/include/sta\r\n-- Using ABC_INCLUDE_DIR=/usr/include/abc\r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- TCL readline library: /nix/store/ajpyasvckmhr4w0jf92hjcqh4wfpy214-tclreadline-2.3.8/lib/libtclreadline.dylib\r\n-- TCL readline header: /nix/store/ajpyasvckmhr4w0jf92hjcqh4wfpy214-tclreadline-2.3.8/include\r\n-- Found SWIG: /nix/store/n2bwxxk56d96kxn2yf3dj3nc58kvpkq2-swig-4.0.2/bin/swig (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") \r\n-- boost: 1.83.0\r\n-- Found Python3: /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/include/python3.11 (found version \"3.11.6\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /nix/store/a377d66g1rgsd4vkzxdhkza5g55fkmky-zlib-1.3/lib/libz.dylib (found version \"1.3\") \r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success\r\n-- Found Threads: TRUE \r\n-- spdlog: 1.12.0\r\n-- Found BISON: /nix/store/js4fzri749vfnjda5jz73ydclv5dgjan-bison-3.8.2/bin/bison (found version \"3.8.2\") \r\n-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) \r\n-- Found Protobuf: /nix/store/nkrmgb9ikzpdrs5h02jrw7yd69wybwv7-protobuf-21.12/lib/libprotobuf.dylib (found version \"3.21.12\") \r\n-- Found re2: /nix/store/hpdlfnhcp4xpc0y2kn2z7644ksy46mz6-re2-2023-11-01-dev/lib/cmake/re2/re2Config.cmake (found version \"11.0.0\") \r\n-- Found GLPK: /nix/store/xh65x0zq6ni7dkb1dymdrahxll81ma8m-glpk-5.0/lib/libglpk.dylib \r\n-- Found OpenMP_CXX: -fopenmp=libomp (found version \"5.0\") \r\n-- Found OpenMP: TRUE (found version \"5.0\") \r\n-- GPU is not enabled\r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- The C compiler identification is Clang 16.0.6\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /nix/store/zh206nri10wwp68g7qf9ccya1irynx5g-clang-wrapper-16.0.6/bin/clang - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Eigen3: /nix/store/wx9kzvpd6ck59i9n2rg2jgir3km31n8b-eigen-3.4.0/share/eigen3/cmake/Eigen3Config.cmake (found version \"3.4.0\") \r\n-- GUI is enabled\r\n-- Charts widget is not enabled\r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization \r\n-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) \r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found suitable version \"1.83.0\", minimum required is \"1.78\") \r\n-- TCL library: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/lib/libtcl.dylib\r\n-- TCL header: /nix/store/l1rhkhykl3vzqipkk1c7wm6qanswxnrk-tcl-8.6.13/include/tcl.h\r\n-- Found Boost: /nix/store/vf1zzbfy97i3awvva9yb2559vii2vc47-boost-1.83.0-dev/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version \"1.83.0\") found components: serialization system thread \r\n-- Removing MPL2 and PAR to avoid run time fatal error.\r\n-- TCL readline enabled\r\n-- Tcl Extended disabled\r\n-- Python3 enabled\r\n-- Removing PAR_PY to avoid run time fatal error.\r\n-- Configuring done (4.2s)\r\n-- Generating done (0.5s)\r\n-- Build files have been written to: /tmp/nix-shell.tJ4FNr/tmp.wsNJYIGFkU\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\n[repro.tgz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14345810/repro.tgz)\r\n\r\n`openroad -exit -python script.py`\r\n\r\n### Relevant log output\r\n\r\n```shell\r\nSignal 11 received\r\nStack trace:\r\n 0# handler(int) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 1# _sigtramp in /usr/lib/system/libsystem_platform.dylib\r\n 2# odb::dbOStream& odb::operator<<(odb::dbOStream&, odb::NamedTable const&) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 3# odb::dbOStream& odb::operator<<(odb::dbOStream&, odb::NamedTable const&) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 4# odb::operator<<(odb::dbOStream&, odb::_dbTech const&) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 5# odb::dbTable::writePage(odb::dbOStream&, odb::dbTablePage const*) const in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 6# odb::dbOStream& odb::operator<<(odb::dbOStream&, odb::dbTable const&) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 7# odb::operator<<(odb::dbOStream&, odb::_dbDatabase const&) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 8# odb::dbDatabase::write(std::__1::basic_ostream>&) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n 9# write_db(odb::dbDatabase*, char const*) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n10# _wrap_write_db(_object*, _object*) in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\n11# cfunction_call in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n12# _PyObject_MakeTpCall in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n13# _PyEval_EvalFrameDefault in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n14# PyEval_EvalCode in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n15# run_mod in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n16# _PyRun_SimpleFileObject in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n17# _PyRun_AnyFileObject in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n18# Py_RunMain in /nix/store/7b0rz3bnx7msw2wawkv1hhn5lqf1b0wi-python3-3.11.6/lib/libpython3.11.dylib\r\n19# main in /nix/store/02fnabw0hsk098kk159nlz9s1858r7jl-openroad/bin/.openroad-wrapped\r\nzsh: segmentation fault openroad -exit -python script.py\r\n```\r\n\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "If you use `db = odb.dbDatabase.create()` then you get a db with no Logger that can't issue messages. I suggest you just use the API that works.\r\n\r\nI can't even issue an error if this happens (no logger). I could add a printf and a hard exit."}]} +{"num": 4703, "messages": [{"user": "### Describe the bug\n\nhello, I've try run the RTLMP2 flow , but it just not work:\r\n(nangate45/ariane136 + openroad flow)\r\n\r\n1. datain: \r\nDESIGN_CONFIG=./designs/nangate45/ariane136/config.mk\r\n\r\n2. how to run:\r\ncd flow & make\r\n\r\n3. what is error:\r\n[WARNING STA-0354] set_input_delay relative to a clock defined on the same port/pin not allowed.\r\n[INFO ORD-0030] Using 24 thread(s).\r\nHierRTLMP Flow enabled...\r\nrtl_macro_placer -max_num_inst 30000 -min_num_inst 5000 -max_num_macro 16 -min_num_macro 4 -halo_width 10 -report_directory ./objects/nangate45/ariane136/base/rtlmp\r\n[ERROR STA-0402] rtl_macro_placer -max_num_inst is not a known keyword or flag.\r\nError: macro_place_util.tcl, 138 STA-0402\r\nCommand exited with non-zero status 1\r\nElapsed time: 0:01.31[h:]min:sec. CPU time: user 1.20 sys 0.11 (100%). Peak memory: 320756KB.\r\nMakefile:616: recipe for target 'do-2_4_floorplan_macro' failed\r\nmake[1]: *** [do-2_4_floorplan_macro] Error 1\r\nMakefile:616: recipe for target 'results/nangate45/ariane136/base/2_4_floorplan_macro.odb' failed\n\n### Expected Behavior\n\nRTLMP2 flow work and genarete the partition macro placememt result\n\n### Environment\n\n```shell\n[WARNING PPL-0015] Macro i_cache_subsystem/i_nbdcache/valid_dirty_sram/genblk1_0__i_ram/macro_mem_1 is not placed.\r\n[WARNING PPL-0015] Macro i_cache_subsystem/i_nbdcache/valid_dirty_sram/genblk1_0__i_ram/macro_mem_2 is not placed.\r\n[WARNING PPL-0015] Macro i_cache_subsystem/i_nbdcache/valid_dirty_sram/genblk1_0__i_ram/macro_mem_3 is not placed.\r\nFound 0 macro blocks.\r\nUsing 1u default distance from corners.\r\nUsing 2 tracks default min distance between IO pins.\r\n[INFO PPL-0007] Random pin placement.\r\nElapsed time: 0:01.79[h:]min:sec. CPU time: user 1.59 sys 0.19 (99%). Peak memory: 330300KB.\r\nbash: - : invalid option\r\nUsage:\tbash [GNU long option] [option] ...\r\n\tbash [GNU long option] [option] script-file ...\r\nGNU long options:\r\n\t--debug\r\n\t--debugger\n```\n\n\n### To Reproduce\n\nit's a close environment , and i cannot attarch file\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Most likely you have an out of date version of OR. Please include the commit ids for OR & ORFS."}, {"user": "@maliberty \r\nhello maliberty,\r\n\r\n1. here's the ORFS git log:\r\n\r\n/OpenROAD-flow-scripts_latest]$git rev-parse HEAD\r\n97d54ba50c9e1213757524d9bd4e1a40d26c1a17\r\n\r\n/OpenROAD-flow-scripts_latest]$git log | head -n 1 \r\ncommit 97d54ba50c9e1213757524d9bd4e1a40d26c1a17\r\n\r\n2. here's the OR version:\r\n/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin]$./openroad -version\r\nv2.0-4994-g0d653c681 \r\n\r\n\r\n\r\n\r\n\r\n"}, {"assistant": "0d653c681 is from last September. Please update OR."}, {"user": "@maliberty thank you so much ~ it's version issue !\r\none more question? it there any friendly way to build ORFS for PC server which is off-line?"}, {"assistant": "I'm not sure what you mean by \"PC server which is off-line\". "}]} +{"num": 4708, "messages": [{"user": "### Describe the bug\n\nI am trying to run ORFS by make do-floorplan, the flow is crash while reading mem.lib .\r\n\r\ncrash log\r\n[WARNING STA-0125] /local2/OpenROAD-flow-scripts_latest/flow/platforms//lib/*.lib line 3225, table row has 7 columns but axis has 76.\r\n[WARNING STA-0126] /local2/OpenROAD-flow-scripts_latest/flow/platforms//lib/*.lib line 3225, table has 7 rows but axis has 1.\r\nSignal 11 received\r\nStack trace:\r\n0# 0x0000000000E08223 in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n1# 0x00007F9E17108F10 in /lib/x86_64-linux-gnu/libc.so.6\r\n2# sta::LibertyReader::makeTableAxis(int) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n3# 0x000000000116A00D in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n4# sta::makeLibertyComplexAttr(char const, sta::Vectorsta::LibertyAttrValue*, int) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n5# LibertyParse_parse() in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n6# sta::parseLibertyFile(char const, sta::LibertyGroupVisitor*, sta::Report*) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n7# sta::readLibertyFile(char const*, bool, sta::Network*) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n8# sta::Sta::readLibertyFile(char const*, sta::Corner*, sta::MinMaxAll const*, bool) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n9# sta::Sta::readLiberty(char const*, sta::Corner*, sta::MinMaxAll const*, bool) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n10# 0x0000000000FFFE70 in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n11# TclNRRunCallbacks in /tools/anaconda3/lib/libtcl8.6.so\r\n12# 0x00007F9E1BCBA19F in /tools/anaconda3/lib/libtcl8.6.so\r\n13# Tcl_EvalEx in /tools/anaconda3/lib/libtcl8.6.so\r\n14# Tcl_Eval in /tools/anaconda3/lib/libtcl8.6.so\r\n15# sta::sourceTclFile(char const*, bool, bool, Tcl_Interp*) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n16# ord::tclAppInit(Tcl_Interp*) in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n17# Tcl_MainEx in /tools/anaconda3/lib/libtcl8.6.so\r\n18# main in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\n19# __libc_start_main in /lib/x86_64-linux-gnu/libc.so.6\r\n20# _start in /local2/OpenROAD-flow-scripts_latest/tools/install/OpenROAD/bin/openroad\r\nCommand terminated by signal 11\r\n\r\n2. mem lib line 3225 is attached:\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/38451771/2d693c3a-9567-4d29-bfe0-653110be04c5)\r\n\r\n\r\n\r\n\n\n### Expected Behavior\n\ncould support this format mem.lib \r\nor by pass/ continue on error while readling lib\n\n### Environment\n\n```shell\nfatal: unable to access 'https://github.com/The-OpenROAD-Project/OpenROAD.git/': Could not resolve host: github.com\r\n\r\n\r\nthe git log code is:\r\n/local2/OpenROAD-flow-scripts_latest/tools/OpenROAD]$git log | head -n 1\r\ncommit 933ae804c19ee6216f3def610533cac9c99094f3\n```\n\n\n### To Reproduce\n\ncould not upload file \n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "Can you provide the library or is it confidential? CCS support should come in the near future but for now we only support NLDM so even if this parses you may not be able to use it yet."}, {"user": "hi maliberty , \r\nCould you kindly provide the CCS support expected time?\r\n\r\nmem lib it's confidential, but i can provide some pattern of this file:\r\n\r\n1. [WARNING STA-0125] line 2152, **table row has 29 columns but axis has 32.**\r\n\r\npatten:\r\n\"image\"\r\n\r\n\r\n2. [WARNING STA-0130] lib line 3217, when attribute inside table model.\r\n\"image\"\r\n\r\n3. [WARNING STA-0126] line 3225, table has 7 rows but axis has 1\r\n**Signal 11 received**\r\n\"image\"\r\n\r\n"}, {"assistant": "How was the .lib generated?\r\n\r\n@tspyrou any ideas?"}, {"assistant": "Can you give some info on the CELL_UP definition?"}, {"assistant": "eta for ccs (not ccs-noise) is ~1 month for a preliminary version."}, {"user": "> info on the CELL_UP definition?\r\n\r\nit's a mem rise delay, retaining_rise is mem wake up from retain mode\r\n"}, {"user": "@maliberty is there any way to bypass this issue? because the timing condition is TEST mode"}, {"assistant": "As I don't really know what the problem it is it hard to suggest something specific. Can you use a .lib without the ccs data and just nldm? Can you reduce the .lib to the barest minimum necessary to reproduce the issue in a non-confidential manner?"}]} +{"num": 4710, "messages": [{"user": "### Description\n\nwrite_timing_model should add to the generated .lib:\r\n```\r\nis_macro_cell : true;\r\n```\r\nThe lack of this confuses\r\n```\r\nbool Resizer::isCombinational(LibertyCell* cell) const\r\n{\r\n if (!cell) {\r\n return false;\r\n }\r\n return (!cell->isClockGate() && !cell->isPad() && !cell->isMacro()\r\n && !cell->hasSequentials());\r\n}\r\n```\r\ninto thinking a macro is combinational.\n\n### Suggested Solution\n\nWrite the requested\n\n### Additional Context\n\n_No response_"}, {"user": "asap7/aes-block demonstrates the issue."}, {"user": "Workaround in https://github.com/The-OpenROAD-Project/OpenROAD/pull/4711"}, {"assistant": "@maliberty update was pushed today."}]} +{"num": 4719, "messages": [{"user": "### Describe the bug\n\nWith the latest update to sta in OR a memory bug has been discovered. In ConcreteParasiticNetwork::ensureParasiticNode\r\n\r\n```\r\n node = new ConcreteParasiticNode(pin, net != net_);\r\n pin_nodes_[pin] = node;\r\n```\r\n\r\nwhile in ConcreteParasiticNetwork::deleteNodes:\r\n```\r\n for (auto pin_node : pin_nodes_) {\r\n ParasiticNode *node = pin_node.second;\r\n delete node;\r\n }\r\n```\r\n\r\nSince `class ParasiticNode {};` declares no virtual dtor this means the ~ConcreteParasiticNode is not called. This code either needs to use a virtual dtor in the base class or at least use the dervied type in the loop (or auto).\n\n### Expected Behavior\n\nNo memory errors\n\n### Environment\n\n```shell\nOR de92b19f3ed9ec26e35d52602df935280d2f6041 \r\nusing\r\nsta c367a000642e07b5be2bdf0f41275d304c3a5797\n```\n\n\n### To Reproduce\n\nProprietary design but should be easily reproduced on any other.\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"user": "Workaround in https://github.com/The-OpenROAD-Project/OpenSTA/pull/228"}, {"assistant": "@maliberty This was fixed 3 weeks ago when the virtual methods on ConcreteParasiticNode were removed.\r\n902a1bff8 2024-02-08 parasitics api update."}, {"user": "Ok I'll start updating again..."}]} +{"num": 4723, "messages": [{"user": "### Description\r\n\r\nHi team,\r\n\r\nCan you please point me to acceptable group file format for TritonPart?\r\nI could not find any mentioned reference on the openroad document website.\r\n\r\n![image](https://github.com/ABKGroup/TritonPart/assets/40693854/00836694-a266-4904-8a72-1f8918da2c8f)\r\n\r\nIn the above documentation it forwards to hMETIS manual but as far I know last updated hMETIS did not supported the group constraint feature. It only accepts `input hypergraph file and fixed file`.\r\n\r\n![image](https://github.com/ABKGroup/TritonPart/assets/40693854/bc558bd2-9784-4bb0-a37a-3554477908bf)\r\n\r\nIt would really great if I could any information about group file.\r\n\r\nAs of now I was using following format, but it seems not working as TritonPart places them in different partitions and at the same time prints that it satisfied the group constraint. So, I believe I must have given non-acceptable group information.\r\n\r\n```\r\n1 2 %group of vertices 1 and 2\r\n3 4 %group of vertices 3 and 4\r\n```\r\n\r\nThanks a lot.\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "https://github.com/The-OpenROAD-Project/OpenROAD/blob/85f541bb67dd0498b0f53c78b572a12d0c4aa7a7/src/par/src/TritonPart.cpp#L1121 does reference hMetis. I don't know the details but the parser just looks to read a set of integers per line.\n\nI'm trying to get one of the original authors to respond"}, {"assistant": "For the grouping information, each line consists of vertices belonging to the same group. **Please note that all vertex indices are one-indexed (similar to hMETIS) .** \r\n\r\nFor example:\r\n```\r\n1 3 5 6\r\n2 4 9 11\r\n7 8 10\r\n```\r\n\r\nIn this example:\r\n\r\n- Vertices 1, 3, 5, and 6 are assigned to group 0.\r\n- Vertices 2, 4, 9, and 11 are assigned to group 1.\r\n- Vertices 7, 8, and 10 are assigned to group 2.\r\n\r\nPlease create a file in this format and then use the -`group_file` option to specify its path when running TritonPart.\r\n\r\nIn this situation, the group file that you are passing looks to be the correct way to pass grouping arguments. \r\n\r\nCan you add the testcase, partition file and log file to this thread so that I can replicate this on my side? \r\n\r\n"}, {"assistant": "@ombhilare999 is this just a question (and we can close) or is there a problem to fix?"}]} +{"num": 4724, "messages": [{"user": "### Description\r\n\r\nHi,\r\n\r\nI am using TritonPart in a personal project and my application closely resembled the following application mentioned in the TritonPart paper. [Here](https://vlsicad.ucsd.edu/Publications/Conferences/401/c401.pdf):\r\n\r\n> In other words, the standard balance constraint must be satisfied\r\nalong each dimension of weights. A practical example of this\r\nconstraint is partitioning a netlist across multiple FPGAs, where\r\nresources such as flip-flops (FFs), digital signal processing blocks\r\n(DSPs), and look-up tables (LUTs) are all limited. This is a hard\r\nconstraint\r\n\r\n1. In my application, I had three different types of vertices, denoted as t1, t2, and t3. My main concern was to ensure that no more than four crossings were allowed between any partitions. I believe to achieve this functionality; I need to set `-balance_constraint` to `3`.\r\n2. In each individual partition or block, I could only have a maximum of `four t1 cells, 16 t2 cells, and four t3 cells`. This was the maximum condition. However, I was unsure about how to implement this constraint. I have read the OpenROAD paper and documentation, but any related reference w.r.t. multi-constraint would be very helpful for my application.\r\n\r\nThank you, let me know if you need any more information.\r\n\r\n\r\n### Suggested Solution\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "@bodhi91 can you help with this one too"}, {"assistant": "1. The -`balance_constraint` is always imposed on the total amount of vertex weights allowed on one partition. I assume by \"crossings\" you are referring to the cutsize of the partition. If this is the case, then setting the balance constraint to 3 will not work. The current version of TritonPart, unfortunately, does not allow constraints on cutsize (although I do realize in certain FPGA applications this is required; also something that we are working on currently). \r\n2. To implement this, you can leverage the -`base_balance` argument. If partition `X` can have a maximum of `a, b, c` vertices, I would set `base_balance` to `floor((a-y) / X), floor((b-y) / X), floor((c-y) / X)`, where `y` is small compared to `a, b, c`. Now, you can use a `balance_constraint` of `y/X`. To explain in more detail, you would want to set the `base_balance` in such a way that the `upper block balance` https://github.com/The-OpenROAD-Project/OpenROAD/blob/85f541bb67dd0498b0f53c78b572a12d0c4aa7a7/src/par/src/Hypergraph.cpp#L194 of any partition (calculated with the `balance_constaint`) amounts to the maximum value of vertex weights you would want in your partition. \r\n\r\nPlease try this and let me know if it works. "}, {"assistant": "Close?"}]} +{"num": 4726, "messages": [{"user": "### Describe the bug\n\nUnable to run this test case: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/rcx/test/generate_rules.tcl\r\n\r\nGetting following error:\r\n\r\n```\r\nopenroad generate_rules.tcl \r\nOpenROAD 762bba74f1f86b4626f0afa62c34a27c623eb2bb \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[INFO ODB-0222] Reading LEF file: sky130hs/sky130hs.tlef\r\n[INFO ODB-0223] Created 13 technology layers\r\n[INFO ODB-0224] Created 25 technology vias\r\n[INFO ODB-0226] Finished LEF file: sky130hs/sky130hs.tlef\r\n[INFO ODB-0128] Design: blk\r\n[INFO ODB-0130] Created 141104 pins.\r\n[INFO ODB-0133] Created 70552 nets and 0 connections.\r\n[INFO RCX-0001] Reading SPEF file: generate_pattern.spef\r\n[ERROR ODB-0428] Cannot open file generate_pattern.spef for \"r\"\r\nError: generate_rules.tcl, 7 ODB-0428\r\n```\n\n### Expected Behavior\n\nAdd missing file path to validate the test case\n\n### Environment\n\n```shell\nlatest master\n```\n\n\n### To Reproduce\n\ncd src/rcx/test\r\nopenroad generate_rules.tcl\n\n### Relevant log output\n\n```shell\n[INFO RCX-0001] Reading SPEF file: generate_pattern.spef\r\n[ERROR ODB-0428] Cannot open file generate_pattern.spef for \"r\"\r\nError: generate_rules.tcl, 7 ODB-0428\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\nIs there any instruction on how to run this steps: ` generate_pattern.spef needs to be generated from an OSS tool (eg magic)`"}, {"assistant": "That is a disabled test that probably should just be removed. It originally contained disallowed proprietary tool output that was removed. Why are you trying to run it?"}, {"user": "I am trying to generate rcx.rules to use it for post layout timing report with ORFS with private PDK."}, {"assistant": "Ok but this test will not help you with that goal"}]} +{"num": 4750, "messages": [{"user": "### Description\n\nRecently these warnings appear when I run `make DESIGN_CONFIG=designs/asap7/mock-array/config.mk`.\r\n\r\n```\r\nOpenROAD v2.0-12475-g1b6a4e128 \r\nThis program is licensed under the BSD-3 license. See the LICENSE file for details.\r\nComponents of this program may be licensed under more restrictive licenses which must be honored.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13178, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13211, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13244, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13277, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13310, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13343, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13376, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14772, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14805, timing group from output port.\r\n[WARNING STA-1212] /home/oyvind/OpenROAD-flow-scripts/flow/platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14838, timing group from output port.\r\n[INFO ORD-0030] Using 12 thread(s).\r\nNo macros found: Skipping macro_placement\r\n```\n\n### Suggested Solution\n\nSuppress this warning, there is nothing the user can do about it.\n\n### Additional Context\n\n_No response_"}, {"assistant": "I think this is the same as https://github.com/The-OpenROAD-Project/OpenROAD/discussions/3291 but the message number has changed. I noticed a few other id changes in recent sta updates."}]} +{"num": 4751, "messages": [{"user": "### Description\n\nExpected:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/bd60776e-4a58-4989-ad3c-251a990a2f68)\r\n\r\nActual:\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/5c299c9b-aced-4708-bcc0-8a7b90f184bc)\r\n\r\nTo reproduce: untar [cts.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14541128/cts.tar.gz)\r\n\r\n\r\n```\r\n./run-me-mock-array-asap7-base.sh\r\n. vars-mock-array-asap7-base.sh\r\nODB_FILE=results/asap7/mock-array/base/4_1_cts.odb openroad -gui scripts/gui.tcl\r\n```\r\n\n\n### Suggested Solution\n\nCTS should automatically pick good defaults\n\n### Additional Context\n\n_No response_"}, {"assistant": "It seems that the timing repair step is degrading the clock skew. Some of timing repair can be pulled into CTS to mitigate this issue. @oharboe, what's the needed timeline for this enhancement?"}, {"user": "> It seems that the timing repair step is degrading the clock skew. Some of timing repair can be pulled into CTS to mitigate this issue. @oharboe, what's the needed timeline for this enhancement?\r\n\r\nI would prioritize orderly progression of development over particular features."}, {"assistant": "I would guess it is repair_clock_nets rather than repair_timing that is the problem (the later shouldn't touch the clock tree)."}, {"assistant": "> I would guess it is repair_clock_nets rather than repair_timing that is the problem (the later shouldn't touch the clock tree).\r\n\r\nCorrect."}]} +{"num": 4752, "messages": [{"user": "### Describe the bug\n\nThe checksum seems to have changed to:\r\nmd5sum boost_1_80_0.tar.gz \r\n38631ccf5da80ab98a375a2a53052760 boost_1_80_0.tar.gz\r\n\n\n### Expected Behavior\n\nNo checksum failure\n\n### Environment\n\n```shell\nN/A\n```\n\n\n### To Reproduce\n\n./etc/DependencyInstaller.sh\n\n### Relevant log output\n\n```shell\n113.3 Saving to: 'boost_1_80_0.tar.gz'\r\n113.3 \r\n113.3 0K 100% 141M=0s\r\n113.3 \r\n113.3 2024-03-09 03:29:57 (141 MB/s) - 'boost_1_80_0.tar.gz' saved [859/859]\r\n113.3 \r\n113.3 md5sum: WARNING: 1 computed checksum did NOT match\r\n113.3 boost_1_80_0.tar.gz: FAILED\n```\n\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "@vvbandeira any idea?"}, {"user": "This appears to have been a result of sourceforge having some trouble yesterday. Appears to have been resolved."}]} +{"num": 4757, "messages": [{"user": "### Describe the bug\n\n1. open OpenROAD GUI\r\n2. zoom in using ctrl+mousewheel\r\n3. just mousewheel alone moves up and down\r\n4. alt+mousewheel should have moved horizontally, but moves up/down \r\n\r\nOpenROAD v2.0-12475-g1b6a4e128\n\n### Expected Behavior\n\nalt+mousewheel should have moved horizontally, but moves up/down\n\n### Environment\n\n```shell\nOpenROAD v2.0-12475-g1b6a4e128\r\n$ lsb_release -a\r\nNo LSB modules are available.\r\nDistributor ID:\tUbuntu\r\nDescription:\tUbuntu 23.10\r\nRelease:\t23.10\r\nCodename:\tmantic\n```\n\n\n### To Reproduce\n\nSee above\n\n### Relevant log output\n\n_No response_\n\n### Screenshots\n\n_No response_\n\n### Additional Context\n\n_No response_"}, {"assistant": "There is no recent GUI change that I can imagine causing this. Are you sure it isn't a local issue with your alt key?"}, {"user": "Works on my workstation, but not on my laptop. Could this be because the laptop has a mousepad?"}, {"assistant": "Perhaps it maps to a different key (ctrl?) or the mousepad?"}, {"user": "Will reopen when I have some actionable information"}]} +{"num": 4763, "messages": [{"user": "### Describe the bug\r\n\r\nBased on `make DESIGN_CONFIG=designs/asap7/mock-array/Element/config.mk`\r\n\r\nUntar [detailed-route-warning.tar.gz](https://github.com/The-OpenROAD-Project/OpenROAD/files/14558177/detailed-route-warning.tar.gz)\r\n\r\n```\r\n$ NUM_CORES=16 ./run-me-mock-array_Element-asap7-base.sh\r\nOpenROAD v2.0-12488-g85f541bb6\r\n [deleted]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term clock\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ins_down[0]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ins_down[10]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ins_down[11]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ins_down[12]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ins_down[13]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ins_down[14]\r\n[WARNING DRT-0422] No routing tracks pass through the center of Term io_ins_down[15]\r\n[deleted]\r\n```\r\n\r\nLooks OK?\r\n\r\n\r\n![image](https://github.com/The-OpenROAD-Project/OpenROAD/assets/2798822/d1c699b2-9ff4-4a1e-8e77-f6afbdf9ceae)\r\n\r\n\r\n\r\n### Expected Behavior\r\n\r\nNo warning and no problems\r\n\r\n### Environment\r\n\r\n```shell\r\nOpenROAD v2.0-12488-g85f541bb6\r\n```\r\n\r\n\r\n### To Reproduce\r\n\r\nSee above\r\n\r\n### Relevant log output\r\n\r\n_No response_\r\n\r\n### Screenshots\r\n\r\n_No response_\r\n\r\n### Additional Context\r\n\r\n_No response_"}, {"assistant": "It looks like the routing track is not through the center as the message indicates. How was the pin placed?"}, {"assistant": "It would be helpful to turn off all other layers in the image."}, {"user": "> It looks like the routing track is not through the center as the message indicates. How was the pin placed?\r\n\r\nstandard orfs flow, no changes."}, {"assistant": "The pins are aligned with the tracks:\r\n\"image\"\r\n\r\nI've checked it with the ruler, and the pin placement looks ok. This is probably a false warning from DRT, which I'll investigate this week."}]} +{"num": 4769, "messages": [{"user": "### Describe the bug\n\nIf you have no margin in your design (so core area == die area), then the PDN straps will go from one end of the die to the other.\r\n\r\nIn `GridComponent::addShape` ( `OpenROAD/src/pdn/src/grid_component.cpp` line 158 ), we find :\r\n\r\n```c\r\n if (final_shape_rect.xMin() == die_area.xMin()) {\r\n const int x = std::min(static_cast(die_area.xMin() + min_width),\r\n final_shape_rect.xMax());\r\n odb::Rect pin_rect = final_shape_rect;\r\n pin_rect.set_xhi(x);\r\n shape_ptr->addBTermConnection(pin_rect);\r\n }\r\n```\r\n\r\nSo those shapes end up with BTerm attached to them.\r\n\r\nWhen comes the time to create the via going from the PDN strips down to macro pads, in `Connect::makeVia` ( `OpenROAD/src/pdn/src/connect.cpp` line 506 ) :\r\n\r\n```c\r\n if (upper->getLayer() == l1) {\r\n if (!upper->isModifiable() || upper->hasTermConnections()) {\r\n // upper is not modifiable to all sides must fit\r\n skip_caching = true;\r\n upper_constraint.must_fit_x = true;\r\n upper_constraint.must_fit_y = true;\r\n upper_constraint.intersection_only = false;\r\n } else {\r\n upper_constraint.must_fit_x = !upper->isHorizontal();\r\n upper_constraint.must_fit_y = !upper->isVertical();\r\n }\r\n }\r\n```\r\n\r\nSo this changes the constraints and then when it actually tests those, it fails because `must_fit_x` fails the check, even though it completely fits in the X direction, it just limits the comparaison to the overlap area rather than the complete existing shape ...\r\n\r\n\r\n\r\n\n\n### Expected Behavior\n\nI expect the vias to be created\n\n### Environment\n\n```shell\n[WARNING] Your current OpenROAD version is outdated.\r\nIt is recommened to pull the latest changes.\r\nIf problem persists, file a github issue with the re-producible test case.\r\nkernel: Linux 5.10.0-19-cloud-amd64\r\nos: Debian GNU/Linux 11 (bullseye)\r\ncmake version 3.18.4\r\n-- The CXX compiler identification is GNU 10.2.1\r\n-- Detecting CXX compiler ABI info\r\n-- Detecting CXX compiler ABI info - done\r\n-- Check for working CXX compiler: /usr/bin/c++ - skipped\r\n-- Detecting CXX compile features\r\n-- Detecting CXX compile features - done\r\n-- OpenROAD version: v2.0-12488-g85f541bb6\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Install prefix: /usr/local\r\n-- C++ Standard: 17\r\n-- C++ Standard Required: ON\r\n-- C++ Extensions: OFF\r\n-- The C compiler identification is GNU 10.2.1\r\n-- Detecting C compiler ABI info\r\n-- Detecting C compiler ABI info - done\r\n-- Check for working C compiler: /usr/bin/cc - skipped\r\n-- Detecting C compile features\r\n-- Detecting C compile features - done\r\n-- Found Python: /usr/bin/python3.9 (found version \"3.9.2\") found components: Interpreter \r\n-- Looking for pthread.h\r\n-- Looking for pthread.h - found\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD\r\n-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed\r\n-- Looking for pthread_create in pthreads\r\n-- Looking for pthread_create in pthreads - not found\r\n-- Looking for pthread_create in pthread\r\n-- Looking for pthread_create in pthread - found\r\n-- Found Threads: TRUE \r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-regisCMake Warning at src/CMakeLists.txt:245 (message):\r\n spdlog: SPDLOG_FMT_EXTERNAL=ON\r\n\r\n\r\nCMake Error at src/gpl/CMakeLists.txt:44 (find_package):\r\n By not providing \"Findortools.cmake\" in CMAKE_MODULE_PATH this project has\r\n asked CMake to find a package configuration file provided by \"ortools\", but\r\n CMake did not find one.\r\n\r\n Could not find a package configuration file provided by \"ortools\" with any\r\n of the following names:\r\n\r\n ortoolsConfig.cmake\r\n ortools-config.cmake\r\n\r\n Add the installation prefix of \"ortools\" to CMAKE_PREFIX_PATH or set\r\n \"ortools_DIR\" to a directory containing one of the above files. If\r\n \"ortools\" provides a separate development package or SDK, be sure it has\r\n been installed.\r\n\r\n\r\nter - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-x\r\n-- Performing Test C_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__c++\r\n-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++\r\n-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed\r\n-- Performing Test C_COMPILER_SUPPORTS__-std=c++17\r\n-- Performing Test C_COMPILER_SUPPORTS__-std=c++17 - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-std=c++17\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-std=c++17 - Success\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable\r\n-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success\r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"4.0\") \r\n-- Found Boost: /usr/lib/x86_64-linux-gnu/cmake/Boost-1.81.0/BoostConfig.cmake (found version \"1.81.0\") \r\n-- boost: 1.81.0\r\n-- Found Python3: /usr/include/python3.9 (found version \"3.9.2\") found components: Development Development.Module Development.Embed \r\n-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version \"1.2.11\") \r\n-- spdlog: 1.8.1\r\n-- Found BISON: /usr/bin/bison (found version \"3.7.5\") \r\n-- Found Doxygen: /usr/bin/doxygen (found version \"1.9.1\") found components: doxygen missing components: dot\r\n-- STA version: 2.5.0\r\n-- STA git sha: fbfc705282d102cccbdf3472e86fc9da35268ab5\r\n-- System name: Linux\r\n-- Compiler: GNU 10.2.1\r\n-- Build type: RELEASE\r\n-- Build CXX_FLAGS: -O3 -DNDEBUG\r\n-- Install prefix: /usr/local\r\n-- Found FLEX: /usr/bin/flex (found version \"2.6.4\") \r\n-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so\r\n-- TCL header: /usr/include/tcl/tcl.h\r\n-- Check if compiler accepts -pthread\r\n-- Check if compiler accepts -pthread - yes\r\n-- SSTA: 0\r\n-- Found SWIG: /usr/bin/swig4.0 (found suitable version \"4.0.2\", minimum required is \"3.0\") \r\n-- STA executable: /mnt/pdk/OL2/native/OpenROAD/src/sta/app/sta\r\n-- Configuring incomplete, errors occurred!\n```\n\n\n### To Reproduce\n\n\r\nThere is a reproduce case attached (call `run.sh`).\r\n[via-issue.zip](https://github.com/The-OpenROAD-Project/OpenROAD/files/14564271/via-issue.zip)\n\n### Relevant log output\n\n```shell\n[WARNING PDN-0110] No via inserted between met4 and met5 at (99.3600, 131.3200) - (100.5600, 137.5200) on vssd1\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (99.3600, 188.4400) - (100.5600, 194.6400) on vssd1\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (107.3600, 131.3200) - (108.5600, 137.5200) on vssd1\r\n[WARNING PDN-0110] No via inserted between met4 and met5 at (107.3600, 188.4400) - (108.5600, 194.6400) on vssd1\n```\n\n\n### Screenshots\n\nHow it is : https://i.imgur.com/ASchSLH.png\r\nHow it should be : https://i.imgur.com/cQiy2i0.png\r\n\n\n### Additional Context\n\n_No response_"}, {"assistant": "@gadfort I've discussed this with Sylvain but reached the point where I could use your insight. "}, {"user": "https://open-source-silicon.slack.com/archives/C0161A4A59V/p1710173064135569"}]}